"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:d9cae0f9-23ca-45ab-83a1-0965014db6b7","http://resolver.tudelft.nl/uuid:d9cae0f9-23ca-45ab-83a1-0965014db6b7","High-Performance Multilevel Class-D Audio Amplifiers","Zhang, H. (TU Delft Electronic Instrumentation)","Makinwa, K.A.A. (promotor); Fan, Q. (copromotor); Delft University of Technology (degree granting institution)","2024","This thesis describes the analysis, design, prototype implementation, and measurement results of high-performance Class-D amplifiers (CDAs) for audio applications.","","en","doctoral thesis","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:a45acef5-5ef9-4797-be5e-08498566ec8a","http://resolver.tudelft.nl/uuid:a45acef5-5ef9-4797-be5e-08498566ec8a","Wind turbine blade damage detection using aerodynamic noise","Zhang, Y. (TU Delft Wind Energy)","Watson, S.J. (promotor); Avallone, F. (promotor); Delft University of Technology (degree granting institution)","2024","Wind energy is one of the most important renewable energy sources, effectively addressing climate change issues and promoting sustainable development on a global scale. Blade failures may cause long shut-down times and may present a safety hazard. Continuous and real-time monitoring of the blade conditions is helpful for finding blade damage at an early stage and for predicting its development. Non-contact damage detection methods have the advantage of easy and flexible installation and deployment, especially for current in-service wind turbines. This thesis aims to investigate and develop a new non-contact method for wind turbine blade damage detection based on measurements of aerodynamic noise. The principle of the proposed method relies on the fact that damage to the blade may modify the boundary layer over the blade surface and the flow field around the blade, and, as a consequence, alter the noise generated aerodynamically. This noise propagates to the far-field and be measured by microphones, which could provide a remote way to detect blade damage. In this thesis, the detection of two types of damage, trailing edge crack and leading edge erosion, is experimentally investigated in the wind tunnel. The results show that the proposed aeroacoustics-based approach can effectively detect the damage mentioned above under some circumstances, which might be a promising solution complementing traditional damage detection methods in wind farms in the future.","wind turbine blade damage; aerodynamic noise; trailing edge crack; leading edge erosion; damage detection","en","doctoral thesis","","978-94-6384-556-4","","","","","","2024-04-03","","","Wind Energy","","",""
"uuid:8570eb94-279e-4a3c-b662-b999fdac517c","http://resolver.tudelft.nl/uuid:8570eb94-279e-4a3c-b662-b999fdac517c","Reader-friendly Edible Binarycodes and Sensors Based on Smart Hydrogel","Zhang, M. (TU Delft Engineering Thermodynamics)","Mendes, E. (promotor); Eral, H.B. (copromotor); Delft University of Technology (degree granting institution)","2024","Food and medicines are two of the most essential categories of goods for human beings, providing vital nourishment and healthcare. However, as these products are commercialized and distributed on a global scale, consumers face the threat of counterfeit and deteriorated products. In response, this dissertation presents four prototypes consisting of On-Dose-Authentication (ODA) binarycodes and battery-less indicators based on smart hydrogel that are edible and reader-friendly to address these issues.
First, a microfluidic platform for continuous synthesis of hydrogel microparticles with superparamagnetic colloids (SPCs) embedded at prescribed positions has been established. The shape of the cross-linked microparticle is independently controlled by stop-flow lithography, whereas the position of trapped SPCs is dictated by virtual magnetic molds made of 2D nickel patches facilitating magnetic trapping. The spatial positions of trapped SPCs collectively function as a binary code matrix for product authentication. The proposed magnetic microparticles will contribute to the development of soft matter-inspired product quality control, tracking, and anti-counterfeiting technologies. (Chapter 2)
Second, a Physical Unclonable Functions (PUF) algorithm was developed to enhance the ODA binary codes' safety level. This algorithm exploits the diameter and coordinates of spheres as input, abandoning color and intensity as inputs, enabling imaging using common illumination and low-magnification microscopy hence lifting the reading constraints to advanced labs that are usually found in other current graphical PUF systems. Two sets of Poly(ethylene glycol) diacrylate ODA-PUF tags that can be read out via this algorithm were fabricated. The sets are single-diameter PUF leveraging random distributed superparamagnetic colloids of identical diameters and multiple-diameter PUF utilizing vortexed sunflower oil drops of various diameters, respectively. The performance of the single-diameter system was investigated. It passed NIST Statistical tests, demonstrating sufficient randomness, ideal bit uniformity, Hamming distance, and device uniqueness. The encoding capacity of the single-diameter system was found to be $9.2\times10^{18}$, which can satisfy labeling the annual output of Aspirin. (Chapter 3)
Third, a humidity indicator has been created that mechanically bends and rolls itself irreversibly upon exposure to high humidity conditions. The indicator is made of two food-grade polymer films with distinct ratios of a milk protein, casein, and a plasticizer, glycerol, that are physically attached to each other. Based on the thermogravimetric analysis and microstructural characterization, the bending mechanism is a result of hygroscopic swelling and consequent counter diffusion of water and glycerol. Guided by this mechanism, the rolling behavior, including response time and final curvature, can be tuned by the geometric dimensions of the indicator. As the proposed indicator is made of food-grade ingredients, it can be placed directly in contact with perishable products to report exposure to undesirable humidity inside the package, without the risk of contaminating the product or causing oral toxicity in case of accidental ingestion - features that commercial inedible electronic and chemo-chromatic sensors cannot provide presently. (Chapter 4)
Finally, an alginate TTI bead that encapsulates betacyanin, a natural colorant extracted from purple pitaya, is proposed to continuously monitor and reflect the temperature history of the perishable products to diagnose the storage conditions. The instability of betacyanin is exploited to demonstrate undesirable temperature abuse through visual color changes. The thermochromic change of the purple pitaya extract and the pitaya-extract-encapsulated bead was investigated under various temperatures, pH, and gaseous atmosphere conditions. Experimental results show that the proposed TTI exhibits an irreversible thermochromic change under a wide operation temperature range up to at least 100 \textdegree C with negligible disturbance from the gaseous composition. (Chapter 5)","Anti-counterfeiting; Binary code; Smart hydrogel; PUF; Humidity indicator; Time-temperature indicator","en","doctoral thesis","","978-94-6366-812-5","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:5fb0dfe6-94cc-40f1-8773-91367b5e2fba","http://resolver.tudelft.nl/uuid:5fb0dfe6-94cc-40f1-8773-91367b5e2fba","Analysis and Design of Lens Antenna Systems for Applications at Millimeter and Sub-millimeter Wavelengths","Zhang, H. (TU Delft Tera-Hertz Sensing)","Llombart, Nuria (promotor); Neto, A. (promotor); Delft University of Technology (degree granting institution)","2024","In recent decades, dielectric lens antennas have been more and more adopted and developed for sensing and imaging applications at sub-millimeter (sub-mm) wavelengths because they can achieve high gain while keeping their physical size and weight acceptable at these wavelengths. More recently, as low-loss and low-cost lens materials have become available and the lens fabrication is becoming easier and more accurate, lens antennas are attracting more interests for variety of applications at millimeter (mm) wavelengths such as high-data-rate wireless communication and automotive radars. However, the analysis and design of lens antennas at mm and sub-mm wavelengths present different challenges. In this thesis, we propose to use a field correlation technique to analyze lens antennas in reception and then optimize their aperture efficiency for different scenarios. Based on this optimization methodology, three examples of lens antenna systems are described at 28 GHz, 180 GHz, and beyond 200 GHz for the applications of 5G communication, wide field-of-view security imaging, and future mm-resolution THz imaging, respectively. The proposed methodology and design provide possible solutions for the potential challenges and can be used as guidelines for designing lens antennas at mm and sub-mm wavelengths.....","Equivalent circuits; focal plane arrays; field correlation; geometrical optics; lens antennas; leaky-wave antennas; lens shaping; millimeter waves; photoconductive antennas; quasi-optical systems; sub-millimeter waves; sparse array; time-domain analysis; ultra wideband; wide field-of-view","en","doctoral thesis","","978-94-6384-524-3","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:5079278e-7034-4365-8737-7ebc669a1e63","http://resolver.tudelft.nl/uuid:5079278e-7034-4365-8737-7ebc669a1e63","A review and perspective on hybrid modeling methodologies","Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering; The University of Manchester); Zhang, Dongda (The University of Manchester); von Stosch, Moritz (The University of Manchester; DataHow AG, Zurich)","","2024","The term hybrid modeling refers to the combination of parametric models (typically derived from knowledge about the system) and nonparametric models (typically deduced from data). Despite more than 20 years of research, over 150 scientific publications (Agharafeie et al., 2023), and some recent industrial applications on this topic, the capabilities of hybrid models often seem underrated, misunderstood, and disregarded by other disciplines as “simply combining some models” or maybe it has gone unnoticed at all. In fact, hybrid modeling could become an enabling technology in various areas of research and industry, such as systems and synthetic biology, personalized medicine, material design, or the process industries. Thus, a systematic investigation of the hybrid model properties is warranted to scoop the full potential of machine learning, reduce experimental effort, and increase the domain in which models can predict reliably.","Grey-box; Hybrid modeling; Hybrid semi-parametric modeling; Neural networks; Parameter identification","en","review","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:538af6ba-2ff0-477b-886f-9ad68d63ee04","http://resolver.tudelft.nl/uuid:538af6ba-2ff0-477b-886f-9ad68d63ee04","Towards a Reliable Design of Geopolymer Concrete for Green Landscapes: A Comparative Study of Tree-Based and Regression-Based Models","Wang, Ranran (Guangzhou University); Zhang, Jun (Guangzhou University); Lu, Yijun (Guangzhou University); Ren, S. (TU Delft Pavement Engineering); Huang, Jiandong (Guangzhou University)","","2024","The design of geopolymer concrete must meet more stringent requirements for the landscape, so understanding and designing geopolymer concrete with a higher compressive strength challenging. In the performance prediction of geopolymer concrete compressive strength, machine learning models have the advantage of being more accurate and faster. However, only a single machine learning model is usually used at present, there are few applications of ensemble learning models, and model optimization processes is lacking. Therefore, this paper proposes to use the Firefly Algorithm (AF) as an optimization tool to perform hyperparameter tuning on Logistic Regression (LR), Multiple Logistic Regression (MLR), decision tree (DT), and Random Forest (RF) models. At the same time, the reliability and efficiency of four integrated learning models were analyzed. The model was used to analyze the influencing factors of geopolymer concrete and determine the strength of their influencing ability. According to the experimental data, the RF-AF model had the lowest RMSE value. The RMSE value of the training set and test set were 4.0364 and 8.7202, respectively. The R value of the training set and test set were 0.9774 and 0.8915, respectively. Therefore, compared with the other three models, RF-AF has a stronger generalization ability and higher prediction accuracy. In addition, the molar concentration of NaOH was the most important influencing factors, and its influence was far greater than the other possible factors including NaOH content. Therefore, it is necessary to pay more attention to NaOH molarity when designing geopolymer concrete.","ensemble learning model; beetle antennae search; geopolymer concrete; NaOH molarity","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","http://resolver.tudelft.nl/uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","Improving mechanical properties and sustainability of high-strength engineered cementitious composites (ECC) using diatomite","Zhu, Xuezhen (Central South University China); Zhang, Minghu (Central South University China); Shi, Jinyan (Central South University China); Weng, Yiwei (The Hong Kong Polytechnic University); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","High-strength engineered cementitious composites (ECC) typically require higher cement content, which is negative from the sustainability point of view. To alleviate this problem, herein a low-cost and eco-friendly high-strength ECC (with a compressive strength of over 100 MPa) was developed, and diatomite was used to replace a small amount of cement. An appropriate amount of diatomite was found to improve the compressive strength, tensile strength and first cracking strength of ECC, but at the expense of part of the strain capacity (still all higher than 2.9%). Furthermore, the high pozzolanic activity and specific surface area of diatomite also increased the autogenous shrinkage, but reduced the drying shrinkage of ECC due to its internal curing effect. The incorporation of diatomite improved the pore structure of ECC, consumed more Ca(OH)2, and enhanced the hydration degree of the mixture. In the end, the economic and environmental benefits of diatomite-modified ECC were also evaluated, and the cost, non-renewable energy demand, and global warming potential of ECC with 3% diatomite were reduced compared to plain ECC by 12.9, 15.1, and 13.3%, respectively. The developed high-strength ECC is therefore a low-cost and eco-friendly alternative to the traditional one.","Diatomite; Engineered cementitious composites (ECC); High-strength; Sustainability assessment; Tensile properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Materials and Environment","","",""
"uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","http://resolver.tudelft.nl/uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","Investigating the Role of Executive Intrafirm Alumni Networks in Top Management Fraud: Multilevel Study in China's Construction Industry","Wang, Ran (Hunan University); Wang, Tongbing (Hunan University); Lee, Chia-Jung (Tunghai University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, G. (Hunan University)","","2024","To explore factors that influence the likelihood of committing fraud in the construction industry, this study concentrated on senior executives and tested whether some characteristics at the individual and firm levels have impacts on the likelihood of fraud committed by top management. Based on social network theory, this study first proposes that intrafirm alumni networks may increase the probability of senior executives engaging in corrupt behavior. Then the study explored whether the effect of executives' alumni networks on their wrongdoings is influenced by external and internal corporate governance measures. To verify the hypotheses, this study collected data on 2,017 senior executives from 118 construction companies in China from 2013 to 2021. Because of the multilevel structure of the data, hierarchical linear modeling was used. The results show that alumni networks have a significant positive effect on top management fraud. The effect is weakened by external auditing, altered by board independence, and strengthened by the size of the board of directors and the size of the supervisory board. This multilevel research contributes to advancing the understanding of managers' fraudulent behavior within an organization and extends the literature on social networks and corporate governance in the construction industry.","Alumni networks; Corporate governance; Hierarchical linear modeling; Social networks; Top management fraud","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Design & Construction Management","","",""
"uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","http://resolver.tudelft.nl/uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","Towards a framework for point-cloud-based visual analysis of historic gardens: Jichang Garden as a case study","Peng, Y. (TU Delft Landscape Architecture); Zhang, Guanting (Nanjing Tech University); Nijhuis, S. (TU Delft Landscape Architecture); Agugiaro, G. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","","2024","Historic gardens, regarded as a significant genre of cultural heritage, encapsulate the enduring essence of bygone eras while concurrently transcending temporal boundaries to resonate with the present and future. These gardens provide us vitality and inspiration, holding a collective repository of human memory and serving as a testament to our shared heritage. However, like landscapes, gardens constantly change through natural processes and human interventions. How can we preserve these gardens, though changes are unavoidable? Spatial and visual characteristics are the gardens' essential characteristics, and point-cloud (LiDAR) technologies are powerful tools to reveal and analyze gardens’ spatial-visual relationships and characteristics. Therefore, this paper aims to present a point-cloud-based approach to identifying spatial-visual design principles and making them operational to protect and develop historic gardens. Additionally, several methods have been proposed in this research, including (a) a voxel-based method to transfer points into a solid model for GIS-based computation, (b) a novel method to analyze the field of view (FOV), and (c) a systemic framework to reveal historic gardens’ spatial-visual characteristics based on the voxelized model. Jichang Garden, a historic garden in Wuxi, China, known for its visual design and spatial arrangement, has been selected as a case study to showcase how to apply the methods proposed by this paper. The findings include the design principles for the water body, the arrangement for a route, and the planting strategies of the garden. The conservational strategies have been formed based on the findings, and the appliable potentials and limitations of the methods have also been discussed.","Spatial analysis; Jichang Garden; Heritage gardens; LiDAR; GIS","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","http://resolver.tudelft.nl/uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","Modelling the formation of peer-to-peer trading coalitions and prosumer participation incentives in transactive energy communities","Zhang, Ying (Student TU Delft; Centrum Wiskunde & Informatica (CWI)); Robu, Valentin (Centrum Wiskunde & Informatica (CWI); Eindhoven University of Technology; Princeton University); Cremers, S.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); Norbu, Sonam (University of Glasgow); Couraud, Benoit (University of Glasgow); Andoni, Merlinda (University of Glasgow); Flynn, David (University of Glasgow); Poor, H. Vincent (Princeton University)","","2024","Peer-to-peer (P2P) energy trading and energy communities have garnered much attention over in recent years due to increasing investments in local energy generation and storage assets. Much research has been performed on the mechanisms and methodologies behind their implementation and realisation. However, the efficiency to be gained from P2P trading, and the structure of local energy markets raise many important challenges. To analyse the efficiency of P2P energy markets, in this work, we consider two different popular approaches to peer-to-peer trading: centralised (through a central market maker/clearing entity) vs. fully decentralised (P2P), and explore the comparative economic benefits of these models. We focus on the metric of Gains from Trade (GT), given optimal P2P trading schedule computed by a schedule optimiser. In both local market models, benefits from trading are realised mainly due to the diversity in consumption behaviour and renewable energy generation between prosumers in an energy community. Both market models will lead to the most promising P2P contracts (the ones with the highest Gains from Trade) to be established first. Yet, we find diversity decreases quickly as more peer-to-peer energy contracts are established and more prosumers join the market, leading to significantly diminishing returns. In this work, we aim to quantify this effect using real-world data from two large-scale smart energy trials in the UK, i.e. the Low Carbon London project and the Thames Valley Vision project. Our experimental study shows that, for both market models, only a small number of P2P contracts i.e. less than 10% of the possible P2P contracts are required to achieve the majority of the maximal potential Gains from Trade. Similarly, only a fraction of prosumers are required to participate in energy trading to realise significant GT; namely we found that 60% of the maximal GT can be realised with only 30% of prosumers’ participation, with the percentage of maximal GT reaching 80% when participation increases to 50% of prosumers. Finally, we study the effect that diversity in consumption profiles has on overall trading potential and dynamics in an energy community. We show that in a community with a DF(load diversity factor) = 1, 80% of potential maximal GT can be achieved by 10% of prosumers engaging in P2P trading, while in a community with DF = 1.5, it is beneficial for 40% of the prosumers to trade.","Peer-to-peer trading; Energy community; Negotiation","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","http://resolver.tudelft.nl/uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","CasPEDIA Database: a functional classification system for class 2 CRISPR-Cas enzymes","Adler, Benjamin A. (University of California); Trinidad, Marena I. (University of California); Bellieny-Rabelo, Daniel (University of California); Zhang, Elaine (University of California); Karp, Hannah M. (University of California); Skopintsev, Petr (University of California); Thornton, Brittney W. (University of California); Yoon, Peter H. (University of California); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","CRISPR-Cas enzymes enable RNA-guided bacterial immunity and are widely used for biotechnological applications including genome editing. In particular, the Class 2 CRISPR-associated enzymes (Cas9, Cas12 and Cas13 families), have been deployed for numerous research, clinical and agricultural applications. However, the immense genetic and biochemical diversity of these proteins in the public domain poses a barrier for researchers seeking to leverage their activities. We present CasPEDIA (http://caspedia.org), the Cas Protein Effector Database of Information and Assessment, a curated encyclopedia that integrates enzymatic classification for hundreds of different Cas enzymes across 27 phylogenetic groups spanning the Cas9, Cas12 and Cas13 families, as well as evolutionarily related IscB and TnpB proteins. All enzymes in CasPEDIA were annotated with a standard workflow based on their primary nuclease activity, target requirements and guide-RNA design constraints. Our functional classification scheme, CasID, is described alongside current phylogenetic classification, allowing users to search related orthologs by enzymatic function and sequence similarity. CasPEDIA is a comprehensive data portal that summarizes and contextualizes enzymatic properties of widely used Cas enzymes, equipping users with valuable resources to foster biotechnological development. CasPEDIA complements phylogenetic Cas nomenclature and enables researchers to leverage the multi-faceted nucleic-acid targeting rules of diverse Class 2 Cas enzymes.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:e18134a3-5359-4e10-b49b-303085dcbad7","http://resolver.tudelft.nl/uuid:e18134a3-5359-4e10-b49b-303085dcbad7","Interference Mitigation for Automotive FMCW Radar Based on Contrastive Learning With Dilated Convolution","Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Li, Runlong (Beijing University of Posts and Telecommunications); Zhang, Xinqi (Beijing University of Posts and Telecommunications); He, Yuan (Beijing University of Posts and Telecommunications)","","2024","As one of the crucial sensors for environment sensing, frequency modulated continuous wave (FMCW) radars are widely used in modern vehicles for driving assistance/autonomous driving. However, the limited frequency bandwidth and the increasing number of equipped radar sensors would inevitably cause mutual interference, degrading target detection and producing safety hazards. In this paper, a deep learning-based interference mitigation (IM) approach is proposed for FMCW radars by using the dilated convolution for network construction and a designated contrast learning strategy for training. The dilated convolution enlarges the receptive field of the neural network, and the designated contrastive learning strategy enforces to distinguish better between interferences and desired signals. The results of numerical simulation and experimental data processing show that the dilated convolution-based IM network, compared to the traditional convolution-based ones, can achieve a higher Signal-to-Interference-plus-Noise-Ratio (SINR) and target detection rate. Moreover, the designated contrastive learning strategy enables a better and more stable IM performance without increasing the complexity of the network, which can facilitate faster signal processing.","Automotive radar; interference mitigation; deep learning; dilated convolution; contrastive learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","Microwave Sensing, Signals & Systems","","",""
"uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","http://resolver.tudelft.nl/uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","Digital government and the circular economy transition: An analytical framework and a research agenda","Medaglia, Rony (Copenhagen Business School); Rukanova, B.D. (TU Delft Innovation Affairs); Zhang, Ziyan (Sun Yat-sen University)","","2024","The transition from a linear economy towards a circular economy (CE), based on reusing, repairing, refurbishing, and recycling existing materials and products, is one of the key priorities in pursuing Sustainable Development Goals (SDGs), where governments play a fundamental role, with the support of digital technologies.
Despite the increasing global policy focus on CE, research on the role of digital government in initiating, implementing, and consolidating a transition towards a circular economy is surprisingly scarce and fragmented, and a systematic effort in digital government research is yet to emerge.
To tackle this issue, this article sets out to answer the research question: what is the role of digital government in the transition towards a circular economy? Driven by this research question, we conduct a review on 88 empirical studies in the Information Systems (IS) and digital government fields and discuss existing research foci and gaps in relation to the types of digital technologies used, the types of stakeholders involved, the stages of the product life cycle, and the type of resources that governments draw on to advance the circular economy transition. In addition, we identify two types of transition styles, based on an analysis of the types of roles taken by the government in two cases of transition towards a circular economy.
Based on these findings, we provide two contributions to establishing a new line of research in digital government and the circular economy: an analytical framework, including a static view, a longitudinal view, and a transition style view of the role of digital government in the circular economy transition; and a research agenda that builds on our framework, to guide future research on the role of digital government in the circular economy transition.","Digital government; Circular economy; Sustainability","en","journal article","","","","","","","","","","","Innovation Affairs","","",""
"uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","http://resolver.tudelft.nl/uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","The lasting impact of formation cycling on the Li-ion kinetics between SEI and the Li-metal anode and its correlation with efficiency","Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); Li, Yuhang (Tsinghua University); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Liu, Ming (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Formation cycling is a critical process aimed at improving the performance of lithium ion (Li-ion) batteries during subsequent use. Achieving highly reversible Li-metal anodes, which would boost battery energy density, is a formidable challenge. Here, formation cycling and its impact on the subsequent cycling are largely unexplored. Through solid-state nuclear magnetic resonance (ssNMR) spectroscopy experiments, we reveal the critical role of the Li-ion diffusion dynamics between the electrodeposited Li-metal (ED-Li) and the as-formed solid electrolyte interphase (SEI). The most stable cycling performance is realized after formation cycling at a relatively high current density, causing an optimum in Li-ion diffusion over the Li-metal-SEI interface. We can relate this to a specific balance in the SEI chemistry, explaining the lasting impact of formation cycling. Thereby, this work highlights the importance and opportunities of regulating initial electrochemical conditions for improving the stability and life cycle of lithium metal batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","http://resolver.tudelft.nl/uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","Insights into the effect of susceptor rotational speed in CVD reactor on the quality of 4H-SiC epitaxial layer on homogeneous substrates","Tang, Zhuorui (Fudan University); Gu, Lin (Fudan University); Jin, Lei (48th Research Institute of China Electronics Technology Group Corporation); Dai, Kefeng (Jihua Laboratory); Mao, Chaobin (Jihua Laboratory); Wu, Sanzhong (Jihua Laboratory); Zhang, Rongwei (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Yang, Jinsong (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","In this work, 4H-SiC homoepitaxial layers were grown on 4°off-axis substrates at different susceptor rotation speeds by using a hot-wall horizontal CVD reactor. The effect of different susceptor rotation speed on the quality of 4H-SiC epitaxial layers in terms of thickness, thickness uniformity, crystallinity, surface morphology and morphological defects was investigated via Fourier transform infrared spectroscopy (FTIR), high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), confocal differential interference contrast microscopy (CDIC), ultra-violet photo-luminescence spectroscopy (UV-PL), scanning electron microscopy (SEM), and micro-Raman spectroscopy, respectively. A flow field simulation was performed to explain the impact of susceptor rotation speed on the film deposition. The FTIR results suggested that the susceptor rotation speed could be an important factor to adjust thickness uniformity and deposition rate. The XRD patterns showed that crystallinity was independent of the susceptor rotation speed. The surface morphology can be improved by changing the susceptor rotation speed. According to CDIC scans, the down-fall related defects were reduced through the increase in the susceptor rotation speed. The origin of down-fall related defects was interpreted by Raman spectroscopy and speculative models. To sum up, the susceptor rotation speed is a crucial factor in increasing growth rate and improving uniformity. Also, the faster susceptor rotation speed helps reduce the number of down-fall related defects in the hot-wall CVD reactor.","4H-SiC homoepitaxial layer; CVD reactor; susceptor rotation speed; quality; defects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Electronic Components, Technology and Materials","","",""
"uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","http://resolver.tudelft.nl/uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","Identification and Suppression of Multicomponent Noise in Audio Magnetotelluric Data Based on Convolutional Block Attention Module","Zhang, Liang (Guizhou University); Li, Guang (East China University of Technology); Chen, Huang (Chongqing University); Tang, Jingtian (Central South University); Yang, Guanci (Guizhou University); Yu, Mingbiao (Guizhou University); Hu, Yong (China University of Mining and Technology; China University of Petroleum (East China)); Xu, Jun (Guizhou University); Sun, J. (TU Delft Pattern Recognition and Bioinformatics)","","2024","Audio magnetotelluric (AMT) is commonly used in mineral resource exploration. However, the weak energy of AMT signals makes them susceptible to being overwhelmed by noise, leading to erroneous geophysical interpretations. In recent years, deep learning has been applied to AMT denoising and has shown better denoising performance compared to traditional methods. However, current deep learning denoising methods overlook the characteristics of AMT signals, resulting in reduced denoising accuracy. To enhance the denoising performance of deep learning by better matching the features of AMT signals, we propose a convolutional block attention module (CBAM)-based method for AMT denoising. This method focuses on the features of AMT signals and improves the process from three aspects: 1) in the establishment of the sample set, we adopt a multicomponent form based on the correlation of noise to enable the neural network to explore the potential connections among the components of AMT during the training process, thus constructing a stronger network mapping relationship; 2) in the construction of the neural network, we have introduced the CBAM structure into the residual blocks of the ResNet to enhance the network's feature learning capability by focusing on the characteristics of noise; and 3) in the design of the denoising procedure, we adopt a process of identification before denoising to protect the noise-free data segments from being compromised during the denoising process. Finally, through synthetic, field data experiments, and comparative tests, we demonstrate that our proposed method achieves higher denoising accuracy than some traditional methods and conventional deep learning methods.","Convolutional Block Attention Module (CBAM); ResNet; Audio Magnetotelluric (AMT); Denoising","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","http://resolver.tudelft.nl/uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","Recycling of Aluminosilicate-Based Solid Wastes through Alkali-Activation: Preparation, Characterization, and Challenges","Feng, Lichao (Jiangsu Ocean University); Yi, Shengjie (Jiangsu Ocean University); Zhao, Shuyuan (Harbin Institute of Technology); Zhong, Qiucheng (Jiangsu Ocean University); Ren, Feirong (Jiangsu Ocean University); Liu, C. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Wang, Wenshou (University of Jinan); Li, Zhenming (University of Sheffield)","","2024","Recycling aluminosilicate-based solid wastes is imperative to realize the sustainable development of constructions. By using alkali activation technology, aluminosilicate-based solid wastes, such as furnace slag, fly ash, red mud, and most of the bio-ashes, can be turned into alternative binder materials to Portland cement to reduce the carbon footprint of the construction and maintenance activities of concrete structures. In this paper, the chemistry involved in the formation of alkali-activated materials (AAMs) and the influential factors of their properties are briefly reviewed. The commonly used methods, including X-ray diffraction (XRD), scanning electron microscopy (SEM), thermogravimetric analysis (TG), nuclear magnetic resonance spectroscopy (NMR), and X-ray pair distribution function technology, to characterize the microstructure of AAMs are introduced. Typical characterization results of AAMs are shown and the limitations of each method are discussed. The main challenges, such as shrinkage, creep, efflorescence, carbonation, alkali–silica reaction, and chloride ingress, to conquer for a wider application of AAMs are reviewed. It is shown that several performances of AAMs under certain circumstances seem to be less satisfactory than traditional portland cement systems. Existing strategies to improve these performances are reviewed, and recommendations for future studies are given.","slag; fly ash; alkali-activation; microstructure; performance; challenges","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","http://resolver.tudelft.nl/uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","Comprehensive validation of three-dimensional finite element modelling of wheel-rail high-frequency interaction via the V-Track test rig","Zhang, P. (TU Delft Railway Engineering); He, C. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Wheel-rail high-frequency interaction is closely related to the formation of railway short-wave defects. Finite element (FE) method has been widely used to simulate wheel-rail dynamic systems, but its validity in modelling high-frequency interaction has not been fully demonstrated in three dimensions (3D). This work aims at comprehensively validating the 3D FE modelling of wheel-rail high-frequency interaction using a downscale V-Track test rig. First, the FE model of the V-Track is developed that comprehensively includes the 3D track elasticity. The simulated track dynamic behaviours are validated against hammer tests, and the major vibration modes are analyzed employing modal analysis. Afterwards, the simulate wheel-rail dynamic responses are comprehensively compared with measurement results up to 10 kHz. Their characteristic frequencies are identified and correlated to the eigenmodes of the vehicle-track system. The results indicate that the proposed 3D FE model is capable of comprehensively and accurately simulating the 3D track dynamics and wheel-rail dynamic interaction of the V-Track up to 10 kHz. Rail vibrations dominate the wheel-rail dynamic contact within 10 kHz, while the wheel vibrations play an increasingly important role at higher frequencies and become decisive near the wheel eigenmode frequencies. The V-Track overall achieves dynamic similarity to the real vehicle-track system.","3D finite element modelling; comprehensive validation; high-frequency interaction; V-Track test rig; vibration modes; Wheel-rail dynamic system","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:cb912bca-7368-44cd-ae61-a8784be11712","http://resolver.tudelft.nl/uuid:cb912bca-7368-44cd-ae61-a8784be11712","Research Avenues Supporting Embodied Cognition in Learning and Instruction","Castro-Alonso, Juan C. (University of Birmingham); Ayres, Paul (University of New South Wales); Zhang, S. (TU Delft Statistics; Erasmus Universiteit Rotterdam); de Koning, Björn B. (Erasmus Universiteit Rotterdam); Paas, Fred (Erasmus Universiteit Rotterdam; University of Wollongong)","","2024","Research on embodied cognition acknowledges that cognitive processing is tightly coupled with bodily activities and the environment. An important implication for education is that learning can be enhanced when the brain, body, and environment mutually influence each other, such as when making or observing human actions, especially those involving hand gestures and manipulation of objects. In this narrative review article, we describe the evidence from six research avenues that can help explain why embodied cognition can enhance learning and instruction. Through the exploration of these six interconnected research pathways, we aim to make a significant contribution by proposing innovative directions for learning and instruction research, all rooted in the principles of embodied cognition. We establish a direct link between the six research pathways and embodied phenomena, both in the contexts of making and observing human movements. When making human movements, the research avenues explaining the learning benefits due to these movements are physical activity, generative learning, and offloaded cognition. When observing human movements, the avenues researching these phenomena are specialized processor and signaling. Lastly, the research avenue social cognition is integral to both making and observing human movements. With originality in focus, we also include research that has not been traditionally associated with embodied cognition or embodiment. This article offers comprehensive discussions, substantiated with evidence and influencing features, for each of these research avenues. We conclude by outlining the implications of these findings for instruction and charting potential directions for future investigation.","Embodied cognition; Embodiment and education; Gesture and gesturing; Human body action and hand movement; Object manipulation and model","en","review","","","","","","","","","","","Statistics","","",""
"uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","http://resolver.tudelft.nl/uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","Advancing Shallow Tunnel Construction in Soft Ground: The Pipe-Umbrella Box Jacking Method","Jiang, Xi (The Hong Kong Polytechnic University); Zhang, X. (TU Delft Geo-engineering); Zhang, Xiao (Shanghai Urban Construction Municipal Engineering (Group) Co. Ltd); Long, Luyuan (Student TU Delft); Bai, Yun (Tongji University); Huang, Baoshan (University of Tennessee)","","2024","The development of modern cities has often led to increased traffic congestion and limited usable space. One effective solution to these problems is to construct roadway tunnels, which can expand urban space and alleviate traffic congestion. However, building large underpass tunnels in urban areas, especially in soft ground, presents technical challenges owing to its extensive environmental disturbance. The pipe-umbrella box jacking method offers a promising solution to these challenges as this method does not require breaking the ground from the surface or disrupting surface traffic. This study reviews the key techniques of the pipe-umbrella box jacking method for constructing large rectangular tunnels with small burial depths in soft ground. The study focuses on three main technical issues: pipe umbrella installation, soil face excavation, and box jacking control. Furthermore, two tunnel projects in Shanghai, China, are presented as case studies to showcase the construction challenges and countermeasures during box jacking tunneling with pipe-umbrella. Practical construction experience has revealed that the installation of the pipe umbrella before box jacking serves as an effective method of ground pre-support. However, its performance can be influenced by factors such as pipe installation deviation and inter-pipe connection design. Both open-face steel grid extrusion (SGE) shields and closed-face earth pressure balanced (EPB) shields can be used for soil excavation during box jacking, with different work modes resulting in distinct ground deformation patterns. Additionally, lubrication grouting has been found to effectively reduce friction resistance and affect vertical ground deformation. The study also examines the lessons learned from case studies and proposes optimization measures. This study can serve as a valuable reference for the tunneling industry, providing insights into the pipe-umbrella box jacking method and its application in constructing large rectangular tunnels in soft ground.","Box Jacking Tunnel; EPB Shield; Pipe Umbrella; SGE Shield; Soft Ground","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","http://resolver.tudelft.nl/uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","Identifying the Design Feature That Causes Project Delay in DfMA: A Dominant Element Analysis Method for Project Scheduling","Cao, Jianpeng (ETH Zürich); Zhang, Hang (ETH Zürich); Pan, Bo (ETH Zürich); Soman, R. K. (ETH Zürich); Savov, Anton (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management)","Turkan, Yelda (editor); Louis, Joseph (editor); Leite, Fernanda (editor); Ergan, Semiha (editor)","2024","Design for manufacturing and assembly (DfMA) is an engineering methodology which aims to increase ease of manufacture and efficiency of assembly by considering manufacturing and assembly constraints in the design process. However, current DfMA approaches in the construction sector are not automated enough to identify the design features that may cause project delay in real time. This leads to longer design cycle. Also, current scheduling algorithms rely on human intervention to generate activity network from a design output. Addressing these inefficiencies, we propose an interpretative machining learning model to predict the construction duration given a design output. More importantly, the same model identifies the design features that may cause the most delay in the project. The model is trained on a residential design dataset with various features, such as layout, geometry, and element typology. The output of the model is the project duration and an importance map, indicating the influence each feature of the given design has on the total project duration. The results from this model can considerably reduce the design cycle by supporting architects to create fabrication and assembly aware design even when they have little knowledge of production and assembly processes. This model will contribute to a novel computational approach for DfMA.","","en","conference paper","American Society of Civil Engineers (ASCE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-25","","","Design & Construction Management","","",""
"uuid:f53afdd2-98e1-42ab-8308-82dbd64d076b","http://resolver.tudelft.nl/uuid:f53afdd2-98e1-42ab-8308-82dbd64d076b","A Systematic Umbrella Review on Computational Thinking Assessment in Higher Education","Zhang, X. (TU Delft Web Information Systems); Aivaloglou, E.A. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2024","Computational Thinking (CT) is considered a core 21st century digital skill. The aspect of assessment is crucial and knowing what, who, when, how, and where to assess is important for assessment design. In this study, we conducted an umbrella review to gain insights regarding CT assessment in higher education. In total, we analyzed 11 reviews, focusing on: (1) bibliographical and methodological characteristics of the reviews; (2) aspects relevant of assessment design, including a) assessed constructs, b) applied assessment methodologies, and c) assessment contexts. Our findings suggest an increased attention on this topic. However, hardly any reviews reasoned the selection of their review methodology, and most of the reviews did not thoroughly examine existing reviews. Regarding assessment design aspects, most reviews did not confine their scope to higher education; however, findings on interventions and educational settings show commonalities. We identified 120 unique assessed constructs and around 10 types of assessment methods. Though a combined use of distinct assessment methods is suggested in reviews, guidelines for appropriate assessment design are yet to be constructed. Based on the findings, we argue that it is necessary to explore different combinations of assessment design in various contexts to construct assessment guidelines.","assessment; computational thinking; higher education; umbrella review","en","review","","","","","","","","","","","Web Information Systems","","",""
"uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","http://resolver.tudelft.nl/uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","Polarimetric Calibration of an FMCW Doppler Radar with Dual-Orthogonal Signals","Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Zhang, Q. (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","In this paper, the full calibration chain of FMCW radar with simultaneous transmission of two orthogonally polarized orthogonal waveforms is considered. Specifically for this type of polarimetric radar, compensation of signals’ biases and equalization of the amplification gains of the parallel polarimetric channels in the receiver are jointly performed using the noise measurements. The calibrations of the absolute complex gains of the transmitter’s polarimetric channels together with complex antenna gains are done using the model-based fit of the measurements of the rotating dihedral reflector. Phase relations between polarimetric channels are treated in the Doppler domain using the unfolded velocity of the target. The performed calibration results in high-accurate measurements of the radar targets’ polarimetric scattering matrix (PSM) in the Doppler domain. All the proposed calibration steps are illustrated using real radar data.","radar polarimetry; polarimetric calibration; polarization scattering matrix measurements","en","conference paper","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","http://resolver.tudelft.nl/uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","In-Situ early anomaly detection and remaining useful lifetime prediction for high-power white LEDs with distance and entropy-based long short-term memory recurrent neural networks","Wen, Minzhen (Fudan University); Ibrahim, Mesfin Seid (Wollo University); Meda, Abdulmelik Husen (The Hong Kong Polytechnic University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan Zhangjiang Institute)","","2024","High-power white light-emitting diodes (LEDs) have demonstrated superior efficiency and reliability compared to traditional white light sources. However, ensuring maximum performance for a prolonged lifetime use presents a significant challenge for manufacturers and end users, especially in safety–critical applications. Thus, identifying functional anomalies and predicting the remaining useful lifetime (RUL) is of enormous importance in the operational longevity of the device. To address such challenges, this study proposes a combination of distance-based Mahalanobis distance (MD), entropy generation rate (EGR), and deep learning models for improved anomaly detection and RUL prediction accuracy. Unlike conventional health indicators based on luminous flux data that are challenging to monitor relevant optical performance, the MD and EGR methods are employed to extract in-situ monitored thermal and electrical data as new health indicators. Long short-term memory recurrent neural networks (LSTM-RNN) and convolutional neural networks (CNN) are established to detect anomalies and predict the RUL. The accelerated degradation tests of 3 W high-power white LED have been conducted, and the online and offline collected experimental data are deployed for model development and performance evaluation. The performance of the proposed methods is compared against the Illuminating Engineering Society of North America (IESNA) TM-21 method. The results indicate that LSTM-RNN, when combined with either MD or EGR, can detect anomalies with significantly fewer data (70 %) than is typically required. Furthermore, a significant improvement in prediction accuracy in RUL prediction based on MD and EGR-constructed time series health indicators and employed with the LSTM-RNN model demonstrates the effectiveness of the proposed methods.","Anomaly detection; Deep Learning Algorithms; Entropy generation rate (EGR); Light-emitting diodes (LEDs); Mahalanobis distance (MD); Remaining Useful Lifetime (RUL) Prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Electronic Components, Technology and Materials","","",""
"uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","http://resolver.tudelft.nl/uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","Molecular dynamics simulations on mechanical behaviors of sintered nanocopper in power electronics packaging","Luo, Runding (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2024","Nano-metal materials have received considerable attention because of their promising performance in wide bandgap semiconductor packaging. In this study, molecular dynamics (MD) simulation was performed to simulate the nano-Cu sintering mechanism and the subsequent mechanical behaviors. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was performed at temperatures from 500 to 650 K. Furthermore, shear and tensile simulations were conducted with constant strain rates on the sintered structure at multiple temperatures. Subsequently, the extracted mechanical properties were correlated with the sintering behavior. The results revealed that the mechanical properties of the nano-Cu sintered structure could be improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response. The shear modulus and shear strength of the sintered structure with NF particles increased to 41.20 and 3.51 GPa respectively. Furthermore, the elastic modulus increased to 55.60, and the tensile strength increased to 4.88 GPa. This result provides insights into the preparation phase of nano-Cu paste for sintering technology.","Molecular dynamics (MD) simulation; Nano-Cu sintering; Nanoflake; Shear simulation; Tensile simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Electronic Components, Technology and Materials","","",""
"uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","http://resolver.tudelft.nl/uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","Impact of fast-solidification on all-d-metal NiCoMnTi based giant magnetocaloric Heusler compounds","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy; City University of Hong Kong); Wu, Z. (TU Delft RST/Fundamental Aspects of Materials and Energy); Wang, Jianlin (Chinese Academy of Sciences); Wu, Zhenduo (City University of Hong Kong); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","Recently, the all-d-metal Ni(Co)MnTi based Heusler compounds are found to have a giant magnetocaloric effect (GMCE) near room temperature and manifest different functionalities like multicaloric effects, which can be employed for solid-state refrigeration. However, in comparison to other traditional Heusler compounds, the relatively large thermal hysteresis (ΔThys) and moderately steep ferromagnetic phase transition provides limitations for real applications. Here, we present that fast solidification (suction casting) can sufficiently tailor the GMCE performance by modifying the microstructure. Compared with the arc-melted sample, the magnetic entropy change of the suction-casted sample shows a 67% improvement from 18.4 to 29.4 Jkg−1K−1 for a field change (∆μ0H) of 5 T. As the thermal hysteresis has maintained a low ΔThys value (5.5 K) for the enhanced first-order phase transition, a very competitive reversible magnetic entropy change of 21.8 Jkg−1K−1 for ∆μ0H = 5 T is obtained. Combining high-resolution transmission electron microscopy (HRTEM) and positron annihilation spectroscopy (PAS) results, the difference in lattice defect concentration is found to be responsible for the significant improvement in GMCE for the suction-cast sample, which suggests that defect engineering can be applied to control the GMCE. Our study reveals that fast solidification can effectively regulate the magnetocaloric properties of all-d-metal NiCoMnTi Heusler compounds without sacrificing ΔThys.","All-d-metal Heusler compounds; Lattice defects; Magnetocaloric effect; Ni-Co-Mn-Ti","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","http://resolver.tudelft.nl/uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","Biocompatible optical physically unclonable function hydrogel microparticles for on-dose authentication","Zhang, M. (TU Delft Engineering Thermodynamics); Raghunath, Aparna; Zhao, A. (TU Delft Energy Technology); Eral, H.B. (TU Delft Complex Fluid Processing; Universiteit Utrecht)","","2024","On-dose authentication (ODA) enhances security by incorporating customized molecular or micro-tags into each pill, preventing counterfeit products in genuine packages. ODA's security relies on tag non-replication and non-reverse engineering. Combining ODA with graphical Physical Unclonable Functions (PUF) promises maximum security. PUF uses intrinsic micro or nanoscale randomness as a unique ‘fingerprint’. However, current graphical PUFs have limitations like specific illumination requirements and the use of toxic materials, restricting their use in pharmaceuticals. In this study, we propose a novel approach called on-dose PUF. This method involves embedding microspheres randomly within micro biocompatible hydrogel particles. We showcase two distinct types of such on-dose PUFs. The first type utilizes randomly distributed superparamagnetic colloids (SPC) of identical diameters, while the second type utilizes vortexed sunflower oil drops of various diameters. The diameter and coordinates of the microspheres serve as input for generating cryptographic keys. A universal circle identification and binning program is used for extracting this information. One advantage of this approach is that it enables imaging using white light illumination and low-magnification microscopy, as color and signal intensity information are not crucial. This method enables patients to verify their medication by using their mobile phones from home. To assess the performance of the proposed on-dose PUF, we conducted canonical investigations on the single-diameter system. This system can only generate one layer of cryptographic keys, making it potentially more vulnerable than the multiple-diameter system. However, the single-diameter system successfully passed NIST Statistical tests and exhibited sufficient randomness, ideal bit uniformity, Hamming distance, and device uniqueness. Furthermore, we found that the encoding capacity of the single-diameter system was 9.2×1018, providing ample labeling potential.","Anti-counterfeiting; Biocompatible; Colloid; Emulsion; Hydrogel; On-dose authentication; Optical PUF; Physical unclonable function","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","http://resolver.tudelft.nl/uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","Effect of microporous layer structural parameters on heat and mass transfer in proton exchange membrane fuel cells","Zhang, Zhenya (Zhengzhou University of Light Industry); Mao, Jia (Zhengzhou University of Light Industry); Wei, Houyu (Zhengzhou University of Light Industry); Cheng, Chuanxiao (Zhengzhou University of Light Industry); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","Proton exchange membrane fuel cells offer promising clean energy solutions for various applications. However, their performance relies heavily on the properties of the microporous layer, which plays a crucial role in transporting and distributing the components in the fuel cell. To date, the potential for optimising the microporous layer material structural parameters to enhance the fuel cell performance remains largely unexplored. This study aims to fill this research gap by conducting a comprehensive investigation of the effects of different microporous layer material structural parameters on the heat and mass transfer in the membrane electrode assembly. MATLAB was used for optimising the performance of the fuel cell components. The results show that increasing the microporous layer thickness from 5 to 50 μm significantly affects the species transport, leading to a substantial reduction in the molar fraction of H2 and O2 at the electrochemical reaction sites. Furthermore, the distribution of the liquid water saturation inside the fuel cell is influenced by the porosity and permeability of the microporous layer. By increasing the porosity from 0.3 to 0.6, the liquid water saturation at the interface of the catalyst layer and microporous layer decreases by 0.52 % and 1.12 % at output voltages of 0.5 V and 0.7 V, respectively. This reduction enhances the efficiency of internal water transport. Moreover, reducing the permeability of the microporous layer from 2 × 10-12 to 1 × 10-13 at 0.5 V and 0.7 V leads to an increase in liquid water saturation at the interface of the proton exchange membrane and the catalyst layer by 1.49 % and 0.74 %, respectively, causing hindrance to the transport of internal liquid water. This study provides valuable insights into the interplay between the properties of the microporous layer material properties and heat and mass transfer characteristics in proton exchange membrane fuel cell.","Fuel cell efficiency; Heat and mass transfer; Microporous layer; Performance optimization; Proton exchange membrane fuel cells","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","http://resolver.tudelft.nl/uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","Assessing Angular Momentum, Kinetics, and Energetics of a Rigid Body Using a Single Inertial Measurement Unit","Zhang, Junhao (University of Twente); Muijzer, Frodo (University of Twente); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule; Erasmus MC); Veltink, Peter H. (University of Twente)","","2024","Angular momentum, kinetics, and energetics, including total mechanical energy and its rate of change in relation to power exchange, are important quantities when analyzing human motion in sports, physical labor, and rehabilitation. Inertial measurement units (IMU)-based motion capture (MOCAP) systems provide a portable solution for the ambulatory analysis of these quantities which optical MOCAP systems do not offer. Yet, evaluating IMU-based estimates of these quantities by referencing optical systems is limited by the fact that these systems only measure positions, not kinetic and energetic quantities. To evaluate the accuracy of an IMU-based method for estimating kinetic and energetic quantities without using any external reference, firstly, we propose an estimation method only using angular velocity and acceleration signals supplied by an IMU, and apply this to a single rigid body with known mass and inertia. Then, we propose a novel experimental validation method against physical conservation and action/reaction laws that apply during ballistic movements, using a suitably designed and reconfigurable rigid body with a structure of three orthogonal dumb-bells. The results demonstrated that we could estimate the angular momentum, kinetics, and energetics of a rigid body by only using angular velocity and acceleration signals of an IMU, and the estimation accuracy was well evaluated by the proposed validation method. However, the results showed that the errors in original IMU measurements under dynamic conditions especially concerning angular velocity, uncertainties in calculating rigid body parameters, and vibration propagation due to limited rigidity of tubes of the rigid body influenced the estimation accuracy.","Angular momentum; energetics; inertial measurement unit (IMU); kinetics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-22","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","http://resolver.tudelft.nl/uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","Nanostructure and damage characterisation of bitumen under a low cycle strain-controlled fatigue load based on molecular simulations and rheological measurements","Gao, Y. (Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Southeast University)","","2024","Bitumen fatigue resistance is critical to determine the overall fatigue performance and service life of asphalt pavements. However, the mechanisms responsible for fatigue damage of bitumen have previously not been well understood. Molecular dynamics (MD) simulation has recently emerged as a powerful computer-aided numerical technique to model the microscopic failure behaviours in materials. This study aims to use the MD method to investigate the molecular origin of bitumen fatigue damage. The molecular models of the virgin and aged PEN70/100 bitumen were firstly constructed based on their saturate, aromatic, resin and asphaltene (SARA) four fractions. An MD equilibrium was run on the developed bitumen models with the assigned interatomic potentials. Following an MD-based tensile simulation, a strain-controlled fatigue simulation was performed to study the nanostructure and damage behaviours of the virgin and aged bitumen under fatigue loading by calculating the stress-strain response, potential energy, molecular structure and nanovoid volumes. Furthermore, a rheometer measurement was also conducted to characterise the fatigue damage of the bitumen directly by a crack length at the macroscale. Results indicate that the bitumen molecules become unfolded and tend to align along the loading direction when fatigue loading was applied. The change in the molecular configuration helped the molecular chains move closer together and thus contributed to the reduction of the intermolecular interactions including the van der Waals and Coulombic energies. With the increasing load cycles, nanovoids were formed and grew in the bitumen through molecular rearrangement and movement, leading to microscopic fatigue damage of the bitumen. It was found that the aged bitumen produced more severe fatigue damage than the virgin bitumen, which was indicated by the MD-based nanovoid volume at the molecular scale and the DSR-based crack length at the macroscale. The findings from MD simulation provide a fundamental understanding of the molecular origin of fatigue damage, that cannot be experimentally detected for bitumen materials.","Bitumen; Fatigue damage; Nanostructure; MD simulation; Rheometer measurement","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:d38dab00-d96b-4657-8beb-ebb446649e68","http://resolver.tudelft.nl/uuid:d38dab00-d96b-4657-8beb-ebb446649e68","Measuring residual stresses in individual on-chip interconnects using synchrotron nanodiffraction","Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Bäcke, Olof (Chalmers University of Technology); Kalbfleisch, Sebastian (MAX IV Laboratory); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Hörnqvist Colliander, Magnus (Chalmers University of Technology)","","2024","As the dimensions of interconnects in integrated circuits continue to shrink, an urgent need arises to understand the physical mechanism associated with electromigration. Using x-ray nanodiffraction, we analyzed the stresses in Blech-structured pure Cu lines subjected to different electromigration conditions. The results suggest that the measured residual stresses in the early stages of electromigration are related to relaxation of stresses caused by thermal expansion mismatch, while a developing current-induced stress leads to reductions in the residual stress after longer test times. These findings not only validate the feasibility of measuring stress in copper lines using nanodiffraction but also highlight the need for a further understanding, particularly through in situ electromigration experiments with x-ray nanodiffraction analysis.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:22824b37-1333-4610-9072-46bb06a172c2","http://resolver.tudelft.nl/uuid:22824b37-1333-4610-9072-46bb06a172c2","A critical assessment and summary on the low carbon energy pile technologies based on the life-cycle perspective: Challenges and prospects","Zhang, Linfeng (Southeast University); Han, Haozhe (The Hong Kong Polytechnic University); Li, Wenxin (Southeast University); Guo, Kewei (Southeast University); Yuan, Minglu (Southeast University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2024","Energy piles, a technology integrating the heat exchange component within building pile foundations for shallow geothermal energy utilization, have proven economically efficient. They outperform conventional ground source heat pumps by mitigating additional borehole costs and space requirements. This paper systematically examines low-carbon considerations and optimization measures throughout the planning, design, construction, and operation stages of energy piles, considering the entire lifecycle. Furthermore, this paper discusses potential challenges associated with decarbonizing energy piles, offering solutions based on case studies and environmental impact assessments. Through a comprehensive critical review and analysis of existing knowledge, this paper presents a systematic theory and methodology for optimal decarbonization of energy piles, serving as a valuable resource for building practitioners and researchers in this field. The findings not only contribute to a solid theoretical foundation but also provide technical support for the advancement and application of energy pile systems.","Building carbon emissions; Energy piles; Full life-cycle; Low carbonization; Optimize design; Shallow geothermal energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Design & Construction Management","","",""
"uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","http://resolver.tudelft.nl/uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","Investigation of the first quasi-rectangular metro tunnel constructed by the 0−θ method","Li, Peinan (Donghua University); Liu, Xue (Donghua University); Jiang, Xi (University of Tennessee); Zhang, X. (TU Delft Geo-engineering); Wu, Jun (Shanghai University of Engineering Science); Chen, Peixin (Shanghai Tunnel Engineering Co. Ltd.)","","2024","Quasi-rectangular shield tunneling is a cutting-edge trenchless method for constructing metro tunnels with double tubes, owing to its advantages in saving underground space and reducing ground disturbance. However, the conventional quasi-rectangular shield tunneling method is not applicable when constructing a tunnel without a center pillar, such as a scissor crossover section of a metro line. Therefore, the 0−θ tunneling method, which combines the quasi-rectangular shield and pipe jacking methods, was investigated in this study to solve the aforementioned construction challenges. This study presents a case study of the Sijiqing Station of the Hangzhou Metro Line 9 in China, in which the 0−θ method was first proposed and applied. Key techniques such as switching between two types of tunneling modes and the tunneling process control in complex construction environments were investigated. The results demonstrated that the 0−θ method can address the technical challenges presented by the post-transition line with a high curvature and a scissors crossover line. In addition, the adoption of the 0−θ method ensured that the transformation between shield tunneling and pipe jacking was safe and efficient. The ground settlement monitoring results demonstrated that the disturbance to the surrounding environment can be limited to a safe level. This case study contributes to the construction technology for a metro tunnel containing both post-transition lines with a small turning radius and a scissors crossover line. A practical construction experience and theoretical guidance were provided in this study, which are of significance for both the industry and academia.","0−θ method; pipe jacking; quasi-rectangular tunnel; shield tunneling; underground space","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Geo-engineering","","",""
"uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","http://resolver.tudelft.nl/uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","FedViT: Federated continual learning of vision transformer at edge","Zuo, Xiaojiang (Beijing Institute of Technology); Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2024","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral part of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning (FCL) is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID (non-Independent and Identically Distributed) data, and the limited scalability on the tasks and edge devices. Moreover, existing FCL techniques are designed for convolutional neural networks (CNNs), which have not utilized the full potential of newly emerged powerful vision transformers (ViTs). Considering ViTs depend heavily on training data diversity and volume, we hypothesize ViTs are well-suited for FCL where data arrives continually. In this paper, we propose FedViT, an accurate and scalable federated continual learning framework for ViT models, via a novel concept of signature task knowledge. FedViT is a client-side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedViT is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients’ current tasks through the global model. We implement FedViT in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedViT improves model accuracy by 88.61% without increasing model training time, reduces communication cost by 61.55%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex ViT models.","Catastrophic forgetting; Continual learning; Edge computing; Federated learning; Knowledge transfer negative; Vision transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-22","","","Data-Intensive Systems","","",""
"uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","http://resolver.tudelft.nl/uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","Vanadium-Containing Chloroperoxidase-Catalyzed Versatile Valorization of Phenols and Phenolic Acids","Li, Huanhuan (Xi’an Jiaotong University); Duan, Peigao (Xi’an Jiaotong University); Huang, Yawen (Chinese Academy of Sciences); Cui, Chengsen (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Ma, Yunjian (South China University of Technology); Wang, Yonghua (South China University of Technology); Zhang, Jie (Chinese Academy of Sciences); Liu, Weidong (Chinese Academy of Sciences); Zhang, Wuyuan (Chinese Academy of Sciences)","","2024","The downstream product transformation of lignin depolymerization is of great interest in the production of high-value aromatic chemicals. However, this transformation is often impeded by chemical oxidation under harsh reaction conditions. In this study, we demonstrate that hypohalites generated in situ by the vanadium-containing chloroperoxidase from Curvularia inaequalis (CiVCPO) can halogenate various electron-rich and electron-poor phenol and phenolic acid substrates. Specifically, CiVCPO enabled decarboxylative halogenation, deformylative halogenation, halogenation, and direct oxidation reactions. The versatile transformation routes for the valorization of phenolic compounds showed up to 99% conversion and 99% selectivity, with a turnover number of 60,700 and a turnover frequency of 60 s-1 for CiVCPO. This study potentially expands the biocatalytic toolbox for lignin valorization.","biocatalysis; decarboxylation; halogenation; lignin valorization; vanadium-containing chloroperoxidase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","BT/Biocatalysis","","",""
"uuid:79e91102-4da0-451a-bfc3-91a951d79d34","http://resolver.tudelft.nl/uuid:79e91102-4da0-451a-bfc3-91a951d79d34","Junction temperature and luminous flux prediction for white LED array based on electrical-photo-thermal modeling","Liu, Minne (Fudan University); Li, Wenyu (Fudan University); Chen, Wei (Fudan University); Ibrahim, Mesfin S. (New Territories); Xiong, Jingkang (Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Fudan Zhangjiang Institute; Chinese Academy of Sciences)","","2024","During the operation of an LED array, its thermal and optical performances are always not equal to the superposition of the individual LED's characteristics because of a significant thermal coupling effect between the arrays. Based on this, this paper proposes an electrical–photo-thermal model, with considering both junction temperature and luminous flux, to predict the both the thermal and optical performances of LED arrays operated under different currents, case temperatures, and lighting methods. The junction temperature and luminous flux of a single LED operating under different driving currents and case temperature conditions are firstly collected to establish the luminous flux response surface model of a single chip. Then it is used to predict the luminous flux of an array, whose junction temperature is predicted using both thermal coupling matrix (TCM) and numerical models. Experiments verify the luminous flux of the LED array under different operation conditions and show that the proposed electrical–photo-thermal modeling can be used to predict the thermal and optical parameters of LED arrays with 95 % accuracy. Thus, it is effective for the fast prediction of the junction temperature and luminous flux of large LED systems with array structures, i.e. intelligent automotive lightings and displays.","Electrical-photo-thermal modeling; Junction temperature; Light-emitting diode; Luminous flux; Multiple-chip array; Thermal coupling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","http://resolver.tudelft.nl/uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","Machine learning assisted discovery of high-efficiency self-healing epoxy coating for corrosion protection","Liu, Tong (University of Science and Technology Beijing; Shenyang University of Chemical Technology); Chen, Zhuoyao (University of Science and Technology Beijing); Yang, Jingzhi (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2024","Machine learning is a powerful means for the rapid development of high-performance functional materials. In this study, we presented a machine learning workflow for predicting the corrosion resistance of a self-healing epoxy coating containing ZIF-8@Ca microfillers. The orthogonal Latin square method was used to investigate the effects of the molecular weight of the polyetheramine curing agent, molar ratio of polyetheramine to epoxy, molar content of the hydrogen bond unit (UPy-D400), and mass content of the solid microfillers (ZIF-8@Ca microfillers) on the low impedance modulus (lg|Z|0.01Hz) values of the scratched coatings, generating 32 initial datasets. The machine learning workflow was divided into two stages: In stage I, five models were compared and the random forest (RF) model was selected for the active learning. After 5 cycles of active learning, the RF model achieved good prediction accuracy: coefficient of determination (R 2) = 0.709, mean absolute percentage error (MAPE) = 0.081, root mean square error (RMSE) = 0.685 (lg(Ω·cm2)). In stage II, the best coating formulation was identified by Bayesian optimization. Finally, the electrochemical impedance spectroscopy (EIS) results showed that compared with the intact coating ((4.63 ± 2.08) × 1011 Ω·cm2), the |Z|0.01Hz value of the repaired coating was as high as (4.40 ± 2.04) × 1011 Ω·cm2. Besides, the repaired coating showed minimal corrosion and 3.3% of adhesion loss after 60 days of neutral salt spray testing.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:e7444ac1-5c3b-4901-a940-986f3962debb","http://resolver.tudelft.nl/uuid:e7444ac1-5c3b-4901-a940-986f3962debb","A study on siting of emergency shelters for dam failure floods considering population distribution and weather effects","Jiao, Yutie (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, Wei (Zhengzhou University; Yellow River Engineering Consulting Co); Jing, Laihong (Yellow River Engineering Consulting Co); Wu, Meimei (Henan University of Technology, Zhengzhou); Wang, Te (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Wang, Jianyou (Zhengzhou University); Zhang, Xiangyang (Zhengzhou University); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2024","In recent years, dam failures have occurred frequently because of extreme weather, posing a significant threat to downstream residents. The establishment of emergency shelters is crucial for reducing casualties. The selection of suitable shelters depends on key information such as the number and distribution of affected people, and the effective capacity and accessibility of the shelters. However, previous studies on siting shelters did not fully consider population distribution differences at a finer scale. This limitation hinders the accuracy of estimating the number of affected people. In addition, most studies ignored the impact of extreme rainfall on the effective capacity and accessibility of shelters, leading to a low applicability of the shelter selection results. Therefore, in this study, land-use and land-cover change (LUCC) and nighttime lighting data were used to simulate population distribution and determine the number and distribution of affected people. Qualified candidate shelters were obtained based on screening criteria, and their effective capacity and accessibility information under different weather conditions were quantified. Considering factors such as population transfer efficiency, construction cost and shelter capacity constraints, a multi-objective siting model was established and solved using the non-dominated sorting genetic algorithm II (NSGA- II) to obtain the final siting scheme. The method was applied to the Dafangying Reservoir, and the results showed the following: (1) The overall mean relative error (MRE) of the population in the 35 downstream streets was 11.16 %, with good fitting accuracy. The simulation results truly reflect the population distribution. (2) Normal weather screening generated 352 qualified candidate shelters, whereas extreme rainfall weather screening generated 266 candidate shelters. (3) Based on the population distribution and weather factors, four scenarios were set up, with 63, 106, 73, and 131 shelters selected. These two factors have a significant impact on the selection of shelters and the allocation of evacuees, and should be considered in the event of a dam-failure floods.","Dam-failure floods; Emergency transfer; Population distribution; Shelters selection; Weather factors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-05","","","Safety and Security Science","","",""
"uuid:63aa2011-308e-4622-bb07-5fd32b076044","http://resolver.tudelft.nl/uuid:63aa2011-308e-4622-bb07-5fd32b076044","Milliarcsecond-scale radio structure of the most distant BL Lac object candidate at redshift 6.57","Frey, S. (Institute of Physics and Astronomy; Eötvös University; MTA Centre of Excellence); Zhang, Y. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Perger, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence); An, T. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Gabányi, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Hwang, C. Y. (National Central University Taiwan); Koptelova, E. (National Central University Taiwan); Paragi, Z. (Joint Institute for VLBI ERIC); Fogasy, J. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence)","","2024","Context. The existence of accreting supermassive black holes of up to billions of solar masses at early cosmological epochs (in the context of this work, redshifts z & 6) requires very fast growth rates that are challenging to explain. The presence of a relativistic jet can be a direct indication of activity and accretion status in active galactic nuclei (AGN), constraining the radiative properties of these extreme objects. However, known jetted AGN beyond z ∼ 6 are still very rare. Aims. The radio-emitting AGN J2331+1129 has recently been claimed as a candidate BL Lac object at redshift z = 6.57 based on its synchrotron-dominated emission spectrum and a lack of ultraviolet or optical emission lines. It is a promising candidate for the highest-redshift blazar known to date. The aim of the observations described here is to support or refute the blazar classification of this peculiar source. Methods. We performed high-resolution radio interferometric imaging observations of J2331+1129 using the Very Long Baseline Array at 1.6 and 4.9 GHz in February 2022. Results. The images reveal a compact but slightly resolved, flat-spectrum core feature at both frequencies, indicating that the total radio emission is produced by a compact jet and originates from within a central region of ∼10 pc in diameter. While these details are consistent with the radio properties of a BL Lac object, the inferred brightness temperatures are at least an order of magnitude lower than expected for a Doppler-boosted radio jet, which casts doubt on the high-redshift BL Lac identification.","BL Lacertae objects: individual: J2331+1129; galaxies: high-redshift; radio continuum: galaxies; techniques: interferometric","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","http://resolver.tudelft.nl/uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","Revealing The Degradation Mechanism of (Sr,Ca)AlSiN3:Eu2+ Phosphor Aged Under Thermal-Moisture-Sulfur Conditions: A Combined Experimental and Ab Initio Study","Guo, Baotong (Fudan University); Wen, Minzhen (Fudan University); Tang, Hongyu (Fudan University); Lishik, Sergey (Center of LED and Optoelectronic Technologies of NAS Belarus); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changchun Institute of Optics Fine Mechanics and Physics Chinese Academy of Sciences; Fudan Zhangjiang Institute)","","2024","MAlSiN3:Eu2+ (M = Ca, Sr) is commonly used in high-power phosphor-converted white-light-emitting diodes and laser diodes to promote their color-rendering index. However, the wide application of this phosphor is limited by the degradation of its luminescent properties in high-temperature, high-humidity, and high-sulfur-content environment. Here, the degradation mechanism of the (Sr,Ca)AlSiN3:Eu2+ (SCASN) red phosphor under thermal-moisture-sulfur coupling conditions is investigated. Furthermore, by performing first-principles calculations, the hydrolysis mechanism on an atomic scale is assessed. The adsorption energy (Eads) and charge transfer (ΔQ) results showed that H2O chemically adsorbed on the (0 1 0), (3 1 0), and (0 0 1) surfaces of the CaAlSiN3 (CASN) host lattice. The energy barrier for H2O dissociation is only 29.73 kJ mol−1 on the CASN (0 1 0) surface, indicating a high dissociation probability. The formation of NH3, Ca(OH)2, and CaAl2Si2O8 is confirmed by H+ tended to combine with surface N atoms, while OH− combined with the surface Al/Si or Ca atoms. Moreover, ab initio molecular dynamics simulations were performed to further understand the hydrolysis process. This work offers a guidance on the design and applications of luminescent materials in LED packages with higher reliability and stability requirements in harsh environment.","(Sr; Ca)AlSiN:Eu phosphor; degradation mechanism; first-principles calculation; hydrolysis reaction; sulfur and hydrogen sulfide","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","http://resolver.tudelft.nl/uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","Synchronized optimization of wind farm start-stop and yaw control based on 3D wake model","Mr. Wang, Q. (TU Delft Wind Energy; Hubei University of Technology); Xu, Tangjie (Hubei University of Technology); von Terzi, D.A. (TU Delft Wind Energy); Xia, Wei (Hubei University of Technology); Wang, Zhenhai (Hubei University of Technology); Zhang, Haoran (Hubei University of Technology)","","2024","In existing wind farms, the overall power output can be increased through yaw control. However, the cooperative control of start/stop, yaw and turbines positions is often overlooked, leading to wake superposition to downstream wind turbines and suboptimal power output. This paper proposes a synchronized optimized method that considers start/stop, yaw and turbines positions control based on a three-dimensional wake model and yaw flow superposition model. The objective function of the proposed strategy is to maximize the power output of the Chapman Ranch (CR) wind farm. Four cases are considered: start-stop, yaw control, start-stop & yaw control and start-stop & yaw & turbines positions control. The particle swarm algorithm is introduced to optimize the wind farm layout. According to the results, considering start-stop, yaw and turbines positions optimization can not only increase the annual power output of the wind farm by 8.85 %, but also avoid the colliding wake in the CR wind farm. However, the other three cases will cause colliding wake in some fields of the CR wind farm. This study provides important guidance on improving the overall power output of existing wind farms.","3D wake model; Optimization; Start-stop; Wind farm layout; yaw control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Wind Energy","","",""
"uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","http://resolver.tudelft.nl/uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","Potential of BREEAM-C to support building circularity assessment: Insights from case study and expert interview","Wong, Dominique Hiulong (Universiteit Leiden); Zhang, Chunbo (Universiteit Leiden; University College London (UCL)); Di Maio, F. (TU Delft Resources & Recycling); Hu, Mingming (Universiteit Leiden)","","2024","Lack of knowledge and tools hampers circular transition in the construction industry. This study analyzes the potential of a framework of circular indicators put forward by the Building Research Establishment Environmental Assessment Method (BREEAM-C) as an answer to the prevailing need of a metric for building circularity assessment to promote circular construction. A qualitative analysis approach is adopted, involving literature review, comparative case study and semi-structured interviews conducted for collecting expert opinions. An in-depth scrutiny of the BREEAM-C indicators revealed that they are rooted in circular principles, cover building circularity realizable through circular strategies, and have given due consideration to circularity in different impact areas, structural layers and life-cycle stages of buildings. Moreover, BREEAM-C indicators not only show capacity in identifying CE-related practices implemented, but also serve as benchmarks testifying that CE principles/strategies are incorporated in the design, construction, operation and management of the buildings. Despite having room for expansion, BREEAM-C has proven to be applicable and practical with potential for use in Taiwan as confirmed by expert opinions. Nevertheless, adaptation/localization is required to cater for different concerns with respect to climate and safety as well as local context and legislations.","BREEAM; Building circularity; Built environment; Circular construction; Circular economy; Circularity assessment","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","http://resolver.tudelft.nl/uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","Unraveling the hydrogen sulfide aging mechanism on electrical-thermal–mechanical property degradation of sintered nanocopper interconnects used in power electronics packaging","Chen, Wei (Fudan University); Liu, Xu (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhu, Xi (Fudan University; Research Institute of Fudan University, Ningbo); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2024","During operation in environments containing hydrogen sulfide (H2S), such as in offshore and coastal environments, sintered nanoCu in power electronics is susceptible to degradation caused by corrosion. In this study, experimental and molecular dynamics (MD) simulation analyses were conducted to investigate the evolution and mechanism of H2S-induced corrosion of sintered nanoCu, and bulk Cu was used as the reference. The following results are obtained: (1) Both sintered nanoCu and bulk Cu reacted with O2 prior to reacting with H2S, forming Cu2O, Cu2S, CuO, and CuS. In addition, sintered nanoCu exhibited more severe corrosion. (2) For both sintered nanoCu and bulk Cu, H2S-induced corrosion resulted in the deterioration of electrical, thermal, and mechanical properties, and sintered nanoCu experienced a greater extent of deterioration. (3) As was ascertained through Reactive Force Field (ReaxFF) MD simulations, the penetration of H2S and O2 combined with the upward migration of Cu resulted in the formation of a corrosion film. In addition, compared to bulk Cu, the H2S and O2 penetration in the sintered nanoCu structure was observed to occur to a greater depth, accounting for the more pronounced performance degradation.","HS corrosion; Performance degradation; ReaxFF; Shear strength; Sintered nanocopper","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","http://resolver.tudelft.nl/uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","A multi-step fast charging-based battery capacity estimation framework of real-world electric vehicles","Zhang, D. (TU Delft DC systems, Energy conversion & Storage; Beijing Institute of Technology); Wang, Zhenpo (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); She, Chengqi (Hunan University of Science and Technology); Wang, Qiushi (Beijing Institute of Technology); Zhou, Litao (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2024","Accurately evaluating battery degradation is not only crucial for ensuring the safe and reliable operation of electric vehicles (EVs) but also fundamental for their intelligent management and maximum utilization. However, the non-linearity, non-measurability, and multi-stress coupled operating conditions have posed significant challenges for battery health prediction. This paper proposes a battery capacity estimation framework based on real-world operating data. Firstly, a comprehensive feature pool is constructed from the direct external features extracted during multi-step fast charging processes and the quantitative representation of operating conditions. Subsequently, a two-step feature engineering is introduced to select the most relevant features and eliminate the interference components. The battery capacity estimation framework is then implemented using machine learning methods. Validation results demonstrate that the proposed framework achieves superior estimation accuracy with lower computational expense compared to the modelling process without feature engineering. The MAPE and RMSE reach 1.18% and 1.98 Ah, respectively, representing reductions in errors of up to 8.53% and 11.21%. Collectively, the proposed framework paves the foundation for online health prognostics of batteries under practical operating conditions.","Lithium-ion battery; Capacity estimation; Multi-step fast charging; Machine learning; Real-world data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","DC systems, Energy conversion & Storage","","",""
"uuid:57847760-f408-4c02-a96b-a5aa43b81648","http://resolver.tudelft.nl/uuid:57847760-f408-4c02-a96b-a5aa43b81648","Investigating Mechanical Properties of Silicon Carbide Coated Carbon Nanotube Composite at Elevated Temperatures","Mo, J. (TU Delft Electronic Components, Technology and Materials); Schaffar, Gerald J.K. (Montanuniversität Leoben); Du, L. (TU Delft Electronic Components, Technology and Materials); Maier-Kiener, Verena (Montanuniversität Leoben); Kiener, Daniel (Montanuniversität Leoben); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","Silicon carbide (SiC) coated vertically aligned carbon nanotubes (VACNT) are attractive material for fabricating MEMS devices as an alternative for bulk micromachining of SiC. In order to examine the mechanical properties of SiC-CNT composites at high temperatures, we fabricated VACNT micro-pillars with different amounts of SiC coating and performed high-temperature micro-pillar compression on these samples. The indentation result shows that the coating can improve the elastic modulus up to three orders of magnitude. Samples were tested at room temperature, 300°C, 600°C, and 900°C under compressive load. No significant degradation of the mechanical properties was observed at elevated temperatures, demonstrating the harsh environment potential of this composite.","SiC-CNT composite; high-temperature; micro-pillar; compression test","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Electronic Components, Technology and Materials","","",""
"uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","http://resolver.tudelft.nl/uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","Kirkendall effect-induced uniform stress distribution stabilizes nickel-rich layered oxide cathodes","Gao, Ziyao (Tsinghua University); Zhao, C. (Tsinghua University); Zhou, Kai (Tsinghua University); Wu, Junru (Tsinghua University); Tian, Yao (Tsinghua University); Deng, Xianming (Tsinghua University); Zhang, Lihan (Tsinghua University); Lin, Kui (Tsinghua University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Nickel-rich layered oxide cathodes promise ultrahigh energy density but is plagued by the mechanical failure of the secondary particle upon (de)lithiation. Existing approaches for alleviating the structural degradation could retard pulverization, yet fail to tune the stress distribution and root out the formation of cracks. Herein, we report a unique strategy to uniformize the stress distribution in secondary particle via Kirkendall effect to stabilize the core region during electrochemical cycling. Exotic metal/metalloid oxides (such as Al2O3 or SiO2) is introduced as the heterogeneous nucleation seeds for the preferential growth of the precursor. The calcination treatment afterwards generates a dopant-rich interior structure with central Kirkendall void, due to the different diffusivity between the exotic element and nickel atom. The resulting cathode material exhibits superior structural and electrochemical reversibility, thus contributing to a high specific energy density (based on cathode) of 660 Wh kg−1 after 500 cycles with a retention rate of 86%. This study suggests that uniformizing stress distribution represents a promising pathway to tackle the structural instability facing nickel-rich layered oxide cathodes.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","http://resolver.tudelft.nl/uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","Exceptional mechanical performance by spatial printing with continuous fiber: Curved slicing, toolpath generation and physical verification","Fang, G. (TU Delft Emerging Materials; The University of Manchester); Zhang, Tianyu (The University of Manchester); Huang, Yuming (The University of Manchester); Zhang, Zhizhou (The University of Manchester); Masania, K. (TU Delft Group Masania); Wang, C.C. (TU Delft Emerging Materials; The University of Manchester)","","2024","This work explores a spatial printing method to fabricate continuous fiber-reinforced thermoplastic composites (CFRTPCs), which can achieve exceptional mechanical performance. For models giving complex 3D stress distribution under loads, typical planar-layer based fiber placement usually fails to provide sufficient reinforcement due to their orientations being constrained to planes. The effectiveness of fiber reinforcement could be maximized by using multi-axis additive manufacturing (MAAM) to better control the orientation of continuous fibers in 3D-printed composites. Here, we propose a computational approach to generate 3D toolpaths that satisfy two major reinforcement objectives: (1) following the maximal stress directions in critical regions and (2) connecting multiple load-bearing regions by continuous fibers. Principal stress lines are first extracted in an input solid model to identify critical regions. Curved layers aligned with maximal stresses in these critical regions are generated by computing an optimized scalar field and extracting its iso-surfaces. Then, topological analysis and operations are applied to each curved layer to generate a computational domain that preserves fiber continuity between load-bearing regions. Lastly, continuous fiber toolpaths aligned with maximal stresses are generated on each surface layer by computing an optimized scalar field and extracting its iso-curves. A hardware system with dual robotic arms is employed to conduct the physical MAAM tasks depositing polymer or fiber reinforced polymer composite materials by applying a force normal to the extrusion plane to aid consolidation. When comparing to planar-layer based printing results in tension, up to 644% failure load and 240% stiffness are observed on shapes fabricated by our spatial printing method. We demonstrate the versatility of our approach through various complex load cases which demonstrate their successful implementation of continuous fiber printing in 3D.","Continuous fiber reinforced thermoplastic composites; Multi-axis additive manufacturing; Toolpath generation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","http://resolver.tudelft.nl/uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","Online Condition Monitoring Methodology for Power Electronics Package Reliability Assessment","Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center (CITC)); Smits, Edsger C.P. (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","This article introduces an online condition monitoring strategy that utilizes a transient heat pulse to detect package thermal performance degradation. The metric employed is the temperature-dependent transient thermal impedance ""Zth(t, Tamb).""The proposed methodology offers quantitative insights into package thermal performance degradation and effectively pinpoints the presence of multiple failure mechanisms. A thermal test chip assembled in a power quad flat no-lead package is used in this study to demonstrate the methodology. The packaged devices are first characterized to determine the transient pulse duration, a critical parameter to monitor a specific region of interest. Subsequently, package thermal performance degradation is continuously monitored online during thermomechanical cycling lifetime experiments. The validity of the measurement results is later confirmed through acoustic imaging and cross-sectional analysis. The changes observed in Zth(t, Tamb) over thermal cycling correspond to the delamination of the active metal layers on the die and cohesive failure on the die attach. This article further includes a comparative summary, highlighting the distinctions between the proposed and industry-standard test methods. In conclusion, the importance of online condition monitoring to detect early signs of failure is emphasized, and the proposed methodology s practical applicability in real-life scenarios is briefly discussed.","Electronic packaging; Temperature measurement; Semiconductor device measurement; Temperature sensors; Electrical resistance measurement; Transient analysis; Thermal Cycling; Silver Sintering; Transient Thermal Impedance; Thermal Test Chips; Application-driven Reliability Qualification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Electronic Components, Technology and Materials","","",""
"uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","http://resolver.tudelft.nl/uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","Self-healing of cementitious materials using sustainable cenosphere-based manufactured aggregate","Lv, Leyang (Shenzhen University); Zhang, Xiangyu (Shenzhen University); Šavija, B. (TU Delft Materials and Environment); Zhang, Mingzhong (University College London (UCL)); Han, Kaihang (Shenzhen University); Zhang, Honghzhi (Shandong University); Pei, Chun (Shenzhen University); Zhu, Jihua (Shenzhen University); Xing, Feng (Shenzhen University)","","2024","Self-healing concrete using encapsulated healing agent has shown great potential in enhancing concrete durability. However, the capsules are expensive to make and can lower the mechanical properties of concrete. In this study, a new type of manufactured aggregate that employs waste-derived fly ash cenosphere as a carrier of healing agent (SH-CS) was designed and produced. The effect of SH-CS incorporation on hydration, engineering properties and self-healing efficiency of cement mortar was systematically evaluated, with a special focus on self-healing mechanism through the analysis of the mineral composition of the healing product. The results indicate that the prepared SH-CS has good stability in and compatibility with cement mortar. The addition of SH-CS has small influence on the fresh properties of cement mortar and less negative effect on compressive strength at the hardened stage compared to the existing study. By replacing 3 wt.% of fine aggregate with SH-CS, up to 71% of the crack opening area of mortar specimens with a crack width of about 0.3 mm was self-healed after 28 days of water exposure. The self-healing behaviour of SH-CS led to a maximal 41% drop in water adsorption and contributed to the recovery of flexural strength. The healing products precipitated on the fracture surface were mainly composed of amorphous C-S-H and Calcite. It can be estimated that incorporating SH-CS in concrete would result in only a moderate (∼29%) rise in cost for C40 concrete.","Cenosphere; Encapsulated healing agent; Engineering properties; Hydration; Microstructure; Self-healing concrete","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Materials and Environment","","",""
"uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","http://resolver.tudelft.nl/uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","Real-time reconstruction of unsteady rotating forces acting by rotor blades in moving medium","Xu, Ying (Hefei University of Technology); Casalino, D. (TU Delft Wind Energy); Zhang, Xiao Zheng (Hefei University of Technology); Zhang, Yong Bin (Hefei University of Technology); Bi, Chuan Xing (Hefei University of Technology)","","2024","A time-domain inverse aeroacoustic method based on the convective Ffowcs Williams–Hawkings equation is presented. The method allows to determine, in real-time, the unsteady forces exerted on rotating blades in the presence of a moving medium. The inversion procedure is based on a space-time regularization with a mixed l1,2-norm, which guarantees accuracy and smoothness of the solution. The method is initially verified through synthetic acoustic signals emitted by rotating sources in a constant flow, up to a convective Mach number of about 0.88. Then the method is validated through signals generated by a propeller immersed in a wind-tunnel jet flow, up to a Mach number of 0.06. Due to the reduced convective Mach number, the leading aeroacoustic effect is derived from a variation of the blade loading. It is argued that the onset of flow separation at high values of the rotor advance ratio is responsible for the onset of force fluctuations that the inverse method is able to retrieve both qualitatively and quantitatively.","Inverse aeroacoustic method; Moving medium; Real-time reconstruction; Rotor noise; Unsteady rotating forces","en","journal article","","","","","","","","2024-01-01","","","Wind Energy","","",""
"uuid:37765bba-314a-43f5-a909-2a731e307d70","http://resolver.tudelft.nl/uuid:37765bba-314a-43f5-a909-2a731e307d70","Multi-mode industrial soft sensor method based on mixture Laplace variational auto-encoder","Zhang, Tianming (Taiyuan University of Technology); Yan, Gaowei (Taiyuan University of Technology; Shanxi Research Institute of Huairou Laboratory); Li, Rong (Taiyuan University of Technology); Xiao, Shuyi (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2024","The industrially collected process data usually exhibit non-Gaussian and multi-mode characteristics. Due to sensor failures, irregular disturbances, and transmission problems, there are unavoidable outliers that make the data exhibit heavy-tailed characteristics. To this end, a variational auto-encoder regression method based on the mixture Laplacian distribution (MLVAER) is proposed, by introducing a type-II multivariate Laplacian distribution in the latent variable space for robust modeling, and further extending it to the mixture form to accommodate multi-mode processes, the corresponding reparameterization trick is finally proposed for the mixture form of this distribution for neural network gradient descent training. The model based on this distribution assumption has higher degrees of freedom than the model based on the traditional multivariate Laplace distribution assumption when the network structure is the same. Numerical simulation and experiments on two industrial examples demonstrate that the proposed algorithm reduces the root mean square error by over 15% compared to other algorithms.","Heavy tail; Mixture Laplace; Multi-mode; Soft sensor; Variational auto-encoder","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Transport Engineering and Logistics","","",""
"uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","http://resolver.tudelft.nl/uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","From Short Circuit to Completed Circuit: Conductive Hydrogel Facilitating Oral Wound Healing","Zhou, Qiangqiang (Fudan University); Dai, Hanqing (Fudan University); Yan, Yukun (Fudan University); Qin, Zhiming (Fudan University); Zhou, Mengqi (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Guo, Ruiqian (Fudan University); Wei, X. (Fudan University)","","2024","The primary challenges posed by oral mucosal diseases are their high incidence and the difficulty in managing symptoms. Inspired by the ability of bioelectricity to activate cells, accelerate metabolism, and enhance immunity, a conductive polyacrylamide/sodium alginate crosslinked hydrogel composite containing reduced graphene oxide (PAA-SA@rGO) is developed. This composite possesses antibacterial, anti-inflammatory, and antioxidant properties, serving as a bridge to turn the “short circuit” of the injured site into a “completed circuit,” thereby prompting fibroblasts in proximity to the wound site to secrete growth factors and expedite tissue regeneration. Simultaneously, the PAA-SA@rGO hydrogel effectively seals wounds to form a barrier, exhibits antibacterial and anti-inflammatory properties, and prevents foreign bacterial invasion. As the electric field of the wound is rebuilt and repaired by the PAA-SA@rGO hydrogel, a 5 × 5 mm2 wound in the full-thickness buccal mucosa of rats can be expeditiously mended within mere 7 days. The theoretical calculations indicate that the PAA-SA@rGO hydrogel can aggregate and express SOX2, PITX1, and PITX2 at the wound site, which has a promoting effect on rapid wound healing. Importantly, this PAA-SA@rGO hydrogel has a fast curative effect and only needs to be applied for the first three days, which significantly improves patient satisfaction during treatment.","conductive hydrogel; microphysiological electric field; oral mucosal disease; tissue regeneration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","http://resolver.tudelft.nl/uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","Predicting nodal influence via local iterative metrics","Zhang, S. (TU Delft Multimedia Computing); Hanjalic, A. (TU Delft Intelligent Systems); Wang, H. (TU Delft Multimedia Computing)","","2024","Nodal spreading influence is the capability of a node to activate the rest of the network when it is the seed of spreading. Combining nodal properties (centrality metrics) derived from local and global topological information respectively has been shown to better predict nodal influence than using a single metric. In this work, we investigate to what extent local and global topological information around a node contributes to the prediction of nodal influence and whether relatively local information is sufficient for the prediction. We show that by leveraging the iterative process used to derive a classical nodal centrality such as eigenvector centrality, we can define an iterative metric set that progressively incorporates more global information around the node. We propose to predict nodal influence using an iterative metric set that consists of an iterative metric from order 1 to K produced in an iterative process, encoding gradually more global information as K increases. Three iterative metrics are considered, which converge to three classical node centrality metrics, respectively. In various real-world networks and synthetic networks with community structures, we find that the prediction quality of each iterative based model converges to its optimal when the metric of relatively low orders (K∼4) are included and increases only marginally when further increasing K. This fast convergence of prediction quality with K is further explained by analyzing the correlation between the iterative metric and nodal influence, the convergence rate of each iterative process and network properties. The prediction quality of the best performing iterative metric set with K=4 is comparable with the benchmark method that combines seven centrality metrics: their prediction quality ratio is within the range [91%,106%] across all three quality measures and networks. In two spatially embedded networks with an extremely large diameter, however, iterative metric of higher orders, thus a large K, is needed to achieve comparable prediction quality with the benchmark.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","http://resolver.tudelft.nl/uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","A Novel Composite Sensor for UHF Partial Discharge and Gas State Measurement in GIS","Chen, Huan (Xi’an Jiaotong University); Zhang, Zhaoyu (Xi’an Jiaotong University); Guo, R. (TU Delft High Voltage Technology Group); Han, Xutao (Xi’an Jiaotong University); Zhang, Xuanrui (Xi’an Jiaotong University); Lin, Junhao (Xi’an Jiaotong University)","","2024","The gas state of the insulating gas significantly impacts the development and extent of the partial discharge (PD) in gas-insulated switchgear (GIS). Correlating these two factors allows for a more comprehensive diagnosis of insulation defects. At present, the ultrahigh-frequency (UHF) method has been widely used for PD detection in GIS. However, the measurements of the insulating gas’s state in the field are primarily conducted offline or at gas valves, providing ineffective data for reference. To address this challenge, this article introduces a novel composite sensor capable of simultaneous UHF and gas state measurements. Building upon the disk-type UHF sensor structure, the composite sensor integrates a gas state sensing unit with the micro-electromechanical systems (MEMS) sensor as the core. A robust shielding shell is designed through finite element simulation to ensure the safety of the sensor, with the maximum induced voltage and electric field strength on the sensing unit measuring 0.28 V and 414 V/m, respectively. The average UHF measurement sensitivity of the composite sensor reaches 13.3 mm. In addition, the composite sensor exhibits low errors (±0.2 °C, ±3 kPa, and $\pm 10~\mu \text{L}$ /L), high consistency and repeatability in temperature, pressure, and moisture measurement. Finally, the composite sensor has demonstrated its capability to simultaneously and safely detect PD signals and the gas state within GIS.","Combined detection; electromagnetic shielding; gas-insulated switchgear (GIS); gas state; micro-electromechanical systems (MEMS) sensor; ultrahigh frequency (UHF)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","High Voltage Technology Group","","",""
"uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","http://resolver.tudelft.nl/uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","A conceptual design of two-stream alkali-activated materials","Sun, Yubo (Universiteit Gent); Mohan, Manu K. (Universiteit Gent); Tao, Yaxin (Universiteit Gent); Zhang, Yi (Universiteit Gent; Tongji University); Van Tittelboom, Kim (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2024","To properly control the reaction kinetics and fresh properties evolution in conventional alkali-activated materials (AAMs), a conceptual design of two-stream AAMs has been proposed in this study. This is achieved by dividing the solid and liquid components in AAMs, including blast furnace slag (BFS) and electric arc furnace slag (EFS) precursors, as well as aqueous sodium hydroxide and silicate activators into two separate streams A and B, where a very limited reactivity is expected in individual streams to ensure sufficient workability retention. Moreover, a final-stage intermixing is required to combine individual stream mixtures and trigger the major activation reaction. Fresh and hardened properties of combined mixtures were checked at different stages. The microstructure and reaction products were investigated to understand the strength development. Low dynamic rheological parameters and good workability retention have been detected in all individual stream mixtures, accompanied by limited exothermic heat flows after the initial dissolution confirmed by calorimetry tests. Further, Portland cement (PC) is partially blended into stream A to alter the early stiffening process in combined mixtures and meet various setting demands after intermixing. However, this might lead to a reduction in mechanical properties, associated with the formation of porous microstructures and an increase in the Ca/Si ratio in reaction products. Eventually, the conceptual design is validated in different scenarios including self-compacting and 3D-printing concrete applications.","3D-printing; Microstructure; Rheology; Self-compacting; Two-stream AAMs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Materials and Environment","","",""
"uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","http://resolver.tudelft.nl/uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","A 72-Channel Resistive-and-Capacitive Sensor-Interface Chip With Noise-Orthogonalizing and Pad-Sharing Techniques","Feng, Xiangdong (Zhejiang University; Nanhu Brain-Computer Interface Institute); Luo, Yuxuan (Zhejiang University); Cai, Tianyi (Zhejiang University); Xuan, Yangfan (Zhejiang University); Zhang, Yunshan (Microaiot); Shen, Yili (Zhejiang University); Yang, Changgui (Zhejiang University); Xiao, Qijing (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Zhao, Bo (Zhejiang University; Nanhu Brain-Computer Interface Institute)","","2024","The growing trend of the Internet of Things (IoT) involves trillions of sensors in various applications. An extensive array of parameters need to be gathered concurrently with high-precision, low-cost, and low-power sensor nodes, such as resistive (R) and capacitive (C) sensors. Single-chip channel fusion can be an effective solution, while it is challenging to suppress the noise and integrate massive I/O pads. However, conventional oversampling noise-shaping methods increase power consumption, which fails to meet the demand of long-term monitoring applications. In addition, existing R/C sensor-interface chips require a pair of I/O pads for each sensor, where the pad frame dominates the overall chip area in massive-channel integration. In this work, we demonstrate a 72-channel R&C sensor-interface chip for proximity-and-temperature sensing. A noise-orthogonalizing technique is proposed to eliminate the quantization noise at the signal frequencies, achieving an energy efficiency of 19.1 pJ/step/channel. Moreover, a pad-sharing technique is proposed to reduce the number of I/O pads by half, enabling 72 sensors to be read by 36 pairs of I/O pads. The chip is fabricated by 65-nm CMOS technology, and measurement results show resolutions of 286 Omega and 162 fF, respectively. The power consumption and die area are reduced to 0.74 mu text{W} /Channel and 0.038 mm2/Channel, respectively.","Low power; multichannel sensing; noise-orthogonalizing; pad-sharing; sensor interface","en","journal article","","","","","","","","2024-07-23","","","Electronic Instrumentation","","",""
"uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","http://resolver.tudelft.nl/uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","Optimizing safety barrier allocation to prevent domino effects in large-scale chemical clusters using graph theory and optimization algorithms","Zhang, Muchen (Nanjing Tech University); Li, Zelin (Nanjing Tech University); Hou, Shuya (Nanjing Tech University); Deng, Siyu (Nanjing Tech University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Zhang, Bin (Nanjing Tech University)","","2024","Domino effects are high-impact low-probability events that can have catastrophic consequences. To prevent and to reduce risks related to such events, safety barriers (SBs) are crucial. However, the initiation, propagation, and stopping processes of domino effects are characterized with complexity and uncertainties and hence they are unpredictable. This makes it challenging to allocate SBs based on predicted probabilities. In this study, a multi-objective optimization model which integrates graph theory with Non-dominated Sorting Genetic Algorithm II (NSGA-II) was proposed to allocate add-on SBs effectively. Graph metrics were used to quantify the escalation risks related to storage tanks and to optimize the allocation of add-on SBs, thereby minimizing the consequences of a domino effect under a budget constraint. The results of the case study demonstrate great efficiency in finding globally optimal strategies with a largest reduction of 94.3% in the out-closeness score due to the implementation of add-on SBs, allowing decision-makers to choose the most preferable investment strategy in face of domino effect risk. Our study therefore provides a novel approach to achieve an optimal allocation of add-on SBs globally and can be useful in preventing domino effects in large-scale chemical clusters equipped with a large number of storage tanks.","Domino effects; Graph theory; Multi-objective optimization; NSGA-II; Safety barriers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-22","","","Safety and Security Science","","",""
"uuid:69a3750d-8ca1-4e18-99cc-00ba97e66955","http://resolver.tudelft.nl/uuid:69a3750d-8ca1-4e18-99cc-00ba97e66955","A review on machine learning in flexible surgical and interventional robots: Where we are and where we are going","Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Zhang, R. (TU Delft Human-Robot Interaction; Universiteit Leiden); Pore, Ameya (Universitat Politecnica de Catalunya; University of Verona); Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Li, Z. (TU Delft Medical Instruments & Bio-Inspired Technology; Politecnico di Milano); Herrera, Fernando (Katholieke Universiteit Leuven; University of Strasbourg); Kowalczyk, Wojtek (Universiteit Leiden); De Momi, Elena (Politecnico di Milano); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Kober, J. (TU Delft Learning & Autonomous Control)","","2024","Minimally Invasive Procedures (MIPs) emerged as an alternative to more invasive surgical approaches, offering patient benefits such as smaller incisions, less pain, and shorter hospital stay. In one class of MIPs, where natural body lumens or small incisions are used to access deeper anatomical locations, Flexible Surgical and Interventional Robots (FSIRs) such as catheters and endoscopes are widely used. Due to their flexible and compliant nature, FSIRs can be inserted via natural orifices or small incisions, then moved towards hard-to-reach targets to perform interventional tasks. However, existing FSIRs are confronted with challenges in sensing, control, and navigation. These issues stem from the robot's non-linear behavior and the intricate nature of the lumens, where accurately modeling the complex interactions and disturbances proves to be exceptionally difficult. The rapid advances in Machine Learning (ML) have facilitated the widespread adoption of ML techniques in FSIRs. This article provides an overview of these efforts by first introducing a classification of existing ML algorithms, including traditional ML methods and modern Deep Learning (DL) approaches, commonly used in FSIRs. Next, the use of ML algorithms is surveyed per sub-domain, namely for perception, modeling, control, and navigation. Trends, popularity, strengths, and/or limitations of different ML algorithms are analyzed. The different roles that ML plays among tasks are investigated and described. Finally, discussions are conducted on the limitations and the prospects of ML in MIPs.","Control; Flexible surgical and interventional robots; Machine learning; Modeling; Navigation; Sensing","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","http://resolver.tudelft.nl/uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","Effect of temperature on dislocation-tuned dielectricity and piezoelectricity in single-crystal BaTiO3","Dietrich, Felix (Technische Universität Darmstadt); Ni, Fan (Technische Universität Darmstadt); Fulanović, Lovro (Technische Universität Darmstadt); Zhou, Xiandong (Sichuan University); Isaia, Daniel (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy); Zhang, Chunlin (Physcience Optoelectronics Co., Ltd, Beijing); Xu, Bai Xiang (Technische Universität Darmstadt); Rödel, Jürgen (Technische Universität Darmstadt)","","2024","The pinning-controlled mobility of ferroelectric/ferroelastic domain walls is an important part of managing polarization switching and determining the final properties of ferroelectric and piezoelectric materials. Here, we assess the impact of temperature on dislocation-induced domain wall pinning as well as on dislocation-tuned dielectric and piezoelectric response in barium titanate single crystals. Our solid-state nuclear magnetic resonance spectroscopy results indicate that the entire sample exclusively permits in-plane domains, with their distribution remaining insensitive to temperature changes below the Curie temperature (TC). The domain wall pinning field monotonically decreases with increasing temperature up to TC, as evidenced by a combination of experimental observations and phase-field simulations. Our work highlights the promising potential of dislocation engineering in controlling domain wall mobility within bulk ferroelectrics.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","RST/Storage of Electrochemical Energy","","",""
"uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","http://resolver.tudelft.nl/uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","Contrast-Agnostic Groupwise Registration by Robust PCA for Quantitative Cardiac MRI","Li, Xinqi (Student TU Delft); Zhang, Y. (TU Delft ImPhys/Tao group); Zhao, Y. (TU Delft ImPhys/Tao group); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Quantitative cardiac magnetic resonance imaging (MRI) is an increasingly important diagnostic tool for cardiovascular diseases. Yet, co-registration of all baseline images within the quantitative MRI sequence is essential for the accuracy and precision of quantitative maps. However, co-registering all baseline images from a quantitative cardiac MRI sequence remains a nontrivial task because of the simultaneous changes in intensity and contrast, in combination with cardiac and respiratory motion. To address the challenge, we propose a novel motion correction framework based on robust principle component analysis (rPCA) that decomposes quantitative cardiac MRI into low-rank and sparse components, and we integrate the groupwise CNN-based registration backbone within the rPCA framework. The low-rank component of rPCA corresponds to the quantitative mapping (i.e. limited degree of freedom in variation), while the sparse component corresponds to the residual motion, making it easier to formulate and solve the groupwise registration problem. We evaluated our proposed method on cardiac T1 mapping by the modified Look-Locker inversion recovery (MOLLI) sequence, both before and after the Gadolinium contrast agent administration. Our experiments showed that our method effectively improved registration performance over baseline methods without introducing rPCA, and reduced quantitative mapping error in both in-domain (pre-contrast MOLLI) and out-of-domain (post-contrast MOLLI) inference. The proposed rPCA framework is generic and can be integrated with other registration backbones.","Groupwise registration; motion correction; Quantitative MRI; Robust PCA","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","http://resolver.tudelft.nl/uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","Relaxometry Guided Quantitative Cardiac Magnetic Resonance Image Reconstruction","Zhao, Y. (TU Delft ImPhys/Tao group); Zhang, Y. (TU Delft Pavement Engineering); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Deep learning-based methods have achieved prestigious performance for magnetic resonance imaging (MRI) reconstruction, enabling fast imaging for many clinical applications. Previous methods employ convolutional networks to learn the image prior as the regularization term. In quantitative MRI, the physical model of nuclear magnetic resonance relaxometry is known, providing additional prior knowledge for image reconstruction. However, traditional reconstruction networks are limited to learning the spatial domain prior knowledge, ignoring the relaxometry prior. Therefore, we propose a relaxometry-guided quantitative MRI reconstruction framework to learn the spatial prior from data and the relaxometry prior from MRI physics. Additionally, we also evaluated the performance of two popular reconstruction backbones, namely, recurrent variational networks (RVN) and variational networks (VN) with U-Net. Experiments demonstrate that the proposed method achieves highly promising results in quantitative MRI reconstruction.","Caridac MRI; Image reconstruction; Quantitative mapping; Relaxometry","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","http://resolver.tudelft.nl/uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","Astral Body: A Virtual Reality Game for Body Ownership Investigation","Zhou, Yimin (Student TU Delft); Gillavry, Merlijn Mac (Student TU Delft); Yang, Pengzhi (Student TU Delft); Xu, Zihao (Student TU Delft); Zhang, Baitian (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Dondio, Pierpaolo (editor); Rocha, Mariana (editor); Brennan, Attracta (editor); Schönbohm, Avo (editor); de Rosa, Francesca (editor); Koskinen, Antti (editor); Bellotti, Francesco (editor)","2024","As one of the most disruptive human-computer interaction techniques, Virtual Reality (VR) provides a novel way to examine human movements, e.g. when investigating Body Ownership (BO) in the field of cognitive sciences, especially when the visual output diverges from real-world actions. Previous research in BO uses questionnaires and brain imaging, where the former is a highly subjective metric, and the latter is very costly in time, money, and personnel. To answer the question How can a VR serious game help overcome current challenges of BO assessment?, we designed Astral Body, a VR game that helps cognitive science researchers assess people’s level of BO. In the game, players are asked to grab ‘flying collectibles’ coming from a portal in space. Researchers can inject different types and levels of asynchrony into the arms of the visualized avatar, thus affecting the players’ BO experience and perception. Players, in turn, can also report whenever they perceive possible mismatched avatar behavior. In addition, researchers can analyze player data, including looking for unconscious responses, e.g. small adjustments in physical movements to mitigate injected asynchrony. Preliminary results from playtesting and qualitative analysis of Astral Bodyindicate that a VR game can effectively help researchers investigate BO phenomena.","Body ownership; Control asynchrony; Virtual reality","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Computer Graphics and Visualisation","","",""
"uuid:00e76b21-675a-424a-9721-890063492f04","http://resolver.tudelft.nl/uuid:00e76b21-675a-424a-9721-890063492f04","Training and Testing Texture Similarity Metrics for Structurally Lossless Compression","Zhang, Kaixuan (Northwestern University); Shi, Zhaochen (Northwestern University); Zujovic, Jana (Northwestern University); de Ridder, H. (TU Delft Human Information Communication Design); van Egmond, R. (TU Delft Human Information Communication Design); Neuhoff, David L. (University of Michigan); Pappas, T. (TU Delft Human Information Communication Design)","","2024","We present a systematic approach for training and testing structural texture similarity metrics (STSIMs) so that they can be used to exploit texture redundancy for structurally lossless image compression. The training and testing is based on a set of image distortions that reflect the characteristics of the perturbations present in natural texture images. We conduct empirical studies to determine the perceived similarity scale across all pairs of original and distorted textures. We then introduce a data-driven approach for training the Mahalanobis formulation of STSIM based on the resulting annotated texture pairs. Experimental results demonstrate that training results in significant improvements in metric performance. We also show that the performance of the trained STSIM metrics is competitive with state of the art metrics based on convolutional neural networks, at substantially lower computational cost.","Databases; Distortion; Distortion measurement; Image coding; Measurement; Redundancy; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Human Information Communication Design","","",""
"uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","http://resolver.tudelft.nl/uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","Exciton Transport in a Germanium Quantum Dot Ladder","Hsiao, T. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Cova Fariña, P. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Oosterhout, S.D. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Jirovec, D. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, X. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Diepen, C.J. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Lawrie, W.I.L. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, C.A. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft)","","2024","Quantum systems with engineered Hamiltonians can be used to study many-body physics problems to provide insights beyond the capabilities of classical computers. Semiconductor gate-defined quantum dot arrays have emerged as a versatile platform for realizing generalized Fermi-Hubbard physics, one of the richest playgrounds in condensed matter physics. In this work, we employ a germanium 4×2 quantum dot array and show that the naturally occurring long-range Coulomb interaction can lead to exciton formation and transport. We tune the quantum dot ladder into two capacitively coupled channels and exploit Coulomb drag to probe the binding of electrons and holes. Specifically, we shuttle an electron through one leg of the ladder and observe that a hole is dragged along in the second leg under the right conditions. This corresponds to a transition from single-electron transport in one leg to exciton transport along the ladder. Our work paves the way for the study of excitonic states of matter in quantum dot arrays.","","en","journal article","","","","","","","","","","","QCD/Vandersypen Lab","","",""
"uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","http://resolver.tudelft.nl/uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","Parametric investigation of railway fastenings into the formation and mitigation of short pitch corrugation","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Short pitch corrugation has been a problem for railways worldwide over one century. In this paper, a parametric investigation of fastenings is conducted to understand the corrugation formation mechanism and gain insights into corrugation mitigation. A three-dimensional finite element vehicle–track dynamic interaction model is employed, which considers the coupling between the structural dynamics and the contact mechanics, while the damage mechanism is assumed to be differential wear. Various fastening models with different configurations, boundary conditions, and parameters of stiffness and damping are built up and analysed. These models may represent different service stages of fastenings in the field. Besides, the effect of train speeds on corrugation features is studied. The results indicate: (1) Fastening parameters and modelling play an important role in corrugation formation. (2) The fastening longitudinal constraint to the rail is the major factor that determines the corrugation formation. The fastening vertical and lateral constraints influence corrugation features in terms of spatial distribution and wavelength components. (3) The strengthening of fastening constraints in the longitudinal dimension helps to mitigate corrugation. Meanwhile, the inner fastening constraint in the lateral direction is necessary for corrugation alleviation. (4) The increase in fastening longitudinal stiffness and damping can reduce the vibration amplitudes of longitudinal compression modes and thus reduce the track corrugation propensity. The simulation in this work can well explain the field corrugation in terms of the occurrence possibility and major wavelength components. It can also explain the field data with respect to the small variation between the corrugation wavelength and train speed, which is caused by frequency selection and jump between rail longitudinal compression modes.","Corrugation formation and mitigation; Fastening modelling and parameters; Finite element vehicle–track interaction model; Rail longitudinal compression modes; Short pitch corrugation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","http://resolver.tudelft.nl/uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","Advancements and insights in thermal and water management of proton exchange membrane fuel cells: Challenges and prospects","Zhang, Zhenya (Zhengzhou University); Mao, Jia (Zhengzhou University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","In response to the growing global demand for clean and sustainable energy solutions, proton exchange membrane fuel cells (PEMFCs) have emerged as vital components in diverse decarbonization strategies. Despite their increasing importance, a comprehensive synthesis of recent advancements, challenges, and future prospects in thermal and water management within this domain remains notably scarce. This paper aims to bridge this gap by conducting a meticulous literature review focused on thermal and water management in PEMFCs. Primarily, this study encapsulates the underlying mechanisms governing thermal and water generation in PEMFCs, intricately analyzing thermal and water generation analyses. Secondly, a multifaceted exploration of thermal and water transfer mechanisms, alongside their pivotal influencing factors, is presented. Furthermore, the discourse delves into sophisticated strategies for refining water and thermal management in PEMFCs. As well as delving into the complexities of high-power heat dissipation and water balance, especially water management for cold start and high temperature operating conditions. The culmination of this investigation yields valuable insights into the intricate dynamics of thermal and water management within PEMFCs, thereby culminating in forward-looking recommendations for future research trajectories. These findings not only offer scholars a vantage point to discern emerging research frontiers and trends but also extend theoretical precepts and reference points for technology innovators and product developers.","Clean energy; High-power heat dissipation; Proton exchange membrane fuel cells (PEMFCs); Thermal management; Water management","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","http://resolver.tudelft.nl/uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","Generalized Model and Deep Reinforcement Learning-Based Evolutionary Method for Multitype Satellite Observation Scheduling","Song, Yanjie (Xidian University); Ou, Junwei (Xiangtan University, Xiangtan); Pedrycz, Witold (University of Alberta; Polish Academy of Sciences; Istinye University); Suganthan, Ponnuthurai Nagaratnam (Qatar University); Wang, X. (TU Delft Learning & Autonomous Control); Xing, Lining (Xidian University); Zhang, Yue (Beihang University)","","2024","Multitype satellite observation, including optical observation satellites, synthetic aperture radar (SAR) satellites, and electromagnetic satellites, has become an important direction in integrated satellite applications due to its ability to cope with various complex situations. In the multitype satellite observation scheduling problem (MTSOSP), the constraints involved in different types of satellites make the problem challenging. This article proposes a mixed-integer programming model and a generalized profit representation method in the model to effectively cope with the situation of multiple types of satellite observations. To obtain a suitable observation plan, a deep reinforcement learning-based genetic algorithm (DRL-GA) is proposed by combining the learning method and genetic algorithm. The DRL-GA adopts a solution generation method to obtain the initial population and assist with local search. In this method, a set of statistical indicators that consider resource utilization and task arrangement performance are regarded as states. By using deep neural networks to estimate the <inline-formula> <tex-math notation=""LaTeX"">$Q$</tex-math> </inline-formula> value of each action, this method can determine the preferred order of task scheduling. An individual update strategy and an elite strategy are used to enhance the search performance of DRL-GA. Simulation results verify that DRL-GA can effectively solve the MTSOSP and outperforms the state-of-the-art algorithms in several aspects. This work reveals the advantages of the proposed generalized model and scheduling method, which exhibit good scalability for various types of observation satellite scheduling problems.","Combinatorial optimization problem; deep reinforcement learning (DRL); Earth Observing System; evolutionary algorithm (EA); generalized model; Genetic algorithms; multitype; Optimization; satellite observation; Satellites; scheduling; Sociology; Statistics; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Learning & Autonomous Control","","",""
"uuid:9420af93-fb9b-4af3-bd0b-61abc74586ec","http://resolver.tudelft.nl/uuid:9420af93-fb9b-4af3-bd0b-61abc74586ec","Size effect on compressive strength of foamed concrete: Experimental and numerical studies","Jiang, Nengdong (Shandong University); Ge, Zhi (Shandong University); Wang, Zhiyuan (Shandong Hi-speed Group); Gao, Tianming (Shandong University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2024","This study investigates the size effect on the compressive strength of foamed concrete at the mesoscale level combining X-ray computed tomography (X-CT) and a discrete lattice model. Image segmentation techniques and X-CT were employed to obtain virtual specimens comprising hydrated cement paste and air voids. The lineal-path function and pore size distribution was used to characterise the air void structure. A two-dimensional lattice fracture model of foamed concrete considering different wet densities was established. The model was verified experimentally at a wet density of 700 kg/m3 and then used to predict the strengths of specimens with wet densities of 600 and 800 kg/m3. Square and rectangular specimens (slenderness ratio = 2) with widths of 10, 20, 40, 70.7, and 100 mm were investigated. Results show that the air void structure significantly influences the observed size effect on the compressive strength in the investigated size range. A random forest regressor was used to predict the compressive strength of the foamed concrete; the regressor yielded satisfactory results. Finally, existing analytical size effect models were used to fit the simulated strength. Although good fitting was achieved, special attention should be given to the applicable range and physical meaning of fitted empirical parameters.","Compressive strength; Foamed concrete; Lattice model; Lineal-path function; Size effect","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0b452612-dd39-4850-affe-00f25bec66cd","http://resolver.tudelft.nl/uuid:0b452612-dd39-4850-affe-00f25bec66cd","Naturally effective inhibition of microbial corrosion on carbon steel by beneficial biofilm in the South China Sea","Gao, Yu (Northeastern University China); Zhang, Jingru (Northeastern University China); Wang, Donglei (Northeastern University China); Fan, Jiaxin (Northeastern University China); Mol, J.M.C. (TU Delft Team Arjan Mol); Wang, Fuhui (Northeastern University China); Zhang, Danni (Northeastern University China); Xu, Dake (Northeastern University China)","","2024","Microbially influenced corrosion (MIC) of metals exerts a negative effect on the marine environment and causes a great loss of marine facilities. Corrosion prevention in an eco-friendly and sustainable way is a difficult problem to address, especially in the marine environment. In this work, Nocardiopsis dassonville, a corrosive bacteria isolated from the South China Sea was studied by using carbon steel. The results indicate that N. dassonville caused a corrosion loss of 7.68 mg cm−2 and a corrosion pit of 13.0 μm on the carbon steel surface, but the corrosion is inhibited in the presence of Vibrio sp. EF187016 in the medium. Vibrio sp. EF187016 preferentially occupied the carbon steel surface, forming a protective biofilm that hindered the attachment of N. dassonville. In addition, extracellular polymeric substances extracted from Vibrio sp. EF187016 was added to N. dassonvillei inoculated medium and showed a significant inhibition of MIC on carbon steel.","Biofilms; Carbon steel; Corrosion inhibition; Extracellular polymeric substances; Marine environment","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","http://resolver.tudelft.nl/uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","Identification of Atrial Transmural Conduction Inhomogeneity Using Unipolar Electrogram Morphology","Zhang, Lu (Erasmus MC); van Schie, M.S. (Erasmus MC); Xiang, Hongxian (Erasmus MC); Liao, Rongheng (Erasmus MC); Zheng, Jiahao (Erasmus MC); Knops, Paul (Erasmus MC); Taverne, Yannick J.H.J. (Erasmus MC); de Groot, N.M.S. (TU Delft Signal Processing Systems; Erasmus MC)","","2024","(1) Background: Structural remodeling plays an important role in the pathophysiology of atrial fibrillation (AF). It is likely that structural remodeling occurs transmurally, giving rise to electrical endo-epicardial asynchrony (EEA). Recent studies have suggested that areas of EEA may be suitable targets for ablation therapy of AF. We hypothesized that the degree of EEA is more pronounced in areas of transmural conduction block (T-CB) than single-sided CB (SS-CB). This study examined the degree to which SS-CB and T-CB enhance EEA and which specific unipolar potential morphology parameters are predictive for SS-CB or T-CB. (2) Methods: Simultaneous endo-epicardial mapping in the human right atrium was performed in 86 patients. Potential morphology parameters included unipolar potential voltages, low-voltage areas, potential complexity (long double and fractionated potentials: LDPs and FPs), and the duration of fractionation. (3) Results: EEA was mostly affected by the presence of T-CB areas. Lower potential voltages and more LDPs and FPs were observed in T-CB areas compared to SS-CB areas. (4) Conclusion: Areas of T-CB could be most accurately predicted by combining epicardial unipolar potential morphology parameters, including voltages, fractionation, and fractionation duration (AUC = 0.91). If transmural areas of CB indeed play a pivotal role in the pathophysiology of AF, they could theoretically be used as target sites for ablation.","electrograms; endo-epicardial delay; simultaneous endo-epicardial mapping; sinus rhythm; transmural conduction block","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","http://resolver.tudelft.nl/uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","Characterization, pre-treatment, and potential applications of fine MSWI bottom ash as a supplementary cementitious material","Sun, Y. (TU Delft Civil Engineering & Geosciences; Universiteit Gent); Chen, B. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Blom, C.B.M. (TU Delft Concrete Structures; Gemeente Rotterdam); Lukovic, M. (TU Delft Concrete Structures); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","With the development of waste recovery techniques, previous research has revealed that coarse fractions of municipal solid waste incineration (MSWI) bottom ash (BA) after proper treatment could be applied in the construction sector, while the fines are seldom recovered in practice and normally landfilled. This study explores the potential application of fine MSWI BA (0–2 mm) as a supplementary cementitious material (SCM) in Portland cement (PC) mixtures. Mechanical and chemical pre-treatment approaches have been designed with various conditions to optimize the treating process. The chemical and mineralogical compositions, as well as the metallic Al content in BA were characterized before and after the pre-treatment. It was found that both methods are effective in removing the metallic Al content in BA, Moreover, BA derived from mechanical treatment exhibited more contribution to the hydration reaction in PC mixtures, as revealed by the amount of reaction products and mineral phases formed in hardened trial mixtures. BA obtained was further partially blended in PC mortars to evaluate the performance as compared to SCMs and inert fillers. It was found that treated BA resulted in a slight retarding effect on the reaction kinetics. Treated BA behaved better than the coal fly ash to contribute to the strength development, while the inclusion of BA did not lead to significant influences on the workability.","characterization; metallic Al; MSWI BA; pre-treatment; supplementary cementitious material","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Materials and Environment","","",""
"uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","http://resolver.tudelft.nl/uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","Microscopic fracture toughness of notched porous sintered Cu micro-cantilevers for power electronics packaging","Hu, D. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Alfreider, Markus (Montan University of Leoben); Fan, J. (TU Delft Electronic Components, Technology and Materials; Shanghai Engineering Technology Research Center for SiC Power Device; Fudan University); Kiener, Daniel (Montan University of Leoben); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","To fulfill the high-temperature application requirement of high-power electronics packaging, Cu nanoparticle sintering technology, with benefits in low-temperature processing and high-melting point, has attracted considerable attention as a promising candidate for the die-attach interconnect. Comprehensive mechanical characterization of the sintered layer at a microscale is necessary to deepen the understanding of the fracture behavior and improve the reliable design of materials. In this study, microscale cantilevers with different notch depths were fabricated in a 20 MPa sintered interconnect layer. Continuous dynamical fracture testing of the microcantilevers was conducted in situ in a scanning electron microscope to detail the failure characteristic of the porous sintered structure. The microscopic fracture toughness of different notched specimens was obtained from the J-integral in the frame of elastic-plastic fracture mechanics. Specimens with deeper notches presented higher resistance to crack extension, while geometry factors of notch-to-width ratio between 0.20 and 0.37 exhibited a relatively stable microscopic fracture toughness ranging from 3.2 ± 0.3 to 3.6 ± 0.1 MPa m1/2.","Continuous stiffness testing; Cu nanoparticles sintering; Elastic-plastic fracture mechanics; Microscopic fracture toughness","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:858857a1-0023-404a-89ab-92cd337667e6","http://resolver.tudelft.nl/uuid:858857a1-0023-404a-89ab-92cd337667e6","Correction to: Ballistic Majorana nanowire devices (Nature Nanotechnology, (2018), 13, 3, (192-197), 10.1038/s41565-017-0032-8)","Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Moor, M.W.A. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Car, Diana (Eindhoven University of Technology); Plissard, Sébastien R. (Eindhoven University of Technology; Université de Toulouse); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Eindhoven University of Technology); Geresdi, A. (TU Delft QRD/Geresdi Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft)","","2024","Correction to: Nature Nanotechnologyhttps://doi.org/10.1038/s41565-017-0032-8, published online 15 January 2018. The Letter reports Majorana signatures in hybrid InSb semiconductor nanowire–NbTiN superconductor devices. The devices exhibit a conductance plateau near the conductance quantum 2e2/h at bias voltages above the superconducting gap (normal conductance), accompanied by an enhanced Andreev conductance at bias voltages below the superconducting gap (subgap conductance). We have attributed these experimental observations to ballistic transport as supported by a theoretical analysis1, finding mean free paths on the order of or larger than the effective wire segment (the segment covered by the superconducting electrode). Here, we correct errors discovered on reanalysis of the original data2, following concerns raised by readers. Due to the age of the paper, it cannot be corrected directly in the original publication, thus the updates are provided via this amendment. We provide additional discussion on the claim of ballistic transport so as to avoid misinterpretations. External peer review of the reanalysis concluded that the claims in the Letter remain. An extended public repository including data obtained from nanowire devices that were not included in the publication can be found in ref. 2. We note the lack of a series of flat and precisely quantized conductance plateaus (a staircase), a clear ballistic transport characteristic (see the two newly included Supplementary Figs. 1 and 7 showing larger voltage ranges of Fig. 1 and the original Supplementary Fig. 5). Our earlier studies on ballistic transport in nanowire devices3,4 indicate that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a magnetic field perpendicular to the wire axis, which requires ideal (Landauer) reservoirs interfacing the ballistic region, absorbing charge carriers with near-unit probability. Similar to our earlier studies, ohmic contacts in the present nanowire devices do not satisfy the conditions of Landauer reservoirs. However, the transport in the effective wire segment can nevertheless be ballistic whose characteristic is a plateau feature near 2e2/h in normal conductance together with an enhanced Andreev conductance. Importantly, precise quantization is not realistic, prevented by the two-terminal device geometry, inevitably decreasing the conductance. In summary, a plateau feature with an enhanced Andreev conductance together with our theoretical analyses indicate that a large fraction of transport is ballistic over distances of the order of our device length. We add a discussion to the main text of the Letter as follows: “… followed by a dip in conductance due to channel mixing20 [ref. 1 below]. We do not observe higher plateaus (Supplementary Figs. 1 and 7), which we attribute to the contacts not satisfying the conditions of Landauer reservoirs, resulting in residual scattering more effective at larger conductance. This is in line with our earlier studies25,39 [refs. 4,5 below] which indicated that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a perpendicular magnetic field. From the absence of quantum dots, the observed induced gap …”. The following text should also have been included in the abstract: “… exhibiting clear ballistic transport properties manifested by a conductance plateau with an Andreev enhancement, albeit lacking a quantized conductance staircase hindered by the device geometry.” The conductance values reported in the publication are ~8% lower (near 2e2/h) than the actual value (corrected Fig. 1). This deviation is due to a drop in the gain of the current-to-voltage amplifier at an ac excitation frequency of 67 Hz5. As a result, there is a slight change in the Andreev conductance enhancement factor and the superconducting contact transparency extracted from the enhancement (a comparison between the values quoted in the publication and the corrected ones is given below in B). The general conclusions do not rely on the exact value of the conductance as precise quantization is not expected due to the two-terminal device geometry. The subtracted series resistance of 3 kΩ in the original Fig. 1 was an overestimation (see corrected Fig. 1 in the Supplementary Data file). The subtraction of 3 kΩ was not mentioned in the original publication. A comparison of the original and corrected Fig. 1 is presented in a Supplementary Data file accompanying this correction. For all the figures in the original publication except Fig. 1, we either subtracted a contact resistance value of 0.5 kΩ, which is an underestimation1, or no resistance at all. We note that in tunneling measurements the overall resistance is significantly higher than the normal metal contact resistance whose contribution can therefore be neglected. Figure 1, however, was used to estimate the superconducting contact transparency and Andreev enhancement in the high conductance regime, requiring a realistic exclusion of the contact resistance. Following our previous paper4, which found normal metal contact resistance values between 1.5–3.25 kΩ per contact and was based on fitting the measured conductance using theory (single mode interfacing a superconductor), which provided reasonable agreement after excluding 3 kΩ, we subtracted 3 kΩ to exclude the resistance of the normal metal contact. During our reanalysis, we have discovered that the minimum resistance of this device at the largest applied gate voltages is 2.9 kΩ, a value providing an upper bound on the contact resistance. Here, 2.9 kΩ would be the contact resistance under the assumption that the nanowire itself has zero resistance at largest gate voltages. The contact resistance can be estimated with an alternative method by subtracting a series resistance to match the observed conductance plateau at bias voltages above the superconducting gap to the expected quantized value, a procedure not done in the original publication. By taking the conductance averaged at positive and negative |V| ~ 1.7 mV (around the largest bias voltages available for this analysis) we find that the quantized value is reached for a contact resistance of 0.77 kΩ. (Considering only the positive bias and separately only the negative bias results in a range of 0–2.13 kΩ for the contact resistance.) In our corrected estimate of the contact resistance, we have applied the calibration procedure5 that corrects for ac circuit effects, uses calibrated values for the series resistance of the setup where Fig. 1 was measured and directly corrects the error listed in A above. Upon reanalysis we estimate the following contact resistance values, enhancement factors and transparencies: (Table presented.) Contact resistance Enhancement factor Transparency Lower bound 0 kΩ 1.26 0.88 Conservative estimation1 (used in corrected Fig. 1) 0.5 kΩ 1.32 0.90 Current best estimate 0.77 kΩ 1.36 0.90 Original estimate in paper 3 kΩ >1.5 >0.93 The corrected superconducting contact transparency value of 0.9 does not affect the claim of high transparency. The claim of ballistic transport does not rest on the exact value of the conductance plateau and hence is also unaffected. The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: “Contact resistance treatment. A fixed-value series resistance of 0.5 kΩ has been subtracted in Figs. 1 and 4, Supplementary Figs. 1, 2b,c and 4–9 to account for the contact resistance of the normal metal lead. This value is smaller than the lowest contact resistance we have obtained for InSb nanowire devices25 (ref. 4 below), which makes the interface transparency estimated from Fig. 1 a lower bound. For the remaining figures, no series resistance has been subtracted to account for the normal metal contact resistance.” In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. A comparison of the original and corrected Fig. SI5 (now Fig. SI6) is presented in a Supplementary Data file accompanying this correction. Original Supplementary Fig. 1f (now Supplementary Fig. 2f): The offset mentioned in the caption is erroneously given as 0.006 × 2e2/h but is 0.01 × 2e2/h. Original Supplementary Fig. 4a,b (now Supplementary Fig. 5a,b) were indicated to present data from Fig. 2a (or original Supplementary Fig. 1a). This is incorrect. The data used are from the original Supplementary Fig. 1b (now Supplementary Fig. 2b) which has the same measurement settings as in Fig. 2a except the barrier gate is –1.5 V (the barrier gate is –1.4 V in Fig. 2a or original Supplementary Fig. 1a). In the original panels c–e of Supplementary Fig. 7 (now Supplementary Fig. 9c–e) the bias polarity is mistakenly inverted.","","en","journal article","","","","","","Corrigendum DOI 10.1038/s41565-0170032-8 Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","QRD/Kouwenhoven Lab","","",""
"uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","http://resolver.tudelft.nl/uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","Near-infrared light-driven asymmetric photolytic reduction of ketone using inorganic-enzyme hybrid biocatalyst","Qiao, Li (Hangzhou Normal University); Zhang, Jing (Hangzhou Normal University); Jiang, Yongjian (Hangzhou Normal University); Ma, Bianqin (Hangzhou Normal University); Chen, Haomin (Hangzhou Normal University); Gao, Peng (Hangzhou Normal University); Zhang, Pengfei (Hangzhou Normal University); Wang, Anming (Hangzhou Normal University); Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2024","Effective photolytic regeneration of the NAD(P)H cofactor in enzymatic reductions is an important and elusive goal in biocatalysis. It can, in principle, be achieved using a near-infrared light (NIR) driven artificial photosynthesis system employing H2O as the sacrificial reductant. To this end we utilized TiO2/reduced graphene quantum dots (r-GQDs), combined with a novel rhodium electron mediator, to continuously supply NADPH in situ for aldo-keto reductase (AKR) mediated asymmetric reductions under NIR irradiation. This upconversion system, in which the Ti-O-C bonds formed between r-GQDs and TiO2 enabled efficient interfacial charge transfer, was able to regenerate NADPH efficiently in 64 % yield in 105 min. Based on this, the pharmaceutical intermediate (R)-1-(3,5-bis(trifluoromethyl)phenyl)ethan-1-ol was obtained, in 84 % yield and 99.98 % ee, by reduction of the corresponding ketone. The photo-enzymatic system is recyclable with a polymeric electron mediator, which maintained 66 % of its original catalytic efficiency and excellent enantioselectivity (99.9 % ee) after 6 cycles.","Aldo-ketone reductase; Cofactor regeneration; Ketone reduction; Photo-enzymatic reduction; TiO/r-GQDs nanocomposite; Upconversion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","BT/Biocatalysis","","",""
"uuid:cce2e378-4c70-4f07-9c50-f6533f2dabe1","http://resolver.tudelft.nl/uuid:cce2e378-4c70-4f07-9c50-f6533f2dabe1","Fire-Retarding Asphalt Pavement for Urban Road Tunnels: A State-of-the-Art Review and Beyond","Jiang, Xi (The Hong Kong Polytechnic University); Zhu, Hehua (Tongji University); Yan, Zhiguo (Tongji University); Zhang, Fengshou (Tongji University); Huang, Xinyan (The Hong Kong Polytechnic University); Leng, Zhen (The Hong Kong Polytechnic University); Yan, Chuanqi (The Hong Kong Polytechnic University); Hua, Nan (University at Buffalo); Zhang, X. (TU Delft Geo-engineering)","","2024","With the rapid urbanization and development of metropolises, urban road tunnels have been constructed at an increasing rate, significantly alleviating urban traffic pressure, and improving urban resilience. Fire hazards have become a major threat to modern road tunnels due to the growing popularity of electric vehicles and high-density transportation of goods, particularly flammable materials. Asphalt pavements, as an essential component of road tunnels, may release harmful effluences and smoke under high temperatures, exacerbating the fire and adding risk to life safety. It is hence critical to investigate fire-retarding asphalt materials and their potential use in urban road tunnels pavements. This paper provides a comprehensive review of fire-retarding asphalt pavements for urban road tunnel pavements. The review covers tunnel fire generation mechanisms, evaluation methods, flame retardants for asphalt pavements, and recent developments in flame retardant technologies. By investigating these aspects, this paper aims to better understand the flammability of asphalt mixtures and asphalt pavements in urban road tunnels, promote the research of flame-retardant technology, and ultimately reduce the damage and loss caused by asphalt road tunnel fire accidents. Additionally, this study identifies the limitations of current research and provides an outlook for future research to contribute to the resilience of urban road tunnel structures and the longer service life of asphalt pavement in semi-closed road tunnels.","Fire-retarding asphalt pavement; Tunnel fires; Urban resilience; Urban road tunnels","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","http://resolver.tudelft.nl/uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","Condition assessment of underground corroded pipelines subject to hydrogen damage and combined internal pressure and axial compression","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Zhang, Zhenwei (Southwest Petroleum University); Hou, Xiangqin (Southwest Petroleum University); Lu, Hongfang (Southeast University); Huang, Y. (TU Delft Safety and Security Science); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2023","In this work, a 3D finite element (FE) based model was developed to assess the condition of an underground hydrogen transmission pipeline containing a corrosion defect under combined internal pressure and soil movement-induced axial compression. The use of mechanical properties of X100 pipeline steel under different hydrogen charging time models the degree of hydrogen damage in pipelines. Parameter effects, i.e., axial compressive stress, hydrogen damage, defect geometries, and pipeline diameter-to-thickness ratio, were determined. The results demonstrated that the synergistic effect of axial compression, internal pressure, corrosion, and hydrogen damage can lead to a significant decrease in the failure pressure of pipelines. The failure pressure decreased with the wall thickness reduction and increased hydrogen damage, axial compressive stress, defect length, defect depth, and pipe diameter. The competitive effect was observed between the degree of metal loss and hydrogen damage in determining the burst capacity of pipelines. In situations where the pipeline integrity was severely compromised, the failure pressure exhibited minimal reduction despite the increasing severity of hydrogen damage. The stress distribution at the defect zone was influenced by axial compressive stress but remained unaffected by hydrogen damage under normal operating conditions (i.e., an internal pressure of 10 MPa). This work is expected to help operators understand the applicability of elder and in-service pipelines for hydrogen transmission.","Condition assessment; Corrosion; Finite element modeling; Hydrogen damage; Underground pipelines","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-09","","","Safety and Security Science","","",""
"uuid:177b73c6-70de-401d-9afc-d26ca5211ed3","http://resolver.tudelft.nl/uuid:177b73c6-70de-401d-9afc-d26ca5211ed3","Social-aware Planning and Control for Automated Vehicles Based on Driving Risk Field and Model Predictive Contouring Control: Driving through Roundabouts as a Case Study","Zhang, Li (City University of Hong Kong); Dong, Y. (TU Delft Transport and Planning); Farah, H. (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2023","The gradual deployment of automated vehicles (AVs) results in mixed traffic where AVs will interact with human-driven vehicles (HDVs). Thus, social-aware motion planning and control while considering interactions with HDVs on the road is critical for AVs’ deployment and safe driving under various maneuvers. Previous research mostly focuses on the trajectory planning of AVs using Model Predictive Control or other relevant methods, while seldom considering the integrated planning and control of AVs altogether to simplify the whole pipeline architecture. Furthermore, there are very limited studies on social-aware driving that makes AVs understandable and expected by human drivers, and none when it comes to the challenging maneuver of driving through roundabouts. To fill these research gaps, this paper develops an integrated social-aware planning and control algorithm for AVs’ driving through roundabouts based on Driving Risk Field (DRF), Social Value Orientation (SVO), and Model Predictive Contouring Control (MPCC), i.e., DRF-SVO-MPCC. The proposed method is tested and verified with simulation on the open-sourced highway-env platform. Compared with the baseline method using purely Nonlinear Model Predictive Control, the DRF-SVO-MPCC can achieve better performance under various maneuvers of driving through roundabouts with and without surrounding HDVs.","Automated vehicles; Planning and control; Social-aware driving; Roundabouts; Driving Risk Field; Model Predictive Contouring Control","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:ab712847-e788-4e13-8680-6049ac234c4c","http://resolver.tudelft.nl/uuid:ab712847-e788-4e13-8680-6049ac234c4c","Flexible, Dynamic, and Collaborative Synchromodal Transport Planning Considering Preferences","Zhang, Y. (TU Delft Transport Engineering and Logistics)","Negenborn, R.R. (promotor); Atasoy, B. (promotor); Delft University of Technology (degree granting institution)","2023","Freight transport faces a threefold challenge of limited resources, increasing demand for efficient goods movement, and the pressing need to meet ambitious emissions reduction targets in ever shorter timelines. To address these challenges, the industry requires urgent innovation and the adoption of new technologies and logistics systems to change the way goods are transported. The use of intermodal transport has been developed due to the need for efficient, cost-effective, and sustainable freight transport. However, the current state of intermodal transport still faces various barriers to its utilization, such as a lack of flexibility, delays caused by uncertainty, and a lack of cooperation among transport actors. The proposal of synchromodal transport aims to address these barriers. Synchromodal transport represents an advanced form of intermodal transport that dynamically adapts routes and modes while optimizing resource utilization through synchronization and collaboration. Despite the recognition of synchromodal transport as a promising solution, there are still unaddressed gaps in the transport planning field, including the need for flexible, dynamic, preference-based, and collaborative planning. This thesis aims to fill these gaps through the development and evaluation of a series of innovative approaches, which are tested and validated using real-world transport networks. The goal is to advance the field of synchromodal transport planning, enabling the provision of flexible, reliable, and sustainable services that meet the needs of stakeholders.
In order to investigate the potential of flexibility, this thesis presents a mathematical model and a heuristic algorithm (Adaptive Large Neighborhood Search, ALNS) for the simultaneous routing of shipments and vehicles. The proposed approach enables flexible routing and scheduling of vehicles, improving the overall efficiency of the transport system in a static setting as a proof of concept. The results of numerical experiments demonstrate that implementing the proposed approach with flexible services can result in 14% reduction in costs compared to existing methods that do not consider flexibility.
In dynamic planning, this thesis tackles the issue of service time uncertainty in synchromodal transport by using an online Reinforcement Learning (RL) approach, assisted by the ALNS algorithm. The proposed model-assisted RL integrates RL and ALNS to leverage the data-driven strengths of RL and the domain knowledge of ALNS. In this way, the model-assisted RL addresses the ""curse of dimensionality"" caused by the large state space and complex actions in synchromodal transport. The RL approach dynamically adapts to unexpected events that cause uncertainty by learning from real-time data collected from transport operators, terminal operators, and sensors, without requiring any prior information. The proposed approach was tested in various scenarios that included disturbances, disruptions, and a combination of different types of events, and was found to perform better than traditional waiting and average duration strategies in reducing delay, waiting time, cost, and emissions.
When it comes to preference-based planning, this thesis addresses the challenge of incorporating the heterogeneous and vague preferences of shippers and carriers. To account for carriers' preferences, a multi-objective optimization model that incorporates weight intervals is proposed to handle vague preferences. The model generates a Pareto frontier of solutions that best reflects the carriers' preferences, allowing them to make informed decisions. For shippers' preferences, the thesis employs multiple attribute decision-making and fuzzy set theory to address the heterogeneity and vagueness of preferences, respectively. The results demonstrate that incorporating preferences results in improved satisfaction among shippers by providing solutions with preferred attributes on cost, time, emissions, risk, and delay. By improving shipper satisfaction, carriers can benefit from increased customer loyalty and retention, leading to a competitive advantage in the market. Moreover, by considering various attributes, such as cost, time, emissions, risk, and delay, the model can help carriers make more informed and sustainable decisions, leading to improved environmental performance and compliance with regulations. Overall, incorporating preferences in planning can result in a win-win situation for both shippers and carriers, leading to improved operational performance and a sustainable competitive advantage.
In collaborative planning, this thesis examines the benefits of horizontal collaboration among carriers through the sharing of requests and the consideration of eco-labels. The thesis presents an auction-based mechanism to facilitate collaboration and enable distributed planning. Results indicate that this approach leads to increased request fulfillment, improved sustainability, and reduced costs compared to centralized and non-collaborative planning approaches. On the tested instances, the collaboration between carriers can result in significant increases in the proportion of served requests, with gains of 48% and 11% for synchromodal and unimodal carriers, respectively. Additionally, by taking into account eco-label preferences, the use of the highest or mixed eco-labels can lead to emissions reductions of up to 70% and 15%, respectively, compared to ignoring preferences. Compared to synchromodal carriers, unimodal carriers, especially truck carriers, need to share more requests in collaborative planning to reduce the overall cost. From a policy-making perspective, policymakers can take steps to promote the development of synchromodal transport by implementing incentives for collaborative planning and utilizing eco-labels to achieve sustainable synchromodal transport solutions.
In summary, this thesis provides solutions to address the gaps in synchromodal transport planning by proposing innovative mathematical models and algorithms. These methodologies aim to increase the flexibility, reliability, and sustainability of transport services while also reducing cost, time, emissions, and delay. Additionally, the proposed methodologies consider the preferences of both shippers and carriers, promoting a collaborative and eco-friendly approach to transport planning. The numerical experiments and case studies demonstrate the effectiveness and superiority of the proposed approaches compared to existing methodologies.","","en","doctoral thesis","","978-90-5584-326-8","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:e29b2e6f-b135-49dd-ae08-2e67f06b5cc2","http://resolver.tudelft.nl/uuid:e29b2e6f-b135-49dd-ae08-2e67f06b5cc2","Alzheimer’s disease, Aging and Centenarians","Zhang, M. (TU Delft Pattern Recognition and Bioinformatics)","Reinders, M.J.T. (promotor); Holstege, H. (copromotor); Delft University of Technology (degree granting institution)","2023","A remarkable accomplishment of human beings in recent centuries is the extension of their average lifespan, for example by improved living conditions. A downside of this achievement is that aging-related diseases, such as Alzheimer’s disease (AD), have risen, and as a consequence are impacting the lives of an increasing fraction of individuals. Although many conclude that aging is the main risk factor for AD, it might be better to say AD is one facet of aging. Indeed, the boundaries between brain aging and AD are largely fluent in the elderly. Nevertheless, dementia and AD are not inevitable. In fact, a small proportion of the population (<0.1%) reaches at least 100 years old while maintaining to have a healthy cognition. These rare but remarkable centenarians could shed light on how to escape age-related diseases.
This thesis studies cognitive healthy centenarians as extreme controls in the context of aging and AD. Based on a large cohort of data, this thesis indeed shows that some centenarians escaped the buildup of some neuropathologies, indicating resistance to these neuropathologies. Contrarily, this thesis also shows that average levels of AD-associated neuropathologies increase with age in non-demented individuals, whereas these neuropathologies decrease with age in AD cases. Most intriguingly, this thesis shows that some centenarians with the highest cognitive performance, did accumulate the highest levels of some neuropathologies, yet remained cognitive healthy. This thesis then speculates that these observations point towards a resilience to these neuropathologies by these centenarians.
To better understand the resilience and resistance mechanisms in centenarian brains, this thesis then continues with investigating brain proteomics in the context of the degree of AD pathology (Braak stages) as well as age. As a first characterization, clusters of Braak stage-related and age-related proteins are identified that separately are associated with specific biological processes. Some Braak stage-related proteins demonstrate a deviated abundance in centenarians compared to AD (at the Braak stage IV), indicating that these proteins may contribute to the resilient mechanisms of tau accumulation in centenarian brains. A remarkable finding regarding the age-related proteins is that centenarian brains are, in a median of, 18-years “younger"" in their protein expression, when compared with non-demented controls, again hinting towards a resilience to age-related diseases.
To further explore the possible role of aging behind AD, this thesis studies the extend and locations of brain somatic mutations. We show that the number of excitatory neuron specific-somatic mutations increases with age, but there is no significant difference between AD and non-demented individuals. Interestingly, certain somatic mutations occurred more frequently in the brains of AD patients.
Concluding, this thesis demonstrates the value of cognitive healthy centenarians in studying brain aging and neurodegenerative diseases. In doing so, it reveals that the relationship between brain aging and neurodegeneration is extremely complex and deeply entangled. Nevertheless, basic processes that are altered during brain aging are identified, which brings targets to counteract the molecular disorder that leads to neurodegeneration, including AD, closer.","Alzheimer’s disease; Aging; Centenarian; Neuropathology; Neuropsychology; Proteomics; Somatic Mutation","en","doctoral thesis","","978-94-6469-390-4","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:313ab9c7-7732-40f9-b3c4-13d6cd356057","http://resolver.tudelft.nl/uuid:313ab9c7-7732-40f9-b3c4-13d6cd356057","Leading edge erosion detection for a wind turbine blade using far-field aerodynamic noise","Zhang, Y. (TU Delft Wind Energy); Avallone, F. (Politecnico di Torino); Watson, S.J. (TU Delft Wind Energy)","","2023","In this paper, the feasibility of using far-field acoustic measurements as a non-contact monitoring technique for wind turbine blade leading edge erosion is assessed. For this purpose, a DU96 W180 airfoil with several eroded leading edge configurations of different severities is experimentally investigated. The eroded leading edges are designed with pits, gouges and coating delamination scaled from a real eroded blade. To assess the feasibility of the technique in quasi-realistic configurations, experiments are carried out under clean and turbulent inflow conditions. Acoustic measurements are performed with a phased microphone array. In the absence of inflow turbulence, because of the low Reynolds number at which the experiments are carried out, the case with minor erosion severity shows similar far-field noise spectra as the clean leading-edge cases, i.e., the presence of tonal peaks caused by laminar boundary layer instability noise through a self-sustained feedback loop but with higher tonal amplitudes. Increasing the damage level (considered as moderate erosion), the spectra of the noise scattered from the suction side show that the tonal peaks shift to higher frequencies and have lower amplitudes, thus suggesting that the damage alters the flow features responsible for the acoustic feedback loop; whereas, the spectra from the pressure side show a broadband noise distribution. For heavy erosion, the far-field noise spectra show broadband features from both airfoil sides, thus suggesting that the damage has fully forced the transition to turbulent flow; in which case, an increase in the low-frequency content is observed. Conversely, in the presence of turbulent inflow, when comparing the noise scattered at the trailing edge, no difference is found. However, leading edge impingement noise decreases at medium–high frequency compared with the baseline case at a chord-length-based Strouhal number St_C~10. The experimental results also suggest that the delamination feature is the one which is the most easily detectable and the approach is valid for a wide range of angles of attack and inflow velocity.","Wind turbine blade; Leading edge erosion; Aerodynamic noise; Damage detection; Aeroacoustics","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:2d76b1f1-a60e-48e0-acbf-051628a76da7","http://resolver.tudelft.nl/uuid:2d76b1f1-a60e-48e0-acbf-051628a76da7","Monitoring the deformation behavior of an immersed tunnel with Distributed Optical Fiber Sensor (DOFS)","Zhang, X. (TU Delft Geo-engineering)","Gavin, Kenneth (promotor); Broere, W. (copromotor); Delft University of Technology (degree granting institution)","2023","","Distributed optical fiber sensor (DOFS); Immersed tunnel; Joint deformation; Daily deformation behavior; Tide impacts; Seasonal deformation behavior; Safety andmaintenance","en","doctoral thesis","","978-94-6384-412-3","","","","","","2024-07-01","","","Geo-engineering","","",""
"uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","http://resolver.tudelft.nl/uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","Pull Request Decisions Explained: An Empirical Overview","Zhang, Xunhui (National University of Defense Technology); Yu, Yue (National University of Defense Technology); Gousios, G. (TU Delft Software Technology); Rastogi, A. (TU Delft Software Engineering; Rijksuniversiteit Groningen)","","2023","Context: The pull-based development model is widely used in open source projects, leading to the emergence of trends in distributed software development. One aspect that has garnered significant attention concerning pull request decisions is the identification of explanatory factors. Objective: This study builds on a decade of research on pull request decisions and provides further insights. We empirically investigate how factors influence pull request decisions and the scenarios that change the influence of such factors. Method: We identify factors influencing pull request decisions on GitHub through a systematic literature review and infer them by mining archival data. We collect a total of 3,347,937 pull requests with 95 features from 11,230 diverse projects on GitHub. Using these data, we explore the relations among the factors and build mixed effects logistic regression models to empirically explain pull request decisions. Results: Our study shows that a small number of factors explain pull request decisions, with that concerning whether the integrator is the same as or different from the submitter being the most important factor. We also note that the influence of factors on pull request decisions change with a change in context; e.g., the area hotness of pull request is important only in the early stage of project development, however it becomes unimportant for pull request decisions as projects become mature.","pull-based development; pull request decision; distributed software development; GitHub","en","journal article","","","","","","","","2023-03-06","","Software Technology","Software Engineering","","",""
"uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","http://resolver.tudelft.nl/uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","A Control Method for Converter-interfaced Sources to Improve Operation of Directional Protection Elements","Yang, Zhe (Aalborg University); Liu, Zhou (Aalborg University); Zhang, Qi (Siemens Gamesa Renewable Energy AS); Chen, Zhe (Aalborg University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","The traditional fault control strategy of converter-interfaced renewable energy sources (CIRESs) may bring about a lower sensitivity level or misoperation of fault component-based directional elements. To overcome this problem, a new control scheme is proposed to adjust sequence impedance angles of CIRESs by computing suitable current references of the CIRES controller. Meanwhile, these current references are maximized by an iterative algorithm to make full use of the short-circuit capacity of CIRESs. The proposed control scheme is applicable to various faulty conditions such as different fault types, power factors, weak grids, and larger fault resistances. Compared with the new directional elements that need to update protection algorithms, the proposed control strategies can make CIRESs compatible with the existing directional elements whilst the necessary fault ride-through (FRT) requirements can still be satisfied. Furthermore, all the controller parameters are not required to be revised based on the detected fault type, even with only local measured data collected. The associated PSCAD simulations, real-time digital simulator (RTDS) testing and the downscale hardware experiment verify the proposed method.","Circuit faults; converter-interfaced renewable energy sources; directional elements; Fault currents; fault ride through; Impedance; impedance angle; Power transmission lines; Security; Voltage control; Voltage measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","Intelligent Electrical Power Grids","","",""
"uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","http://resolver.tudelft.nl/uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","Learning to Solve Multiple-TSP With Time Window and Rejections via Deep Reinforcement Learning","Zhang, Rongkai (Nanyang Technological University); Zhang, Cong (Nanyang Technological University); Cao, Zhiguang (Singapore Institute of Manufacturing Technology); Song, Wen (Shandong University); Tan, Puay Siew (Singapore Institute of Manufacturing Technology); Zhang, Jie (Nanyang Technological University); Wen, Bihan (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","We propose a manager-worker framework (the implementation of our model is publically available at: https://github.com/zcaicaros/manager-worker-mtsptwr) based on deep reinforcement learning to tackle a hard yet nontrivial variant of Travelling Salesman Problem (TSP), i.e. multiple-vehicle TSP with time window and rejections (mTSPTWR), where customers who cannot be served before the deadline are subject to rejections. Particularly, in the proposed framework, a manager agent learns to divide mTSPTWR into sub-routing tasks by assigning customers to each vehicle via a Graph Isomorphism Network (GIN) based policy network. A worker agent learns to solve sub-routing tasks by minimizing the cost in terms of both tour length and rejection rate for each vehicle, the maximum of which is then fed back to the manager agent to learn better assignments. Experimental results demonstrate that the proposed framework outperforms strong baselines in terms of higher solution quality and shorter computation time. More importantly, the trained agents also achieve competitive performance for solving unseen larger instances.","deep reinforcement learning; graph neural network; Travelling salesman problem","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Signal Processing Systems","","",""
"uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","http://resolver.tudelft.nl/uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","Associations between self-reported IEQ stressors of students' homes and self-reported rhinitis, stuffy nose, migraine and headache in student profiles","Bluyssen, P.M. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment)","","2023","Recent studies have shown that both personal and building-related factors may affect the health and comfort of occupants in their homes. It is also known that people differ in their needs and can therefore respond differently to these stressors. Therefore, based on the large database from the survey conducted yearly from 2016 to 2020 among the first-year students of the faculty of Architecture and the Built environment at the Delft University of Technology, this study aimed to explore the associations between self-reported rhinitis/stuffy nose/migraine/headache, and the indoor environment of the students' homes, taking into account potential confounders and profiles. Two-steps cluster analysis resulted in three profiles of students based on their IEQ-related perceptions: Cluster 1 with the highest reported percentage of symptoms and the lowest reported percentage of diseases; Cluster 2 with moderate reported symptoms and diseases; and Cluster 3 with the lowest percentage of reported symptoms and the highest percentage of reported diseases. Logistic regression modelling showed that risk factors contributing to having rhinitis, stuffy nose, migraine and/or headache, differ per cluster, and showed little overlap with the all-respondents group. Moreover, when there is an overlap, the associated risk factor might increase the risk for one cluster, while for another it decreases the risk, indicating differences in response between the different clusters; and therefore, the importance of clustering instead of considering all respondents as one.","Profiles of students; Patterns of risk factors; Rhinitis; Stuffy nose; Migraine; Headache","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:6d89a41c-3915-4ba7-b7d5-f0c82e7e73c4","http://resolver.tudelft.nl/uuid:6d89a41c-3915-4ba7-b7d5-f0c82e7e73c4","Ventilation and thermal conditions in secondary schools in the Netherlands: Effects of COVID-19 pandemic control and prevention measures","Ding, Er (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Hamida, A.B. (TU Delft Indoor Environment); Garcia Sanchez, C. (TU Delft Urban Data Science); Jonker, Lotte (University Medical Center Utrecht); de Boer, Annemarijn R. (University Medical Center Utrecht); Bruijning, Patricia C.J.L. (University Medical Center Utrecht); Linde, Kimberly J. (Universiteit Utrecht); Wouters, Inge M. (Universiteit Utrecht); Bluyssen, P.M. (TU Delft Indoor Environment)","","2023","During the COVID-19 pandemic, the importance of ventilation was widely stressed and new protocols of ventilation were implemented in school buildings worldwide. In the Netherlands, schools were recommended to keep the windows and doors open, and after a national lockdown more stringent measures such as reduction of occupancy were introduced. In this study, the actual effects of such measures on ventilation and thermal conditions were investigated in 31 classrooms of 11 Dutch secondary schools, by monitoring the indoor and outdoorCO2 concentration and air temperature, both before and after the lockdown. Ventilation rates were calculated using the steady-state method. Pre-lockdown, with an average occupancy of 17 students, in 42% of the classrooms the CO2 concentration exceeded the upper limit of the Dutch national guidelines (800 ppm above outdoors),while 13% had a ventilation rate per person (VRp) lower than the minimum requirement (6 l/s/p). Post lockdown, the indoor CO2 concentration decreased significantly while for ventilation rates significant increase was only found in VRp, mainly caused by the decrease in occupancy (average 10 students). The total ventilation rate per classrooms, mainly induced by opening windows and doors, did not change significantly. Meanwhile, according to the Dutch national guidelines, thermal conditions in the classrooms were not satisfying, both pre and post-lockdown. While opening windows and doors cannot achieve the required indoor environmental quality at all times, reducing occupancy might not be feasible for immediate implementation. Hence, more controllable and flexible ways for improving indoor air quality and thermal comfort in classrooms are needed.","Classrooms; Indoor air quality; Ventilation; Children; COVID-19 pandemic; Thermal comfort","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","http://resolver.tudelft.nl/uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","Shear creep behaviour of soil-structure interfaces under thermal cyclic loading","Golchin, A. (TU Delft Geo-engineering; Southeast University); Guo, Y. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering; Southeast University); Liu, S. (Student TU Delft); Zhang, G. (Student TU Delft); Hicks, M.A. (TU Delft Geo-engineering; Southeast University)","","2023","The coupling effect of initial shear stress and thermal cycles on the thermomechanical behaviour of clay concrete and sand-concrete interfaces has been studied. A set of drained monotonic direct shear tests was conducted at the soil-concrete interface level. Samples were initially sheared to half of the material's shear strength and then they were subjected to five heating/cooling cycles before being sheared to failure. The test results showed that the effect of thermal cycles on the shear strength of the materials was negligible, yet shear displacement occurred during application of thermal cycles without an increase in shear stress, confirming the coupling between the shear stress and temperature. In addition, a slight increase of stiffness due to the coupling was observed which diminished with further shearing.","Creep; Interface; Thermal cycles; Thermo-active structures; Thermomechanical behaviour","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:029e6508-92d2-4ba6-a921-f9de8b23c93f","http://resolver.tudelft.nl/uuid:029e6508-92d2-4ba6-a921-f9de8b23c93f","Sparsity-based Human Activity Recognition with PointNet using a Portable FMCW Radar","Ding, Chuanwei (Nanjing University of Science and Technology); Zhang, Li (Nanjing University of Science and Technology); Chen, Haoyu (Nanjing University of Science and Technology); Hong, Hong (Nanjing University of Science and Technology); Zhu, Xiaohua (Nanjing University of Science and Technology); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","Radar-based solutions have attracted great attention in human activity recognition (HAR) for their advantages in accuracy, robustness, and privacy protection. The conventional approaches transform radar signals into feature maps and then directly process them as visual images. While effective, these image-based methods may not be the best solutions in terms of representation efficiency to encode the relevant information for classification. This article proposes a novel HAR method combining sparse theory and PointNet network, with both operations in the time-Doppler (TD) and range-Doppler (RD) domains. First, sparsity-based feature extraction is introduced to use a limited number of sparse solutions to characterize human activities in the form of TD sparse point clouds (TDSP) or dynamic RD sparse point clouds (DRDSP). This new representation is validated by comparing the reconstructed and original signals. Then, PointNet networks are adopted to summarize multidomain features and predict human activity labels by a sparse set of input point clouds. Comprehensive experiments were conducted to demonstrate that the proposed method can yield a higher representation efficiency, classification accuracy, and better generalization capability than existing ones.","Classification algorithms; Data mining; Doppler effect; Feature extraction; FMCW radar; Human activity recognition; human activity recognition (HAR); Point cloud compression; PointNet; Radar; sparse representation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Microwave Sensing, Signals & Systems","","",""
"uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","http://resolver.tudelft.nl/uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","Templated Synthesis of Diamond Nanopillar Arrays Using Porous Anodic Aluminium Oxide (AAO) Membranes","Zhang, C. (TU Delft Micro and Nano Engineering; Harbin Institute of Technology); Liu, Z. (TU Delft Micro and Nano Engineering); Li, Chun (Harbin Institute of Technology); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","Diamond nanostructures are mostly produced from bulk diamond (single- or polycrystalline) by using time-consuming and/or costly subtractive manufacturing methods. In this study, we report the bottom-up synthesis of ordered diamond nanopillar arrays by using porous anodic aluminium oxide (AAO). Commercial ultrathin AAO membranes were adopted as the growth template in a straightforward, three-step fabrication process involving chemical vapor deposition (CVD) and the transfer and removal of the alumina foils. Two types of AAO membranes with distinct nominal pore size were employed and transferred onto the nucleation side of CVD diamond sheets. Subsequently, diamond nanopillars were grown directly on these sheets. After removal of the AAO template by chemical etching, ordered arrays of submicron and nanoscale diamond pillars with ~325 nm and ~85 nm diameters were successfully released.","template-assisted growth; diamond nanopillars; anodic aluminium oxide (AAO); chemical vapor deposition (CVD); stress modeling","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:8447952c-7e7c-4339-b791-f74783d9d29d","http://resolver.tudelft.nl/uuid:8447952c-7e7c-4339-b791-f74783d9d29d","Silicon carbide reinforced vertically aligned carbon nanotube composite for harsh environment mems","Mo, J. (TU Delft Electronic Components, Technology and Materials); Shankar, S. (TU Delft Support EKL); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","Fabricating high-aspect-ratio (HAR) structures with silicon carbide (SiC) is a challenging task. This paper presents a silicon carbide (SiC) reinforced vertically aligned carbon nanotubes (VACNT) composite as a promising candidate to fabricate HAR MEMS devices for harsh environment applications. The use of a VACNT array allows the fast realization of HAR structures as a template for MEMS fabrication. The template can later be easily filled by amorphous-SiC due to the porous nature of the VACNT forest. The SiC-CNT nanocomposite has electrical properties dominated by VACNT arrays and mechanical stability dominated by the a-SiC. Based on this concept, a thermal actuator is fabricated and proven to function up to 450°C for the first time.","SiC-CNT composite; HAR structures; harsh environment; thermal actuator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:72372386-f9c9-40fb-97ac-20ceee628027","http://resolver.tudelft.nl/uuid:72372386-f9c9-40fb-97ac-20ceee628027","Effects of dynamic changes of desiccation cracks on preferential flow: experimental investigation and numerical modeling","Luo, Y. (TU Delft Water Resources; China University of Geosciences); Zhang, Jiaming (China University of Geosciences); Zhou, Zhi (Hubei University Of Economics); Aguilar Lopez, J.P. (TU Delft Hydraulic Structures and Flood Risk); Greco, Roberto (Università degli Studi della Campania “Luigi Vanvitelli”); Bogaard, T.A. (TU Delft Water Resources)","","2023","Preferential flow induced by desiccation cracks (PF-DC) has been proven to be an important hydrological effect that could cause various geotechnical engineering and ecological environment problems. Investigation on the PF-DC remains a great challenge due to the soil shrinking–swelling behavior. This work presents an experimental and numerical study of the PF-DC considering the dynamic changes of desiccation cracks. A soil column test was conducted under wetting–drying cycles to investigate the dynamic changes of desiccation cracks and their hydrological response. The ratios between the crack area and soil matrix area (crack ratio), crack aperture and depth were measured. The soil water content, matrix suction and water drainage were monitored. A new dynamic dual-permeability preferential flow model (DPMDy) was developed, which includes physically consistent functions in describing the variation of both porosity and hydraulic conductivity in crack and matrix domains. Its performance was compared to the single-domain model (SDM) and rigid dual-permeability model (DPM) with fixed crack ratio and hydraulic conductivity. The experimental results showed that the maximum crack ratio and aperture decreased when the evaporation intensity was excessively raised. The self-closure phenomenon of cracks and increased surficial water content was observed during low-evaporation periods. The simulation results showed that the matrix evaporation modeled by the DPMDy is lower than that of the SDM and DPM, but its crack evaporation is the highest. Compared to the DPM, the DPMDy simulated a faster pressure head building-up process in the crack domain and higher water exchange rates from the crack to the matrix domain during rainfall. Using a fixed crack ratio in the DPM, whether it is the maximum or the average value from the experiment data, will overestimate the infiltration fluxes of PF-DC but underestimate its contribution to the matrix domain. In conclusion, the DPMDy better described the underlying physics involving crack evolution and hydrological response with respect to the SDM and DPM. Further improvement of the DPMDy should focus on the hysteresis effect of the soil water retention curve and soil deformation during wetting–drying cycles.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:6dc71712-0a4c-4ba5-b253-55131d774027","http://resolver.tudelft.nl/uuid:6dc71712-0a4c-4ba5-b253-55131d774027","Silicon carbide-on-insulator thermal-piezoresistive resonator for harsh environment application","Sun, B. (TU Delft Electronic Components, Technology and Materials; China University of Petroleum (East China)); Mo, J. (TU Delft Electronic Components, Technology and Materials); Zhang, Hemin (Katholieke Universiteit Leuven); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The thermal-piezoresistive effect in silicon (Si) has attracted great attention toward high-performance resonant devices but still faces major challenges for harsh environment applications. Instead of using Si, this paper, for the first time, reports a thermal-piezoresistive resonator based on a silicon carbide-on-insulator (SiCOI) platform. The resonance frequency simulation, CMOS-compatible fabrication, and thermoresistive properties characterization of the proposed SiCOI resonator are presented. The experimental results show linear current-voltage characteristics and a constant temperature coefficient of resistance (TCR) up to 200 °C.","Silicon carbide-on-insulator; thermal-piezoresistive; resonator; harsh environment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","http://resolver.tudelft.nl/uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","Identification of internal damages in reinforced concrete slabs using probability density field of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","To assess the structural capacity of reinforced concrete structures, identifying the internal cracks is important. Acoustic emission (AE) is promising to estimate the location of internal cracks. However, the localization is influenced by many factors like arrival time picking error, presence of crack, etc., resulting in localization error. The error cannot be entirely removed. Considering the inevitable localization error, a probabilistic method was recently developed by the authors. The method estimates the probability of the location of AE events, creating a probability density field of AE events (pdAE field). This method can possibly improve the identification of internal damages. This paper evaluates the performance the pdAE field in identifying internal damages in a reinforced concrete slab. The slab was loaded to failure by a point load. Compared to the conventional localization results, the pdAE field showed a clearer internal crack pattern. Moreover, calculation of the pdAE field was time efficient, thus was suitable for real-time monitoring. With these benefits, the pdAE field indicated the failure of the slab before it occurred.","acoustic emission source localizaton; probability density field; damage identification; internal damages; reinforced concrete structures; Acoustic Emission; source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","http://resolver.tudelft.nl/uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","Rheology control of limestone calcined clay cement pastes by modifying the content of fine-grained metakaolin","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Zhang, Yamei (Southeast University); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Limestone-calcined clay-cement (LC3), as one of the most promising sustainable cements, has been under development over the past decade. However, many uncertainties remain regarding its rheological behaviors, such as the metakaolin content of calcined clay. This study aims to investigate the effect of increasing the content of fine-grained metakaolin in calcined clay on the rheology of LC3 pastes. Rheological behaviors and early-age hydration of studied mixtures were characterized using flow curve, constant shear rate, small amplitude oscillatory shear and isothermal calorimetry tests. Results show that increasing the content of fine-grained metakaolin decreased flowability but promoted structural build-up and early-age hydration. These phenomena can be attributed to the decrease of mean interparticle distance caused by the increased amount of fine-grained metakaolin, which may enhance colloidal interactions, C-S-H nucleation and direct contact between particles. Overall, modifying the fine-grained metakaolin content is a feasible approach to control the rheology of LC3 pastes.","limestone-calcined clay-cement; metakaolin; rheology; storage modulus; structural build-up; yield stress","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","http://resolver.tudelft.nl/uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","Investigation of Unclamped Inductive Switch Characteristics in 4H-SiC MOSFETs With Different Cell Topologies","Wu, Huan (Chongqing University); Luo, Houcai (Chongqing University); Zhang, Jingping (Chongqing University); Zheng, Bofeng (Chongqing University); Lang, Lei (Chongqing University); Wang, Zeping (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2023","To investigate the unclamped inductive switch (UIS) characteristics, 1200 V silicon carbide (SiC) planar MOSFETs with four cell topologies of linear, current sharing linear, square, and hexagon are designed and manufactured. The experimental platform was built and tested. The results show that the single pulse avalanche energy density of the linear cell topology is 1.69 times higher than that of the square and 1.49 times that of the hexagon. Further, the UIS process is simulated by using physical simulation, which shows that the avalanche energy was concentrated near the corner of the P-base region in the UIS mode. From this, the avalanche energy distribution differences of the four cell topologies were analyzed and compared. A theoretical model of avalanche heating per unit area is proposed, which shows that the avalanche energy density is inversely proportional to the proportion of avalanche energy concentration region. This study may contribute to the cell topology design of SiC MOSFETs under the application scenario with high avalanche reliability requirements.","Avalanche; cell topologies; failure analysis; silicon carbide (SiC) planar MOSFET; unclamped inductive switch (UIS) test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Electronic Components, Technology and Materials","","",""
"uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","http://resolver.tudelft.nl/uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","Indicators and methods for assessing acoustical preferences and needs of students in educational buildings: A review","Hamida, A.B. (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Sounds (e.g., human activity, nature, building systems) are one of the indoor environmental stimuli that may have positive and/or negative effects on students’ well-being and performance in educational buildings. Students in educational buildings have individual acoustical preferences and needs as portrayed by occupant-related indicators, for example perception. Acoustical guidelines for educational buildings are generally focused on acoustical performance in terms of dose-related (e.g., sound pressure level) and building-related indicators (e.g., sound absorbing walls), while occupant-related indicators (e.g., heart rate) are rarely mentioned. In contrast, previous studies such as indoor soundscape studies, do take into consideration occupant-related indicators, including physiological and psychological. Therefore, this study aimed at summarizing these indicators in a comprehensive overview that is essential for investigating the students’ acoustical preferences and needs in educational buildings. A literature review of relevant studies in the domain of indoor acoustics and soundscape was carried out. A number of key indicators (occupant-related, dose-related, building-related) and methods that are fundamental to be considered were identified. Only in a few studies, students’ acoustical preferences and needs were investigated by considering occupant-related indicators (both physiological and psychological). In addition, dose-related indicators of other indoor environmental quality (IEQ) factors and building-related indicators were rarely taken into account in previous studies.","Acoustical needs; Acoustical preferences; Building-related indicators; Dose-related indicators; Occupant-related indicators; Students","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:fd0562a1-b5ba-4342-a9b7-587308b139c5","http://resolver.tudelft.nl/uuid:fd0562a1-b5ba-4342-a9b7-587308b139c5","Optimization of Coordinated Flow Control and Skip-stopping Schemes for Urban Rail Stations Considering Platform Carrying Capacity","Zhang, Yu (Beijing Jiaotong University); Dong, Y. (TU Delft Transport and Planning)","","2023","The platform carrying capacity of urban rail transit stations is limited and overcrowding of the platform will lead to serious safety risks for passengers and trains. It is significant to collaborate on the optimization of passenger flow strategy and skip-stopping scheme to alleviate traffic pressure and ensure platform safety. This study proposes and solves the joint optimization problem of coordinated flow control and skip-stopping scheme considering platform carrying capacity. Firstly, platform demand constraints and platform stranded constraints are designed according to the maximum carrying capacity of the platform to control the number of allowable arrivals ensuring platform safety. Secondly, train arrival variable and train stop variable are introduced to generate train skip-stopping index. Finally, considering the characteristics of passengers' continuous arrival and platform carrying capacity, a mixed integer programming model is established to minimize the number of passengers outside the station and the number of passengers stranded on the platform. Based on empirical data, this study takes Beijing Batong line as a case study and uses the established model to generate flow control strategy and skip-stopping schemes for each station during morning rush hours. Experimental results show that compared to the baseline without implementing the two proposed strategies, the proposed collaborative optimization method can effectively reduce the demand for staying at the platform and increase the number of boarding passengers at downstream stations. Thus, the balance between train capacity and passenger flow demand is maintained while ensuring platform safety. Moreover, the proposed method can also avoid overcrowding at downstream stations.","urban rail transit; coordinated passenger flow control; mixed integer programming; skip-stopping scheme; station platform capacity","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:6af6ec5d-bf1c-431a-9ec8-c87125d3358d","http://resolver.tudelft.nl/uuid:6af6ec5d-bf1c-431a-9ec8-c87125d3358d","Manipulation, Sampling and Inactivation of the SARS-CoV-2 Virus Using Nonuniform Electric Fields on Micro-Fabricated Platforms: A Review","Mantri, D. (Student TU Delft); Wymenga, L.F.A. (TU Delft Electronic Components, Technology and Materials); van Turnhout, J. (TU Delft Team Erik Offerman); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Micro-devices that use electric fields to trap, analyze and inactivate micro-organisms vary in concept, design and application. The application of electric fields to manipulate and inactivate bacteria and single-celled organisms has been described extensively in the literature. By contrast, the effect of such fields on viruses is not well understood. This review explores the possibility of using existing methods for manipulating and inactivating larger viruses and bacteria, for smaller viruses, such as SARS-CoV-2. It also provides an overview of the theoretical background. The findings may be used to implement new ideas and frame experimental parameters that optimize the manipulation, sampling and inactivation of SARS-CoV-2 electrically.","micro-electrodes; virus in-activation; virus sampling; virus concentration; SARS-CoV-2; dielectrophoresis","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8e2f8b6e-2632-40d8-a759-c77a8077c352","http://resolver.tudelft.nl/uuid:8e2f8b6e-2632-40d8-a759-c77a8077c352","Fresh properties of limestone-calcined clay-slag cement pastes","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Formulation of quaternary blended system containing ordinary Portland cement or clinker, slag, limestone and calcined clay (LC2) appeared to be a viable approach to developing low-clinker cements without severely sacrificing mechanical performance at later ages. This paper investigates the effect of two material parameters, i.e., LC2-to-slag ratio and gypsum content, on fresh properties, hydration, and compressive strength of quaternary blended cement pastes (about 65 wt% of LC2 and slag in the binder). Results show that the increase in LC2 proportion decreased flowability and increased water retention capacity, yield stress, and plastic viscosity, as well as accelerated the evolution of stiffness with time (G′ growth). On the other hand, the addition of 2–4 wt% gypsum had little effect on most of the fresh properties. A new metric, the free water indicator, was proposed to describe the effect of free water content and the total specific surface area of binding materials. It correlated strongly with the growth of structural build-up metrics. Finally, adding gypsum delayed the aluminate peak and enhanced compressive strength only at 3 days, whereas increasing slag content reduced accumulated heat of hydration (7 days) but improved 28-day compressive strength. Therefore, adjusting LC2-to-slag ratio of the quaternary blended cement is a feasible way to meet requirements for fresh properties and compressive strength.","Limestone and calcined clay; Slag; Fresh-state behaviors; Rheology; Water retention; Hydration; Compressive strength","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","http://resolver.tudelft.nl/uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","Adopting BIM to Facilitate Dispute Management in the Construction Industry: A Conceptual Framework Development","Wang, Jinpeng (The University of Manchester); Zhang, Shang (Suzhou University of Science and Technology); Fenn, Peter (The University of Manchester); Luo, Xiaowei (City University of Hong Kong); Liu, Y. (TU Delft Integral Design & Management; Nanjing University); Zhao, Lilin (Loughborough University)","","2023","Previous studies revealed that Building Information Modeling (BIM) has the potential to reduce project uncertainties, design errors, change orders, and delays, which might facilitate achieving effective dispute management in the construction industry. However, research into the adoption of BIM to holistically enhance effective dispute management is limited compared with the plentiful BIM research in the construction management field. This study explored whether and how BIM adoption can help minimize the chronic problem of dispute in the industry. A structured critical literature review method was employed in this study which involved 102 papers in the fields of BIM and construction disputes. Nine main common causes of disputes (e.g., change order, design error, site problem, contractual problem, payment problem, and delay) and eight primary benefits of BIM application (e.g., improved visual management, design optimization, improved information management, and enhanced collaboration) were identified. A conceptual framework was developed illustrating the mechanism of adopting BIM to facilitate dispute management in the overall life cycle of construction projects. The framework indicates that design error, delay, and change order can be reduced most significantly by most of the BIM benefits, whereas improved visual management, improved information management, and enhanced collaboration are three of the most frequently adopted BIM benefits that can settle the majority of dispute causes. This study contributes to dispute management with a more holistic view of adopting BIM in the life cycle of construction projects, as illustrated in the conceptual framework. In addition, the identified common causes of disputes and primary benefits of BIM application are valuable for on future research in these two areas.","BIM benefits; Building Information Modeling (BIM); Conceptual framework; Dispute causes; Literature review","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:7b386549-93d1-499f-97cc-a0f55f41405a","http://resolver.tudelft.nl/uuid:7b386549-93d1-499f-97cc-a0f55f41405a","Machine learning assisted early anomaly detection of LEDs with spectral power distribution modeling","Liu, Minne (Fudan University); Ibrahim, Mesfin S. (New Territories); Wen, Minzhen (Fudan University); Li, Sheng (Shanhai Yaming Lighting Co.Ltd); Wang, An (Shanhai Yaming Lighting Co.Ltd); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","Spectral power distribution (SPD) is the radiation power intensity at different wavelengths, containing the most basic photometric and colorimetric performance of the illuminant, which is able to predict the lifetime of LEDs. This paper proposes an SPD model assisted by machine learning algorithms to detect the early failure of white LEDs. The SPD features of 3W high-power white LEDs were firstly extracted by the statistical models of Gaussian, Lorentz, and Asym2sig functions. An unsupervised learning method, principal component analysis (PCA), was then used to reduce the extracted features parameters’ dimensions. Next a K-nearest neighbor (KNN)-based method was used to detect LEDs’ anomalies by dividing the main cluster into groups, and estimating the distance from the center of mass of each cluster to the test point. The results showed the following: (1) for selected white LEDs, the Asym2sig function has a better fitting result than Gaussian and Lorentz functions; (2) machine learning methods can significantly assist in LED anomaly detection and can decrease the amount of anomaly detection time to 789.6 h, compared to the 1311 h when lumen maintenance degradation reaches 70% as required by IES TM21.","White LEDs; Spectral power distribution; Anomaly detection; Principal component analysis; K-nearest neighbor","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Electronic Components, Technology and Materials","","",""
"uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","http://resolver.tudelft.nl/uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","Design of Child-robot Interactions for Comfort and Distraction from Post-operative Pain and Distress","Ferrari, Oriana Isabella (Eindhoven University of Technology); Zhang, Feiran (Norwegian University of Science and Technology (NTNU)); Braam, Ayrton A. (Student TU Delft); Van Gurp, Jules A.M. (Eindhoven University of Technology); Broz, F. (TU Delft Interactive Intelligence); Barakova, Emilia I. (Eindhoven University of Technology)","","2023","There are numerous strategies for reducing the stress and anxiety associated with pain that children experience before and after surgery. There is a potential communication barrier between hospital staff and the child which may result in inadequate pain management. Social robots may reduce the gap between the support that personnel can provide and what the children's emotional needs are. This study qualitatively evaluates the interactions between children and their parents who interact with the social robot MiRo-E. In the overall interaction, the robot would act like a pet and show different behaviours based on the estimated pain level of the children. However, in the current study, only the quality of the robot interaction behaviours was tested with healthy children and no pain was measured. During this study, two usability tests were done. Each usability test evaluated a different robot interaction. In both tests, children and their parents evaluated the designed interactions. Results indicate that children initially have different responses to the robot. They can either be held back from immediately interacting or they are not afraid of the robot at all and start touching it and interacting immediately. Although the intended behaviours could be more elaborate and personalized, both children and their parents appeared to like the different emotions shown by the robot and how it responded to their touch. The parents also offered some ideas to enhance the interaction between a child and a robot in a medical context, such as by including more sounds, making some behaviours more distinct, and allowing kids to customize the robot's look.","Child-robot interaction; pain management for children; robots in healthcare","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","http://resolver.tudelft.nl/uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","Time-Domain Modelling of Pulsed Photoconducting Sources - Part II: Characterization of an LT GaAs Bow-Tie Antenna","Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Bueno Lopez, J. (TU Delft Electronics); Zhang, H. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Drude's description of the response of low-temperature gallium arsenide to optical pulse excitation is used to evaluate the components of a time-domain Norton equivalent circuit of a photoconductive antenna (PCA) source. The saturation of the terahertz (THz) radiated power occurring at large optical excitation levels was previously associated by the scientific community to radiation and charge screening of the bias. With the present circuit, we are able to model accurately the measured saturation as only due to the EM feedback from the antenna to the bias. The predicted THz radiated power is shown to match very accurately the measurements when the circuit is combined with an accurate description of the experimental conditions and the modeling of the THz quasi-optical (QO) channel.","Equivalent circuit; photoconductive antennas (PCAs); terahertz (THz); THz radiated power; THz sources; THz technology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-19","","","Tera-Hertz Sensing","","",""
"uuid:630778e8-9778-49a1-8d79-70cf5d338175","http://resolver.tudelft.nl/uuid:630778e8-9778-49a1-8d79-70cf5d338175","Thermomechanical Oriented Reliability Enhancement of Si MOSFET Panel-Level Packaging Fusing Ant Colony Optimization With Backpropagation Neural Network","Jiang, Jing (Fudan University); Chen, Wei (Fudan University); Qian, Yichen (Hohai University); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University)","","2023","Considerable advancements in power semiconductor devices have resulted in such devices being increasingly adopted in applications of energy generation, conversion, and transmission. Hence, we proposed a fan-out panel-level packaging (FOPLP) design for 30-V Si-based metal-oxide-semiconductor field-effect transistor (MOSFET). To achieve superior reliability of packaging, we applied the nondominated sorting genetic algorithm with elitist strategy (NSGA-II) and ant colony optimization-backpropagation neural network (ACO-BPNN) to optimize the design of redistribution layer (RDL) in FOPLP. We first quantified the thermal resistance and thermomechanical coupling stress of the designed package under thermal cycling loading. Next, NSGA-II and ACO-BPNN were used to optimize the size of the RDL blind via. Finally, the effectiveness of the proposed reliability optimization methods was verified by performing thermal shock reliability aging tests on the prepared devices.","Ant Colony Neural Network; Fan-out panel-level packaging; Genetic Algorithm; MOSFET; Packaging; Power device; Reliability; Reliability optimization; Stress; Thermal resistance; Thermal stresses; Thermomechanical processes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","","","Electronic Components, Technology and Materials","","",""
"uuid:eb122028-53cf-4199-8b5f-4b97238d3636","http://resolver.tudelft.nl/uuid:eb122028-53cf-4199-8b5f-4b97238d3636","3.1 A 120.9dB DR, -111.2dB THD+N Digital-Input Capacitively-Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Microelectronics)","","2023","Class-D amplifiers (CDAs) are widely used in audio applications where a high power efficiency is required. As most audio sources are digital nowadays, implementing digital-input CDAs results in higher levels of integration and lower cost. However, prior open-loop digital-input CDAs suffer from high jitter sensitivity and output-stage distortion. In [1], jitter sensitivity at small signal levels is mitigated using a buck-boost converter that adaptively lowers the supply at the expense of extra external components and reduced power efficiency. Prior closed-loop digital-input CDAs employing multi-bit current-steering [2] or resistive [3] DACs are less sensitive to jitter, but their DR is limited to about 115dB. DAC non-idealities and intermodulation distortion are also challenges, and prior works only achieved a peak textTHD+N of about -98textdB [2], [3]. This paper presents a digital-input CDA that achieves high DR by combining a low-noise capacitive DAC (CDAC) with dedicated techniques to mitigate DAC mismatch, lSI, and intermodulation distortion. A prototype implemented in a 0.18mum BCD process achieves 120.9dB DR and -111.2textdB peak textTHD+N. Furthermore, it can deliver 13W/23W at 10% THD into an 8Omega/4Omega load with a 90%/86% efficiency.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:15a99b29-6338-4cde-a671-f22118349fdc","http://resolver.tudelft.nl/uuid:15a99b29-6338-4cde-a671-f22118349fdc","Model Predictive Path Planning of AGVs: Mixed Logical Dynamical Formulation and Distributed Coordination","Xin, Jianbin (Zhengzhou University); Wu, Xuwen (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Zhang, Fangfang (Zhengzhou University)","","2023","Most of the existing path planning methods of automated guided vehicles (AGVs) are static. This paper proposes a new methodology for the path planning of a fleet of AGVs to improve the flexibility, robustness, and scalability of the AGV system. We mathematically describe the transport process as a dynamical system using an ad hoc mixed logical dynamical (MLD) model. Based on our MLD model, model predictive control is proposed to determine the collision paths dynamically, and the corresponding optimization problem is formulated as 0-1 integer linear programming. An alternating direction method of multipliers (ADMM)-based decomposition technique is then developed to coordinate the AGVs and reduce the computational burden, aiming for real-time decisions. The proposed methodology is tested on industrial scenarios, and results from numerical experiments show that the proposed method can obtain high transport productivity of the multi-AGV system at a low computational burden and deal with uncertainties resulting from the industrial environment.","Automated guided vehicles; Mathematical models; mixed logical dynamical model; model predictive control; Path planning; path planning; Planning; Predictive models; Robot kinematics; Robots; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Transport Engineering and Logistics","","",""
"uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","http://resolver.tudelft.nl/uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","A Continuously Updated Package-Degradation Model reflecting Thermomechanical Changes at Different Thermo-Oxidative Stages of Moulding Compound","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Soestbergen, Michiel (NXP Semiconductors); Mavinkurve, Amar (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Moulding compounds used for encapsulating electronics typically occupy a large portion of package volume and are most exposed to the external environment. Under harsh conditions such as high temperature, humidity, and mechanical vibrations, constituent materials of electronic components degrade, resulting in a change in their thermal, mechanical, electrical, and chemical behaviour. High-temperature ageing of electronic packages causes the oxidation of epoxy moulding compounds (EMC), forming a layer exhibiting significantly different thermomechanical properties. This reflects in the modified mechanical behaviour of the entire package, which accelerates certain failure modes and affects component reliability. Thus, it is crucial to consider gradual degenerative changes in EMC for a more accurate estimation of the component lifetime. This paper proposes a three-step modelling approach to replicate thermo-chemical changes in package encapsulation. A parametric geometry of a test package was incorporated with the ageing stage-dependent changes in thermomechanical properties of the oxidized layer. The mechanical behaviour of oxidized EMC at multiple stages of thermal ageing (at 150°C for up to 3000 hours) was first experimentally characterized and then validated using warpage measurements on thermally aged test packages and Finite Element (FE) simulations. Lastly, a trend-based interpolation of material model parameters for intermediate stages of ageing was followed, and a continuously updated degradation model (physics-based Digital Twin) was achieved. The proposed model is capable of reproducing degraded stages of the test package under thermal ageing along with its modified thermomechanical behaviour. Its limitations and significance in the domain of health monitoring of microelectronics are also discussed.","Epoxy moulding compounds; thermal ageing; oxidation; experimental characterization; mechanical behavioural modelling; degradation model; parametric geometry; finite element analysis; package warpage","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Electronic Components, Technology and Materials","","",""
"uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","http://resolver.tudelft.nl/uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","Hierarchical Motion Planning and Tracking for Autonomous Vehicles Using Global Heuristic Based Potential Field and Reinforcement Learning Based Predictive Control","Du, Guodong (ETH Zürich; Beijing Institute of Technology); Zou, Yuan (Beijing Institute of Technology); Zhang, Xudong (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology)","","2023","The autonomous vehicle is widely applied in various ground operations, in which motion planning and tracking control are becoming the key technologies to achieve autonomous driving. In order to further improve the performance of motion planning and tracking control, an efficient hierarchical framework containing motion planning and tracking control for the autonomous vehicles is constructed in this paper. Firstly, the problems of planning and control are modeled and formulated for the autonomous vehicle. Then, the logical structure of the hierarchical framework is described in detail, which contains several algorithmic improvements and logical associations. The global heuristic planning based artificial potential field method is developed to generate the real-time optimal motion sequence, and the prioritized Q-learning based forward predictive control method is proposed to further optimize the effectiveness of tracking control. The hierarchical framework is evaluated and validated by the numerical simulation, virtual driving environment simulation and real-world scenario. The results show that both the motion planning layer and the tracking control layer of the hierarchical framework perform better than other previous methods. Finally, the adaptability of the proposed framework is verified by applying another driving scenario. Furthermore, the hierarchical framework also has the ability for the real-time application.","Autonomous vehicle; Autonomous vehicles; global heuristic based potential field; Heuristic algorithms; motion planning; Planning; Prediction algorithms; Real-time systems; Reinforcement learning; reinforcement learning based predictive control; Tracking; tracking control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Transport and Planning","","",""
"uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","http://resolver.tudelft.nl/uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology","Mo, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); LI, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Zhang, Y. (TU Delft Electrical Engineering, Mathematics and Computer Science); Romijn, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","In this work, a highly linear temperature sensor based on a silicon carbide (SiC) p-n diode is presented. Under a constant current biasing, the diode has an excellent linear response to the temperature (from room temperature to 600°C). The best linearity (coefficient of determination ${R}^{{2}}$ = 99.98%) is achieved when the current density is 0.53 mA/cm2. The maximum sensitivity of the p-n diode is 3.04 mV/°C. The temperature sensor is fully compatible with Fraunhofer Institute (FHG) IISB's open SiC CMOS (complementary metal-oxide-semiconductor) technology, thus enabling the monolithic integration with SiC readout circuits for high-temperature applications. The sensor also features a simple fabrication process. To our knowledge, the presented device is the first SiC diode temperature sensor that does not require a mesa etch or backside contacts.","high temperature; Linearity; p-n diode; Schottky diodes; Sensitivity; Silicon carbide; Temperature distribution; Temperature measurement; temperature sensor; Temperature sensors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","Electrical Engineering, Mathematics and Computer Science","","Electronic Components, Technology and Materials","","",""
"uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","http://resolver.tudelft.nl/uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","Coordination and Optimization Control Framework for Vessels Platooning in Inland Waterborne Transportation System","Tao, W. (TU Delft Transport Engineering and Logistics; Wuhan University of Technology); Zhu, Man (Wuhan University of Technology); Chen, Shengyong (Tianjin University of Technology); Cheng, Xu (Smart Innovation Norway); Wen, Yuanqiao (Wuhan University of Technology); Zhang, Weidong (Shanghai Jiao Tong University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2023","Vessels sailing in a single platoon could reduce resistance from the perspective of the whole platoon and the individual vessel, and contribute to improving energy benefits. Moreover, transportation energy costs and traffic efficiency are essential indicators for measuring waterborne transportation systems. We attempt to minimize transportation energy costs by coordinating platoon formation using a distributed framework of controllers. A large-scale coordinated vessel platooning program is proposed to minimize transportation energy costs and optimize traffic efficiency while guaranteeing safety. The control framework covers routing, energy consumption-dependent cooperative platooning decision and speed optimization based on graph search algorithm, cluster analysis, optimal control approach and model predictive control. Firstly, a local scheduling strategy combined with the leader vessel selection algorithm is adopted. Furthermore, we used cluster analysis to create a series of mergeable vessel platooning sets. Then, we used the mathematical planning method and a two-step hybrid optimal control approach to calculate the improvement and optimization of each vessel platoon's path and speed. Finally, the scalability of the scheduling strategy is elucidated. In a simulation of large scale inland waterborne network, savings surpassed 3.5% when six hundreds vessels participated in the system. These simulation results reveal that the scheduling strategy coordinating vessels into vessel platooning, which improves transportation efficiency as well as descends cost, comparing to a fixed origin route in the waterway network.","cooperative control; Costs; Energy consumption; energy consumption; Merging; Optimization; Planning; Safety; scheduling scheme; Transportation; Vessel platooning; waterborne transportation system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-11","","","Transport Engineering and Logistics","","",""
"uuid:c898a66e-b760-4528-aaa5-e82212694e09","http://resolver.tudelft.nl/uuid:c898a66e-b760-4528-aaa5-e82212694e09","Influence of fiber orientation on the mechanical responses of engineering cementitious composite (ECC) under various loading conditions","Tawfek, Abdullah M. (Shandong University; Sana'a University); Ge, Zhi (Shandong University); Yuan, Huaqiang (Shandong University); Zhang, Ning (Tsinghua University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Guan, Yanhua (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The mechanical performance of engineered cementitious composite (ECC) depends greatly on fiber orientation and distribution. In this paper, the effect of fiber orientation on ECC's mechanical properties was investigated using two different casting methods: a flow-induced casting was used to enhance the fiber orientation within ECC mixture and compared with the conventional casting. The fiber orientation was quantified using scanning electron microscope (SEM) and image processing. Mechanical tests on the specimens with various fiber orientations were performed. The failure processes of ECC specimens under compression and tensile tests were analyzed using digital image correlation (DIC) technique. The proposed flow-induced casting enhanced the fiber alignment in the flow direction. Consequently, ECC's mechanical properties were significantly improved with more finer cracks under uniaxial loading. In conclusion, the proposed flow-induced casting can be adopted as an effective approach to improve fiber bridging efficiency in ECC.","Engineering cementitious composite; Fiber orientation; Mechanical behavior; Digital image correlation; Crack width","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","http://resolver.tudelft.nl/uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","Study on Sintering Mechanism and Mechanical Properties of Nano-Cu based on Molecular Dynamics Simulation","Qian, Cheng (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2023","Nano-metal materials sintering has received increasing attention in recent years for its promising performance in the wide bandgap semiconductor packaging. In this paper, molecular dynamics (MD) simulation method were applied to simulate the nano-Cu sintering mechanism and the subsequent mechanical behavior. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was carried out at temperatures ranging from 500K to 650K. Furthermore, shearing simulations were conducted with constant strain rates on the sintered structure at multiple temperatures, and subsequently correlated the extracted mechanical properties with the sintering behavior. The results indicated that the mechanical properties of nano-Cu sintered structure were improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response, the shear modulus and shear strength of the sintered structure with NF particles increased to 41.2GPa and 3.51GPa respectively. It offers valuable insights into the preparation phase of nano Cu paste for sintering technology.","Nano Cu sintering; molecular dynamics simulation; Nanoflake; Shearing simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","http://resolver.tudelft.nl/uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","Temperature Field Simulation and optimization for Horizontal 6-inch 4H-SiC Epitaxial CVD Reactor by Induction Heating","Tang, Zhuorui (Fudan University; Jihua Laboratory); Tian, Jing (Fudan University); Mao, Chaobin (Jihua Laboratory); Zhang, Nan (Jihua Laboratory); Huang, Jiyu (Jihua Laboratory); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Silicon carbide (SiC) epitaxial process is a key step in the fabrication of power devices, and the temperature field inside the reactor chamber plays an essential role in this process. In this paper, the temperature field in the horizontal chemical-vapor-deposition reactor chamber used for growing homo-epitaxial 4H-SiC material is studied using the finite-element method. A three-dimensional time-dependency model is built for the accuracy of simulation, and the effects of 11 relative coil locations (−50, −28, −18, −10, −4,0,4,10,18,28, and 50 mm) on heating efficiency and temperature uniformity of the substrate are analyzed. Results indicate that the suitable relative location between the center of coil and that of the substrate to achieve optimum temperature uniformity is −4 mm, and 18 mm to obtain the highest heating efficiency. To increase the heating efficiency and temperature uniformity of the substrate, the structure of the reactor was analyzed and optimized. It is observed that both heating efficiency and temperature uniformity can be effectively improved by adding a graphite pillar inside the down susceptor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","http://resolver.tudelft.nl/uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","Microstructure Analysis Based on 3D reconstruction Model and Transient Thermal Impedance Measurement of Resin-reinforced Sintered Ag layer for High power RF device","Hu, X. (TU Delft Electronic Components, Technology and Materials; Ampleon); Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Huang, J.L. (Ampleon); Rijckevorsel, H. (Ampleon); Scholten, H. (Ampleon); Smits, E.C.P. (Chip Integration Technology Center); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Resin-reinforced silver (Ag) sintering material is an effective and highly reliable solution for power electronics packaging. The hybrid material’s process parameters strongly influence its microstructure and pose a significant challenge in estimating its effective properties as a thin interconnect layer. This research demonstrates a novel 3D reconstruction methodology for the microstructural investigation of the resin-reinforced Ag sintering material from OverMolded Plastic (OMP) packages. Based on the reconstructed models with different sintering parameters (temperature and time), the fraction of Ag and Resin volume distribution, the connectivity of silver particles, and the tortuosity factors were estimated. A 99% connectivity of sintered Ag particles was achieved with various sintering conditions, such as 200°C for 2 hours, 200°C for 4 hours, and 250°C for 2 hours. However, coarsening of Ag particles was promoted when sintered at 250°C. Increasing the sintering time at 200°C had insignificant changes. The estimated tortuosity factor also indicated that sintering at 250°C provides the shortest heat transport path between the semiconductor die and the package substrate. In order to quantify the microstructural findings, the OMP packages’ thermal performance with different sintering conditions (temperature, time, and interconnect thickness) was experimentally assessed. Although the experimental measurements were less sensitive to the effective interface thermal resistances’, the measurement results show a good correlation with the microstructural analysis. Sintering the Resin-reinforced Ag sintering material at higher temperatures (250°C) seems to improve the package thermal performance, and increasing the sintering time at 200°C has a negligible effect.","Hybrid Ag Sintering; Pressureless Sintering; 3D Reconstruction; Microstructure Analysis; Tortuosity; Transient Thermal Impedance; LDMOS Body Diode Measurement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","http://resolver.tudelft.nl/uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","Hydrolysis Mechanism Analysis of (Ca, Sr)AlSiN₃:Eu²⁺ Red Phosphor Aged Under Pressure Cooker Test and 85°C&85%RH Test: Kinetics Modeling and First-principles Calculation","Wen, Minzhen (Fudan University); Guo, Baotong (Fudan University); Chen, Shanghuan (Hohai University); Hu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","The (Ca, Sr) AlSiN₃:Eu²⁺(CSASN:Eu) red phosphor is widely used to improve color rendering of high-power phosphor-converted lighting diode (pc-WLED), but it is always unstable under high temperature and high humidity environments. Therefore, the studies on the temperature and humidity resistance of red phosphors and their aging mechanism have become essential to evaluate its reliability in harsh applications. In this paper, the pressure cooker test (PCT) and 85°C&85% RH aging test were carried out for the CSASN:Eu red phosphors. And, its hydrolysis reaction-driven degradation mechanism was simulated and analyzed based on first-principle calculation, in which the optimized adsorption of simplified CaAlSiN3(CASN) and H2 O was simulated based on Density Function Theory (DFT) and the specific aging process was analyzed by the charge density difference and ab initio molecular dynamics (AIMD). The experimental results showed that the photoluminescence performance of CSASN:Eu red phosphor dropped gradually and finally disappeared under PCT aging, and its temperature-dependent degradation kinetics followed the Arrhenius model well. Meanwhile, the simulation results indicate that the CASN, reacted with H2 O when the H atoms had a tendency to approach N atoms. Both the temperature and humidity could accelerate the hydrolysis reaction rate.","Degradation; Resistance; Simulation; Phosphors; Humidity; Aging; Rendering (computer graphics)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:7079b39d-3c3e-4469-897a-975309d5255d","http://resolver.tudelft.nl/uuid:7079b39d-3c3e-4469-897a-975309d5255d","Effect of Thermomigration on Electromigration in SWEAT Structures","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper investigates thermomigration (TM) and electromigration (EM) in SWEAT structure. Firstly, the distribution of temperature along SWEAT structure during EM is obtained by using finite element (FE) simulation. The FE simulation results show that the temperature is almost uniformly distributed in the most region of narrow line in SWEAT structure, but temperature decreases rapidly at both sides of conductor. Accordingly, the temperature gradient in the narrow line of SWEAT structures is calculated. Then, we apply the obtained temperature and temperature gradient in the governing equation of EM in terms of atomic concentration. The numerical results show that the TM caused by temperature gradient causes the material depletion near both ends of conductor. At the same time, atoms diffuse from the middle region of conductor to both sides driven by the atomic concentration, causing the voids in middle of conductor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","http://resolver.tudelft.nl/uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","Manufacturing of an In-Package Relative Humidity Sensor for Epoxy Molding Compound Packages","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This study presents the design and fabrication of an in-package relative humidity sensor for epoxy molding compound (EMC) packages. The sensor comprises shielded interdigital electrodes (SIDE) for in-situ monitoring of humidity absorption/desorption in the package encapsulation layer. A novel approach is employed in the device fabrication to maximize the electrical field lines to pass through the EMC and enhance the sensitivity. The manufactured wafer includes 6×6mm2 dies, each containing six identical capacitive sensors with an area of 480 × 620 μ m2. SU-8 through polymer vias (TPVs) with high aspect ratio were created to locally mold the sensors by EMC. The linear capacitance change with the relative humidity level is simulated in COMSOL Multiphysics. Three designs were compared, and the calibration results show the capacitance value of 1.54 pF and 5.85 pF before and after molding, respectively. The capacitance value stays within the range of 5.85 to 5.86 pF with less than 7 aF variation under different biasing voltages, indicating the stability and robustness of the capacitance.","Electromagnetic compatibility; In-package relative humidity sensor; epoxy molding compound; shielded interdigital electrodes; encapsulation layer; electrical field lines; through polymer vias","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","http://resolver.tudelft.nl/uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","Micro-cantilever Bending Test of Sintered Cu nanoparticles for Power Electronic Devices","Du, L. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Poelm, René (Nexperia); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The application of microporous sintered copper (Cu) as a bonding material to replace conventional die-attach materials in power electronic devices has attracted considerable interest. Many previous studies have focused on the effect of processing parameters (temperature, time, pressure) on the microstructure evolution of sintered Cu. However, there are only a few studies with regard to the mechanical properties of sintered Cu. As the die-attach layer undergoes thermal and mechanical stress during its application, it is essential to investigate the micro-scale mechanical properties of sintered Cu. Fracture toughness is a measure of the resistance of a material to crack propagation under predominantly linear-elastic conditions, which is an essential parameter for predicting fracture failure. As cracks and defects are difficult to avoid during fabrication and application processing for sintered Cu, which will definitely cause a significant effect on micromechanical properties. Thus, it is essential to reveal the effect of microstructure on fracture toughess of sintered Cu nanoparticles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:a73acffb-9714-49a4-9c20-dd20fd777838","http://resolver.tudelft.nl/uuid:a73acffb-9714-49a4-9c20-dd20fd777838","Experimental Testing and Constitutive Modelling of Pavement Materials","Liu, X. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); You, Zhanping (Michigan Technological University); Wang, Linbing (University of Georgia); Zhou, Changhong (Guilin University of Electronic Technology)","","2023","","","en","contribution to periodical","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b78c39ea-a071-4783-af71-2d889152f1ae","http://resolver.tudelft.nl/uuid:b78c39ea-a071-4783-af71-2d889152f1ae","Nanostructured Thermoelectric Films Synthesised by Spark Ablation and Their Oxidation Behaviour: Nanomaterials","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Mitterhuber, Lisa (Materials Center Leoben Forschung GmbH); van de Putte, Marijn Willem (University of Twente); Huijben, Mark (University of Twente); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Reducing the thermal conductivity of thermoelectric materials has been a field of intense research to improve the efficiency of thermoelectric devices. One approach is to create a nanostructured thermoelectric material that has a low thermal conductivity due to its high number of grain boundaries or voids, which scatter phonons. Here, we present a new method based on spark ablation nanoparticle generation to create nanostructured thermoelectric materials, demonstrated using Bi2Te3. The lowest achieved thermal conductivity was <0.1 W m−1 K−1 at room temperature with a mean nanoparticle size of 8±2 nm and a porosity of 44%. This is comparable to the best published nanostructured Bi2Te3 films. Oxidation is also shown to be a major issue for nanoporous materials such as the one here, illustrating the importance of immediate, air-tight packaging of such materials after synthesis and deposition.","thermoelectric; nanoparticle; Bi2Te3; spark ablation; nanostructured","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7b78abf5-03f6-4b4f-a85b-52b21df977e8","http://resolver.tudelft.nl/uuid:7b78abf5-03f6-4b4f-a85b-52b21df977e8","Frequency-domain Analysis for Infinite Resets Systems∗","Zhang, X. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","Reset control systems have possessed the potential to meet the demands of machines, such as faster response times, improved disturbance rejection and enhanced tracking performance. However, prior research on the analysis and design of reset controllers has been restricted to the assumption of two resets per period, neglecting multiple-reset scenarios. In light of this, we focus on the frequency-domain analysis of Infinite-reset Control Systems, which serve as the limit case of multiple-reset control systems, and propose a new model for their analysis. Through this model, the sensitivity functions of Infinite-reset Control Systems are characterised, linking their frequency-domain and time-domain behaviour. The effectiveness of the infinite-reset system is evaluated through simulation of a reset control system case. The results reveal that the infinitereset system demonstrates improved accuracy in prediction in multiple-reset systems compared to the previous analysis methods. Furthermore, this study provides a deeper understanding of the reset systems.","frequency-domain analysis; infinite-reset systems; reset control system; sensitivity functions","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Mechatronic Systems Design","","",""
"uuid:7596bc1c-a502-4e6d-a0fa-691576e88536","http://resolver.tudelft.nl/uuid:7596bc1c-a502-4e6d-a0fa-691576e88536","Uncovering the Visibility of Blue Spaces: Design-oriented Methods for Analysing Water Elements and Maximizing Their Potential","Zhang, H. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","","2023","Existing studies indicate that a direct view of aquatic elements benefits well-being, and houses with blue views are often associated with higher prices. Therefore, developing analysis and design methods for visibility research of blue spaces are crucial to advance spatial design practice. Especially digital methods for analysing blue visibility and their potential in design still need to be identified and explored. This study explores the application potential of some powerful digital visibility analysis methods for blue space design. Specifically, this research first provides an overview of poten- tial methods for analysing the visibility of water. Next, two practical design-oriented digital methods are briefly elaborated and illustrated by cases in Rotterdam (the Netherlands). Meanwhile, the study explores how the analysis results support spatial design practice. Last, the study discusses the potential of integrating blue visibility analysis methods into the iterative design process and makes prospects for future research.","Digital visibility analysis; Isovist analysis; Segmentation analysis; Landscape design; evidence-based design","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:1ef0a0b6-81cc-4ee2-b1a8-7baa3f30d327","http://resolver.tudelft.nl/uuid:1ef0a0b6-81cc-4ee2-b1a8-7baa3f30d327","A 155W −95.6 dB THD+N GaN-based Class-D Audio Amplifier With LC Filter Nonlinearity Compensation","Chen, Minggang (Southeast University); Zhang, H. (TU Delft Electronic Components, Technology and Materials); Fan, Q. (TU Delft Microelectronics)","","2023","Silicon MOSFETs-based medium-power (< 50W) Class-D amplifiers (CDAs) switching in the MHz range have gained popularity in recent years, which achieves better linearity thanks to a higher loop gain in the audio band while enabling the use of LC filters with higher cut-off frequencies. However, for high-power (>100 W) CDAs, such switching frequency and high load current could lead to significant power loss. Furthermore, in the presence of a large current and voltage applied to the load, the linearity of the system can quickly degrade due to LC filter component voltage/current dependency. Without any LC filter nonlinearity compensation technique, LC components with high voltage/current rating must be used to reach high system linearity, which are often expensive and bulky. This paper presents a CDA using a GaN-based output stage to achieve high switching frequency and good efficiency simultaneously, and an integrated controller implemented in a 180nm CMOS technology to compensate for the LC filter nonlinearity. Switching at 1.8 MHz, the CDA can deliver a maximum of 155W from a 50V supply into a $4\Omega$ load with a peak efficiency of 91.7%. It achieves a peak THD+N of −95.6 dB (0.0017%) while allowing the use of cheaper and smaller nonlinear LC components.","Audio power amplifier; Class-D amplifier; GaN; THD; feedback-after-LC","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","http://resolver.tudelft.nl/uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","Monitoring daily and seasonal movement of an immersed tunnel","Broere, W. (TU Delft Geo-engineering); Zhang, X. (TU Delft Geo-engineering)","","2023","Daily and seasonal deformation behavior of immersed tunnels potentially impacts the structural integrity. In this study, distributed optical fiber sensors (DOFS) are used to instrument both dilation and immersion joints of the Heinenoordtunnel, an immersed tunnel in the Netherlands. This DOFS system proves capable of measuring joint opening and uneven settlement at half-hour intervals. The field monitoring shows the Heinenoordtunnel behaves more like a rigid body and exhibits a cyclic vertical movement under daily tide impacts over a period of 12 hours. Moreover, the joints show a cyclic seasonal opening which is negatively correlation with temperature variations, i.e. the tunnel joints are compressed when the outside temperature rises and vice versa. These monitoring results","","en","conference paper","CRC Press","","","","","","","","","","Geo-engineering","","",""
"uuid:7b8a6244-8885-4fb5-8a10-ece47e36d8ef","http://resolver.tudelft.nl/uuid:7b8a6244-8885-4fb5-8a10-ece47e36d8ef","A Numerical Investigation of the Effects of Groove Texture on the Dynamics of a Water-Lubricated Bearing–Rotor System","Feng, H. (TU Delft Mechatronic Systems Design; Hohai University); Gao, Zhiwei (Hohai University); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design); Zhang, Xiaofeng (Hohai University)","","2023","This paper aims to investigate the combined effects of working condition and structural parameters of groove texture on the dynamic characteristics, stability and unbalance response of a water-lubricated hydrodynamic bearing–rotor system to avoid instability and excessive vibration of the rotor. The Navier–Stokes equation, standard K-ε model with enhanced wall treatment and Zwart–Gerber–Belamri cavitation model are considered using the commercial software Fluent to calculate the stiffness and damping coefficients of a groove-textured, water-lubricated bearing based on the dynamic mesh method; the critical mass to express the stability and the unbalance response solved by the fourth order Runge–Kutta method of the rotor are calculated based on dynamic equations. The results indicate that shallower and longer groove textures can improve the direct stiffness along the load direction (Formula presented.), weaken the stiffness in the orthogonal direction (Formula presented.), improve stability and decrease the unbalance response amplitude of the water-lubricated bearing–rotor system at a greater rotational speed and smaller eccentricity ratio; however, the impact of grooves on damping parameters is not as great as it is on stiffness—there exists an optimum groove width to achieve a best dynamic performance.","surface texture; water-lubricated hydrodynamic bearing; dynamic characteristics; stability; unbalance response; rigid rotor","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:33babda2-9bab-491c-a757-69aa8ea582f5","http://resolver.tudelft.nl/uuid:33babda2-9bab-491c-a757-69aa8ea582f5","Fabrication and Characterization of a Leaky Lens Photo-Conductive Antenna on Low Temperature Grown GaAs Membranes","Bueno Lopez, J. (TU Delft Electronics); Sberna, P.M. (TU Delft EKL Processing); Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Zhang, H. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","State-of-the-art THz pulsed commercial systems operating over large bandwidth suffer from high dispersion or low radiation efficiency due to the poor coupling between the transmitter and receiver photoconductive antennas (PCAs). In this work, we present the fabrication and characterization of a leaky-lens PCA that has the potential to solve this problem. The presented PCA is based on a low-temperature grown gallium arsenide (LT-GaAs) membrane with a 1:15 bandwidth coverage (0.1-1.5 THz), where the frequency response is constant. In order to fabricate the PCA on an LT-GaAs membrane, a novel fabrication process is developed. This process is dramatically faster than previously used processes (∼1.5 h instead of ∼20 h). Furthermore, an experimental validation of the radiated power together with the comparison to a standard bow-tie-based PCA fabricated on the same LT-GaAs wafer is shown in this article. We show that the PCA source on the LT-GaAs membrane is more efficient due to the enhanced leaky wave radiation. The leaky-lens PCA stands out as a great candidate to improve the coupling efficiency in THz pulsed commercial systems, where the maximum laser power that can be used is limited by the dispersion in the optic fiber.","leaky lens antenna; membrane-based micro-antenna; photo-conductive antenna; THz radiation; Time domain spectroscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-05","","","Electronics","","",""
"uuid:ffe0d3aa-2796-45a5-9440-e87d86fb6b15","http://resolver.tudelft.nl/uuid:ffe0d3aa-2796-45a5-9440-e87d86fb6b15","A Thin and Low-Inductance 1200 V SiC MOSFET Fan-Out Panel-Level Packaging With Thermal Cycling Reliability Evaluation","Chen, Wei (Fudan University); Jiang, Jing (Fudan University); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Ibrahim, Mesfin S. (Ctr. for Adv. Research in Photonics); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University)","","2023","SiC MOSFET is mainly characterized by the higher electric breakdown field, higher thermal conductivity, and lower switching loss enabling high breakdown voltage, high-temperature operation, and high switching frequency. However, their performances are considerably limited by the high parasitic inductance and poor heat dissipation capabilities associated with existing wire-bonding packaging methods. To address this challenge, a 1200 V/136 A fan-out panel-level packaging (FOPLP) SiC MOSFET with a size of $8\times {8} \times {0}.{75}$ mm was proposed. The electrical parameters of the devices were characterized experimentally. Both the static and dynamic parameters of the package matched the bare die values, which confirmed the functioning of the proposed packaging method for SiC MOSFET. The package parasitic inductance, thermal resistance, and soldering stress were analyzed through simulations. The reliability of the packages was evaluated by performing the thermal cycling test. The experimental results revealed that: 1) SiC MOSFET FOPLP had 0.36 nH drain-source parasitic inductance at 100 kHz, a 96% reduction compared with a conventional wire-bonded package; 2) double-sided cooling enabled the packages to exhibit a thermal resistance as low as 0.55 °C/W; and 3) after 2000 thermal cycling cycles, drain-source ON-state resistance [RDS(on)] increased by less than 2%, which revealed the higher reliability of the package under thermal cycling.","Copper; Fan-out panel-level packaging (FOPLP); Inductance; MOSFET; Packaging; parasitic inductance; Reliability; SiC MOSFET; Silicon carbide; thermal cycling; Thermal resistance; thermal resistance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-16","","","Electronic Components, Technology and Materials","","",""
"uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","http://resolver.tudelft.nl/uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","Effect of Passivation and Mechanical Constraint on Electromigration in Interconnect","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","In this paper, we apply the Eshelby's solution to study the effect of passivation layer on electromigration (EM) failure in a conductor. The passivation layer is considered as an elastic material, not a rigid layer anymore. Thus, the deformation and stress evolution in the conductor during EM are related to the mechanical property of the passivation layer. One-dimensional (1D) analytical solution for the passivated conductor is obtained. The numerical results show that the conductor covered with the stiffer passivation layer has much less EM damage. And the steady-state solution shows that the magnitude of (jL)c increases with increasing Young's modulus of passivation material. The present study provides a way to predict the EM performances taking into account various passivation materials.","coupling theory; electromigration; Eshelby's solution; passivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","http://resolver.tudelft.nl/uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","A Thin-film Reconfigurable SiC Thermal Test Chip for Reliability Monitoring in Harsh Environments","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Wide bandgap (WBG) semiconductor technologies enable significant progress in the emergence of power modules. Power cycling at elevated temperatures causes crack or delamination failure, especially at the die-attached bonded interface in the long term. Therefore, the in-situ reliability investigation of power modules, materials, and semiconductor packages is of great significance for modern industries. The silicon carbide's higher bandgap energy, intrinsic thermal conductivity, and mechanical strength make it a great candidate for the next generation of semiconductor, designed to operate in harsh conditions. In this study, a thin-film reconfigurable silicon carbide (SiC) thermal test chip (TTC) is designed and fabricated for reliability assessment in harsh environments. The proposed TTC realizes in-situ power/thermal cycling tests at elevated temperatures as well as characterization of novel materials such as nanoparticle-based sintering materials in die-attach technology and high-temperature-compatible epoxy molding compounds. The chip is equipped with thin-film platinum microheaters to realize modular power mappings, and platinum resistive temperature detectors (RTD) to examine the thermal reliability by monitoring the precise changes of the internal junction-to-case thermal resistance.","bandgap energy; die-attach; in-situ reliability investigation; junction-to-case thermal resistance; nanoparticle-based sintering; power cycling; power modules; resistive temperature detectors; thermal conductivity; Wide bandgap semiconductor technology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","http://resolver.tudelft.nl/uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","Heterogeneous Integration of Diamond Heat Spreaders for Power Electronics Application","Martin, H.A. (Chip Integration Technology Center (CITC)); Reintjes, Marcia (Mintres B.V.); Reijs, Dave (Chip Integration Technology Center (CITC)); Dorrestein, Sander (Chip Integration Technology Center (CITC)); Kengen, Martien (Chip Integration Technology Center (CITC)); Libon, Sebastien (Chip Integration Technology Center (CITC)); Smits, Edsger (Chip Integration Technology Center (CITC)); Tang, Xiao (Mintres B.V.); Koelink, Marco (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Integrated Circuits and Electronic Modules experience concentrated thermal hot spots, which require advanced thermal solutions for effective distribution and dissipation of heat. The superior thermal properties of diamonds are long known, and it is an ideal material for heat-spreading applications. However, growing diamond films to the electronic substrate require complex processing at high temperatures. This research investigates a heterogeneous method of integrating diamond heat spreaders during the back-end packaging process. The semiconductor substrate and the heat spreader thicknesses were optimized based on simulations to realize a thermally enhanced Power Quad-Flat No-Lead package. The performance of the thermally enhanced PQFN was assessed by monitoring the temperature distribution across the active device surface and compared to a standard PQFN (without a heat spreader). Firstly, the thermally enhanced PQFN indicated a 9.6% reduction in junction temperature for an input power of 6.6W with a reduced thermal gradient on the active device surface. Furthermore, the diamond heat spreader's efficiency was observed to increase with increasing power input. Besides, the reliability of the thermally enhanced PQFN was tested by thermal cycling from -55°C to 150°C, which resulted in less than 2% thermal degradation over two-hundred cycles. Such choreographed thermal solutions are proven to enhance the packaged device's performance, and the superior thermal properties of the diamond are beneficial to suffice the increasing demand for high power.","Advanced packaging; Advanced thermal solutions; CVD Diamonds; Thermal Test Chips","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","http://resolver.tudelft.nl/uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","Numerical Simulations of Effects of the Layout of Permeable Pile Groin Systems on Longshore Currents","Zhang, R. (TU Delft Coastal Engineering; Hohai University); Chen, Y. (Hohai University); Yao, P. (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering); Zeng, Jian (Zhejiang Institute of Marine Planning and Design)","","2023","Coastal permeable groins have been used to protect beaches from erosion for centuries. However, the hydraulic functioning of permeable groins has not been fully understood and their design heavily depends on engineering experiences. In this study, numerical experiments were executed to investigate the effects of layout configurations of a permeable groin system on longshore currents. The non-hydrostatic SWASH (Simulating WAve till SHore) model was employed to carry out the numerical simulations. Two data sets obtained from physical laboratory experiments with different permeable groin layouts on different slopes are used to validate the accuracy of the model. Then, the longshore current reduction by the permeable groin system with varying configuration parameters (e.g., groin spacing, groin length) was numerically investigated under different environmental conditions (e.g., a slight or a moderate wave climate). From the calculation results of numerical experiments, it is indicated that permeable groins function efficiently to reduce the maximal longshore current velocity under the condition that the groin length ranges from 84% and 109% of the wave breaker zone width. The longshore current reduction rate monotonously decreases with the increase in groin spacing; permeable pile groin functions best to reduce longshore current with the minimal groin spacing-groin length ratio 1:1 among the range between 1:1 and 2:1. When the groin spacing–groin length ratios are 1:1 and 1.5:1, the longshore current reduction is not sensitive to the investigated wave conditions in this study. When the spatial ratio is 2:1, the permeable pile groin system functions worse under a moderate wave climate than under a slight wave climate, from the view of longshore current reduction.","permeable pile groin; layout design; SWASH; longshore current; longshore current reduction","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","http://resolver.tudelft.nl/uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","Evaluating railway track stiffness using axle box accelerations: A digital twin approach","Shen, C. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Zoeteman, A. (ProRail); Li, Z. (TU Delft Railway Engineering)","","2023","While various train-borne techniques have been developed for measuring railway track stiffness, differentiating stiffness at different track layers remains a challenge. This study proposes a digital twin framework for the vehicle–track interaction system, which enables track stiffness evaluations based on axle box accelerations (ABA). The digital twin consists of a physics-based model, a model library and data-driven models. Compared to existing techniques, the proposed method simultaneously evaluates the stiffness of the railpad, sleeper and ballast layers at a sleeper spacing resolution, while being robust to varying track conditions, such as track irregularities and vehicle speeds. This is accomplished by employing a localized frequency-domain ABA feature capable of distinguishing between the characteristics of different track layers. Furthermore, track stiffness is evaluated in near real-time. This is achieved using a model library derived from physics-based simulations of a range of track conditions. Two data-driven models that can quickly select or interpolate model instances contained in the library are developed. During operation, the data-driven models use the measured ABA features as input and then infer the stiffness for the different track layers. The proposed method is applied to evaluate the track stiffness of a downscale test rig in a case study. The track stiffness evaluated by the proposed method is compared with that obtained through hammer tests and with the observations of the track component conditions. These comparisons show that the proposed method can capture the stiffness variations due to periodically fastened clamps and substructure misalignments at different speeds. In addition, the proposed method is demonstrated to be superior to the commonly used hammer test method for evaluating track stiffness under loaded conditions.","Railway track stiffness; Axle box acceleration; Digital twin; Physics-based simulation; Gaussian process regression","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:d5266b80-7888-47ee-8bf4-edd65112160d","http://resolver.tudelft.nl/uuid:d5266b80-7888-47ee-8bf4-edd65112160d","Editorial: Materials for thermal safety enhancement in energy industries","Zhang, Ying (Wuhan University of Technology); Mao, Binbin (Wuhan University of Technology); Yang, M. (TU Delft Safety and Security Science); Lu, Kaihua (China University of Geosciences, Wuhan); Tian, Fuchao (CCTEG Shenyang Research Institute)","","2023","","energy industry; heatresistant coating; materials; suppression; thermal safety enhancement","en","contribution to periodical","","","","","","","","","","","Safety and Security Science","","",""
"uuid:76deb277-4b7c-45f2-ba71-f6b970dadefd","http://resolver.tudelft.nl/uuid:76deb277-4b7c-45f2-ba71-f6b970dadefd","Astraea: Anonymous and Secure Auditing Based on Private Smart Contracts for Donation Systems","Li, Meng (Hefei University of Technology); Chen, Yifei (NSFOCUS); Zhu, Liehaung (Beijing Institute of Technology); Zhang, Zijian (Queen’s University); Ni, Jianbing (Queen’s University); Lal, C. (TU Delft Intelligent Systems; TU Delft Cyber Security); Conti, M. (TU Delft Intelligent Systems; TU Delft Cyber Security)","","2023","Many regions are in urgent need of facial masks for slowing down the spread of COVID-19. To fight the pandemic, people are contributing masks through donation systems. Most existing systems are built on a centralized architecture which is prone to the single point of failure and lack of transparency. Blockchain-based solutions neglect fundamental privacy concerns (<italic>donation privacy</italic>) and security attacks (<italic>collusion attack, stealing attack</italic>). Moreover, current auditing solutions are not designed to achieve donation privacy, thus not appropriate in our context. In this work, we design a decentralized, anonymous, and secure auditing framework <italic>Astraea</italic> based on private smart contracts for donation systems. Specifically, we integrate a Distribute Smart Contract (DiSC) with an SGX Enclave to distribute donations, prove the integrity of donation number (intention) and donation sum while preserving donation privacy. With DiSC, we design a Donation Smart Contract to refund deposits and defend against the stealing attack the collusion attack from malicious collector and transponder. We formally define and prove the privacy and security of Astraea by using security reduction. We build a prototype of Astraea to conduct extensive performance analysis. Experimental results demonstrate that Astraea is practically efficient in terms of both computation and communication.","Auditing; Blockchains; commitment; COVID-19; donation privacy; donation systems; Privacy; private smart contract; security; Security; Smart contracts; Systems architecture; Transponders","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","Intelligent Systems","Cyber Security","","",""
"uuid:c394723d-bb04-4b44-9d25-defc9ffad773","http://resolver.tudelft.nl/uuid:c394723d-bb04-4b44-9d25-defc9ffad773","Correlation between Slag Reactivity and Cement Paste Properties: The Influence of Slag Chemistry","Zhang, Yu (Southeast University); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","The properties of slag-rich cement paste are fundamentally associated with slag chemistry. In the present research, 10 slags covering the common chemistry range, including eight synthetic slags of CaO-SiO2-Al2O3-MgO system and two commercial slags, were adopted to evaluate the influence of slag composition on the early (7 days) and later (3 months) age properties of blended paste. Mixture containing Al2O3-rich slag performed better at 7 days as it favored the formation of ettringite and/or monosulfate. The MgO-rich slag cement paste exhibited good properties at both early and later ages, and it effectively promoted the precipitation of Mg-Al hydrotalcite-like phase. It was also noted that the Mg/Al atomic ratio of hydrotalcite-like phase was positively related to the Mg/Al atomic ratio of slag itself. Conversely, with the increasing MgO content in slag, the Al/Si atomic ratio of C-S(A)-H gel phase decreased. High Al2O3 and/or MgO contents can compensate the negative effect of reduced CaO/SiO2 ratio at early age while not at later age. Overall, attention should be paid to aluminum- and sulfur-rich slags. These two elements in slag promoted the formation of ettringite and/or monosulfate at an early age; however, this positive effect disappeared at later ages.","Al 2 O 3 and MgO; Early- and late-age properties; Graphic method; Slag-rich cement paste; Synthetic slag","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-27","","","Materials and Environment","","",""
"uuid:bf642704-ee39-4d2e-93ba-3735bd79bc62","http://resolver.tudelft.nl/uuid:bf642704-ee39-4d2e-93ba-3735bd79bc62","基于分段步进式弹塑性格构模型的混凝土破坏过程细观模拟","Zhang, H. (Shandong University); Jin, Zuquan (Qingdao University of Technology); Jiang, Nengdong (Shandong University); Ge, Zhi (Shandong University); Schlangen, E. (TU Delft Materials and Environment); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment); Wang, Zheng (Shandong Hi-Speed Group)","","2023","The classically lattice model assumes the local elements behave elastic brittle, neglecting the ductility of the mortar matrix. This leads to the simulated load⁃displacement response more brittle than the realistic. To solve the aforementioned issue, a piece⁃wise approach was introduced to describe the elastic⁃plastic constitutive relation of lattice element. The fracture process and the load⁃displacement response were obtained through the sequentially⁃linear solution approach. The model was calibrated using the uniaxial tension and compression tests. It is found that the model can precisely simulate the fracture process and load⁃displacement response. Moreover, the model was used to model the size effect in uniaxial tension and the influence of the specimen’s slenderness and boundary confinement on the fracture behavior under compression. It offers a new theoretical method and approach for studying the fracture of concrete.","concrete; elastic⁃plastic constitutive relation; fracture process; lattice model; meso⁃scale","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-25","","","Materials and Environment","","",""
"uuid:7ffcc615-24d1-4914-b653-e52822759474","http://resolver.tudelft.nl/uuid:7ffcc615-24d1-4914-b653-e52822759474","Discussion of ""study on Asphalt-Cement Materials for Seismic Isolation Layer of Shield Tunnels""","Jiang, Xi (The Hong Kong Polytechnic University); Zhang, Yumeng (Tongji University); Jiang, Jiwang (Southeast University); Zhang, X. (TU Delft Geo-engineering); Leng, Zhen (The Hong Kong Polytechnic University)","","2023","This paper presents a discussion of “Study on Asphalt-Cement
Materials for Seismic Isolation Layer of Shield Tunnels” by Qi Yang,
Ping Geng, Liangjie Wang, Bingbing Zhao, and Pingliang Chen.
https://doi.org/10.1061/(ASCE)MT.1943-5533.0004466.","","en","journal article","","","","","","","","2024-04-20","","","Geo-engineering","","",""
"uuid:0b282417-db94-454e-b27b-2a502a9f8b7c","http://resolver.tudelft.nl/uuid:0b282417-db94-454e-b27b-2a502a9f8b7c","Author Correction: Plastic waste discharge to the global ocean constrained by seawater observations","Zhang, Yanxu (Nanjing University); Wu, Peipei (Nanjing University); Xu, Ruochong (Nanjing University); Wang, Xuantong (Nanjing University); Lei, Lili (Nanjing University); Schartup, Amina T. (Scripps Institution of Oceanography); Peng, Yiming (Nanjing University); Pang, Qiaotong (Nanjing University); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2023","Correction to: Nature Communications, published online 13 March 2023 The original version of this Article contained an error in Fig. 2, in which c was incorrectly described as “middle scenario” where it should have been described as “low scenario”. The correct version of Fig. 2 is: (Figure presented.) which replaces the previous incorrect version: (Figure presented.) This has been corrected in both the PDF and HTML versions of the Article.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:54bbcefc-2ba5-410f-95f5-5300f20f59c0","http://resolver.tudelft.nl/uuid:54bbcefc-2ba5-410f-95f5-5300f20f59c0","基于不同颗粒形态模拟方法的道砟三轴试样变形特性对比分析","Xue, Li Hua (Tongji University); Xiao, Jun Hua (Tongji University); Zhang, De (Shanghai Road and Bridge (Group) Co. Ltd); Guo, Y. (TU Delft Railway Engineering); Chen, Bing Wen (Tongji University)","","2023","Particle shape plays an essential role in deformation characteristics of railway ballast bed. The numerical reconstruction of ballast morphological features, including overall shape and angular distribution, remains a hot issue in research on ballast mechanical behavior simulation. A novel shape reconstruction method was adopted to generate ballast particles that met the desired probability density distribution of morphological indices. On this basis, the numerical model of ballast triaxial tests were established under different confining pressures. The results were compared with those obtained from indoor tests and simulations whose particles were generated from 3D scanning or non-statistical random generation. The results show that the particle shape has a growing effect on the mechanical response of ballast, with an increase in confining pressure. The relation between deviatoric stress and axial strain in the specimen which meets the probability density distribution is more consistent with the experimental results than that of the non-statistical randomly generated specimen. The lateral deformation of ballast is correlated with the adjustment of the packing structure. For non-statistical randomly generated specimen, both the lateral deformation and the particle adjustment are larger than those generated by 3D scanning. The ballast contact force evolution is less influenced by its morphological features. Nevertheless, the difference in the maximum contact force of specimens with various particle shapes is nearly 50%.","ballast; deformation characteristic; discrete element-finite element coupling model; morphological statistical feature; triaxial test","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-10-01","","","Railway Engineering","","",""
"uuid:84b09c9f-8624-434b-854e-de1fe57989de","http://resolver.tudelft.nl/uuid:84b09c9f-8624-434b-854e-de1fe57989de","FPQNet: Fully Pipelined and Quantized CNN for Ultra-Low Latency Image Classification on FPGAs Using OpenCAPI","Ji, M. (TU Delft Computer Engineering; Jilin University); Al-Ars, Z. (TU Delft Computer Engineering); Hofstee, H.P. (TU Delft Computer Engineering); Chang, Yuchun (Dalian University of Technology); Zhang, Baolin (Jilin University)","","2023","Convolutional neural networks (CNNs) are to be effective in many application domains, especially in the computer vision area. In order to achieve lower latency CNN processing, and reduce power consumption, developers are experimenting with using FPGAs to accelerate CNN processing in several applications. Current FPGA CNN accelerators usually use the same acceleration approaches as GPUs, where operations from different network layers are mapped to the same hardware units working in a multiplexed manner. This will result in high flexibility in implementing different types of CNNs; however, this will degrade the latency that accelerators can achieve. Alternatively, we can reduce the latency of the accelerator by pipelining the processing of consecutive layers, at the expense of more FPGA resources. The continued increase in hardware resources available in FPGAs makes such implementations feasible for latency-critical application domains. In this paper, we present FPQNet, a fully pipelined and quantized CNN FPGA implementation that is channel-parallel, layer-pipelined, and network-parallel, to decrease latency and increase throughput, combined with quantization methods to optimize hardware utilization. In addition, we optimize this hardware architecture for the HDMI timing standard to avoid extra hardware utilization. This makes it possible for the accelerator to handle video datasets. We present prototypes of the FPQNet CNN network implementations on an Alpha Data 9H7 FPGA, connected with an OpenCAPI interface, to demonstrate architecture capabilities. Results show that with a 250 MHz clock frequency, an optimized LeNet-5 design is able to achieve latencies as low as 9.32 µs with an accuracy of 98.8% on the MNIST dataset, making it feasible for utilization in high frame rate video processing applications. With 10 hardware kernels working concurrently, the throughput is as high as 1108 GOPs. The methods in this paper are suitable for many other CNNs. Our analysis shows that the latency of AlexNet, ZFNet, OverFeat-Fast, and OverFeat-Accurate can be as low as 69.27, 66.95, 182.98, and 132.6 µs, using the architecture introduced in this paper, respectively.","CNNs; FPGA acceleration; HDMI; OpenCAPI; layer pipeline; channel parallelization","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:0052a435-e56d-43dc-9392-367b450b7378","http://resolver.tudelft.nl/uuid:0052a435-e56d-43dc-9392-367b450b7378","Immobilization protects enzymes from plasma-mediated inactivation","Dirks, Tim (Ruhr-Universität Bochum); Yayci, Abdulkadir (Ruhr-Universität Bochum); Klopsch, Sabrina (Ruhr-Universität Bochum); Krewing, Marco (Ruhr-Universität Bochum); Zhang, Wuyuan (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Bandow, Julia E. (Ruhr-Universität Bochum)","","2023","Non-thermal plasmas are used in various applications to inactivate biological agents or biomolecules. A complex cocktail of reactive species, (vacuum) UV radiation and in some cases exposure to an electric field together cause the detrimental effects. In contrast to this disruptive property of technical plasmas, we have shown previously that it is possible to use non-thermal plasma-generated species such as H2O2 as cosubstrates in biocatalytic reactions. One of the main limitations in plasma-driven biocatalysis is the relatively short enzyme lifetime under plasma-operating conditions. This challenge could be overcome by immobilizing the enzymes on inert carrier materials. Here, we tested whether immobilization is suited to protect proteins from inactivation by plasma. To this end, using a dielectric barrier discharge device (PlasmaDerm), plasma stability was tested for five enzymes immobilized on ten different carrier materials. A comparative analysis of the treatment times needed to reduce enzyme activity of immobilized and free enzyme by 30% showed a maximum increase by a factor of 44. Covalent immobilization on a partly hydrophobic carrier surface proved most effective. We conclude from the study, that immobilization universally protects enzymes under plasma-operating conditions, paving the way for new emerging applications.","atmospheric plasma; enzyme protection; immobilization; non-thermal plasma","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:5961cf4c-07ba-404f-97d4-ab4712d4d62b","http://resolver.tudelft.nl/uuid:5961cf4c-07ba-404f-97d4-ab4712d4d62b","A 120.9-dB DR Digital-Input Capacitively Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, M. (TU Delft Electronic Instrumentation; Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Components, Technology and Materials)","","2023","This article presents a digital-input class-D amplifier (CDA) achieving high dynamic range (DR) by employing a chopped capacitive feedback network and a capacitive digital-to-analog converter (DAC). Compared with conventional resistive-feedback CDAs driven by resistive or current-steering DACs, the proposed architecture eliminates the noise from the DAC and feedback resistors. Intermodulation between the chopping, pulsewidth modulation (PWM), and DAC sampling frequency is analyzed to avoid negative impacts on the DR and linearity. Real-time dynamic element matching (RTDEM) is employed to address distortion due to mismatch in the DAC, while its intersymbol interference (ISI) is eliminated by deadbanding. The prototype, implemented in a 180-nm bipolar, CMOS, and DMOS (BCD) process, achieves 120.9 dB of DR and a peak total harmonic distortion plus noise (THD+N) of-111.2 dB. It can drive a maximum of 15/26 W into an 8-/4-Ω load with a peak efficiency of 90%/86%.","Capacitively coupled chopper amplifier (CCCA); Choppers (circuits); class-D amplifier (CDA); digital-to-analog converter; dynamic element matching (DEM); Finite impulse response filters; Gain; intersymbol interference (ISI); Jitter; Preamplifiers; Pulse width modulation; Quantization (signal)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:cd305cd6-21a5-4d92-bcfd-f321125bb0d0","http://resolver.tudelft.nl/uuid:cd305cd6-21a5-4d92-bcfd-f321125bb0d0","SARS‑CoV‑2 incidence in secondary schools: the role of national and school‑initiated COVID‑19 measures","Jonker, Lotte (University Medical Center Utrecht); Linde, Kimberly J. (Universiteit Utrecht); de Boer, Annemarijn R. (University Medical Center Utrecht); Ding, Er (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); de Hoog, M.L.A. (University Medical Center Utrecht); Herfst, Sander (Erasmus MC); Heederik, Dick (Universiteit Utrecht); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Introduction: Our aim was to gain insight into the effect of COVID-19 measures on SARS-CoV-2 incidence in secondary schools and the association with classroom CO 2 concentration and airborne contamination. Methods: Between October 2020—June 2021, 18 schools weekly reported SARS-CoV-2 incidence and completed surveys on school-initiated COVID-19 measures (e.g. improving hygiene or minimizing contacts). CO 2 was measured in occupied classrooms twice, and SARS-CoV-2 air contamination longitudinally using electrostatic dust collectors (EDC) and analyzed using RT-qPCR. National COVID-19 policy measures varied during pre-lockdown, lockdown and post-lockdown periods. During the entire study, schools were recommended to improve ventilation. SARS-CoV-2 incidence rate ratios (IRR) were estimated by Generalized Estimating Equation (GEE) models. Results: During 18 weeks follow-up (range: 10–22) SARS-CoV-2 school-incidence decreased during national lockdown (adjusted IRR: 0.41, 95%CI: 0.21–0.80) and post-lockdown (IRR: 0.60, 0.39–0.93) compared to pre-lockdown. School-initiated COVID-19 measures had no additional effect. Pre-lockdown, IRRs per 10% increase in time CO 2 exceeded 400, 550 and 800 ppm above outdoor level respectively, were 1.08 (1.00–1.16), 1.10 (1.02–1.19), and 1.08 (0.95–1.22). Post-lockdown, CO 2-concentrations were considerably lower and not associated with SARS-CoV-2 incidence. No SARS-CoV-2 RNA was detected in any of the EDC samples. Conclusion: During a period with low SARS-CoV-2 population immunity and increased attention to ventilation, with CO 2 levels most of the time below acceptable thresholds, only the national policy during and post-lockdown of reduced class-occupancy, stringent quarantine, and contact testing reduced SARS-CoV-2 incidence in Dutch secondary schools. Widespread SARS-CoV-2 air contamination could not be demonstrated in schools under the prevailing conditions during the study.","SARS-CoV-2; COVID-19 measures; Secondary schools; Air contamination; CO2 concentration","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a8f337ce-885d-4262-af8a-13d3520a3724","http://resolver.tudelft.nl/uuid:a8f337ce-885d-4262-af8a-13d3520a3724","Fishing Behavior Detection and Analysis of Squid Fishing Vessel Based on Multiscale Trajectory Characteristics","Zhang, Fan (Wuhan University of Technology); Yuan, Baoxin (Wuhan University of Technology); Huang, L. (TU Delft Safety and Security Science; Wuhan University of Technology); Wen, Yuanqiao (Wuhan University of Technology); Yang, Xue (National Engineering Laboratory of Application Technology of Integrated Transportation Big Data); Song, R. (TU Delft Safety and Security Science); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Accurate fishing activity detection from the trajectories of fishing vessels can not only achieve high-precision fishery management but also ensure the reasonable and sustainable development of marine fishery resources. This paper proposes a new method to detect fishing vessels’ fishing activities based on the defined local dynamic parameters and global statistical characteristics of vessel trajectories. On a local scale, the stop points and points of interest (POIs) in the vessel trajectory are extracted. Voyage extraction can then be conducted on this basis. After that, multiple characteristics based on motion and morphology on a global scale are defined to construct a logistic regression model for fishing behavior detection. To verify the effectiveness and feasibility of the method, vessel trajectory data, and fishing log data collected from Chinese ocean squid fishing vessels in Argentine waters in 2020 are integrated for fishing operation detection. Multiple evaluation metrics show that the proposed method can provide robust and accurate recognition results. Moreover, further analysis of the temporal and spatial distribution and seasonal changes in squid fishing activities in Argentine waters has been performed. A more refined assessment of the fishing activities of individual fishing vessels can also be provided quantitatively. All the results above can benefit the regulation of fishing activities.","fishing behavior; fishery management; statistical features of trajectory sequences; logistic regression; sliding window","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:5a787022-f5c3-4413-ac21-2c7f3be3b535","http://resolver.tudelft.nl/uuid:5a787022-f5c3-4413-ac21-2c7f3be3b535","Identifying Aging and Alzheimer Disease–Associated Somatic Variations in Excitatory Neurons From the Human Frontal Cortex","Zhang, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center); Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center); Holstege, H. (TU Delft Intelligent Systems; Vrije Universiteit Amsterdam; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center)","","2023","Background and Objectives - With age, somatic mutations accumulated in human brain cells can lead to various neurologic disorders and brain tumors. Because the incidence rate of Alzheimer disease (AD) increases exponentially with age, investigating the association between AD and the accumulation of somatic mutation can help understand the etiology of AD.
Methods - We designed a somatic mutation detection workflow by contrasting genotypes derived from whole-genome sequencing (WGS) data with genotypes derived from scRNA-seq data and applied this workflow to 76 participants from the Religious Order Study and the Rush Memory and Aging Project (ROSMAP) cohort. We focused only on excitatory neurons, the dominant cell type in the scRNA-seq data.
Results - We identified 196 sites that harbored at least 1 individual with an excitatory neuron–specific somatic mutation (ENSM), and these 196 sites were mapped to 127 genes. The single base substitution (SBS) pattern of the putative ENSMs was best explained by signature SBS5 from the Catalogue of Somatic Mutations in Cancer (COSMIC) mutational signatures, a clock-like pattern correlating with the age of the individual. The count of ENSMs per individual also showed an increasing trend with age. Among the mutated sites, we found 2 sites tend to have more mutations in older individuals (16:6899517 [RBFOX1], p = 0.04; 4:21788463 [KCNIP4], p < 0.05). In addition, 2 sites were found to have a higher odds ratio to detect a somatic mutation in AD samples (6:73374221 [KCNQ5], p = 0.01 and 13:36667102 [DCLK1], p = 0.02). Thirty-two genes that harbor somatic mutations unique to AD and the KCNQ5 and DCLK1 genes were used for gene ontology (GO)–term enrichment analysis. We found the AD-specific ENSMs enriched in the GO-term “vocalization behavior” and “intraspecies interaction between organisms.” Of interest we observed both age-specific and AD-specific ENSMs enriched in the K+ channel–associated genes.
Discussion - Our results show that combining scRNA-seq and WGS data can successfully detect putative somatic mutations. The putative somatic mutations detected from ROSMAP data set have provided new insights into the association of AD and aging with brain somatic mutagenesis.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:2c1382d2-7284-4fab-a5d4-01122d1dc977","http://resolver.tudelft.nl/uuid:2c1382d2-7284-4fab-a5d4-01122d1dc977","Effects of COVID-19 measures on ventilation in secondary schools in the Netherlands","Ding, Er (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); Garcia Sanchez, C. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","During the COVID-19 pandemic, the importance of ventilation for ensuring occupants’ health was widely stressed, especially for densely occupied places such as schools (Ding et al, 2022). Correspondingly, new protocols of ventilation were implemented in school buildings among many countries and regions. In the Netherlands, school classrooms were first required to keep the windows and doors open, and later after a national lockdown more stringent measures such as reducing student occupancy were introduced. Previous studies have already shown that the ventilation in a large portion of school classrooms did not meet the requirement (National Ventilation Coordination Team, 2020). However, what are the actual effects of the COVID-19 measures on ventilation in school classrooms remains unclear.
This study aims to investigate 1) the ventilation sufficiency, and 2) the ventilation-related effects of temporary pandemic control and prevention measures in school classrooms under the COVID-19 pandemic.","Classroom; ventilation; indoor air quality; children; COVID-19 pandemic","en","abstract","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:47881eeb-910d-4939-972b-a8ef203db225","http://resolver.tudelft.nl/uuid:47881eeb-910d-4939-972b-a8ef203db225","Effect of Al2O3 content in slag on the relationship between slag reactivity and carbonation resistance","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","To understand the influence of slag chemistry on the carbonation resistance of slag-rich cement, this paper explored the carbonation characteristics of blended cement systems with different Al2O3 contents in slag through accelerated carbonation test. Irrespective of slag chemistry, three main CO2 binding phases were identified during accelerated carbonation test, i.e. carbonated Ca-Al AFm phases (amorphous or nano-crystalline), carbonated hydrotalcite-like phase, and calcium carbonate (amorphous calcium carbonate, vaterite, and calcite). Additionally, it was noted that the classification employed for slag reactivity (based on slag chemistry) cannot be extended to predict carbonation resistance of slag-rich cement directly. The main challenge occurred for slag with high alumina content. The experimental results showed that Al2O3-rich slag exhibited a high reactivity and can be considered as a reactive component in the blended mixture; however, it did not contribute to carbonation resistance of the mixture. Especially for CO2 binding capacity, it was similar for systems with varied alumina content in slag (from 3.69 to 18.19 wt.%) in the completely carbonated area.","AlO; carbonation resistance; slag reactivity; slag-rich cement paste; thermodynamic modeling","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:20ae98c5-6eed-4cfa-8ea9-f3e91b774d9b","http://resolver.tudelft.nl/uuid:20ae98c5-6eed-4cfa-8ea9-f3e91b774d9b","Interface bonding properties of polyvinyl alcohol (PVA) fiber in alkali-activated slag/fly ash","Zhang, Shizhe (TU Delft Materials and Environment; Renewi Mineralz & Water); He, S. (TU Delft Materials and Environment); Ghiassi, Bahman (University of Birmingham); van Breugel, K. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2023","This paper presents an experimental study on the interface bonding properties of polyvinyl alcohol (PVA) fiber in alkali-activated slag/fly ash (AASF) pastes. Three interface bonding properties (i.e., the chemical bonding energy Gd, the initial frictional bond strength τ0, and slip-hardening behavior) were determined using single-fiber pullout tests. The microstructure and chemical composition of the reaction products in the fiber/matrix interfacial transition zone (ITZ) and the nearby matrix were also characterized to reveal the influence of PVA fiber to its surrounding matrix. It is found that Gd increases primarily with increasing Ca/(Si+Al) ratio of C-(N-)A-S-H gel. Unlike that in cementitious materials, the inclusion of PVA fiber in AASF pastes promotes the formation of a high-Ca C-(N-)A-S-H phase rather than crystalline portlandite near the fiber surface. This study provides useful guidance for tailoring the interface bonding properties of AASF and also the development of high-performance composites such as strain-hardening geopolymer composites.","Bonding; Interface; Fiber pullout; PVA; Alkali-activation; Slag; Fly Ash","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:5d39609e-d83f-4d47-9132-04e8401ca425","http://resolver.tudelft.nl/uuid:5d39609e-d83f-4d47-9132-04e8401ca425","A Shaped Quartz Lens Antenna for Wide Scanning Sub-millimeter Imaging Systems","Zhang, H. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","Lens based focal plane arrays (FPAs) with thousand elements are promising candidates for wide scanning sub-millimeter security imaging systems. To analyze such arrays, a field correlation approach is employed to design an FPA of quartz lenses coupled to a reflector. We consider quartz as the lens material due to its lower cost compared to silicon lenses. Here we focus on the design of the lens element at the edge of the FPA. The reflector’s scanning angle at the edge of its FPA is 20.3°, and the lens surface is shaped to couple better to the reflector. The far-field performance of the optimized shaped lens is validated by full-wave simulations with excellent agreement. The simulated scan loss of the system is 2.6 dB. A prototype was fabricated and will be measured to validate the simulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:2b9618b4-bba9-4766-b248-2d7a03e29ba9","http://resolver.tudelft.nl/uuid:2b9618b4-bba9-4766-b248-2d7a03e29ba9","On the Experimental Characterization of Generated and Received Pulses of Photoconductive Antennas","Zhang, H. (TU Delft Tera-Hertz Sensing); Bueno Lopez, J. (TU Delft Electronics); Sberna, P.M. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Photoconductive antennas (PCAs) are promising candidates for sensing and imaging systems. We have investigated their properties under pulsed laser illumination both in transmission and reception. First, a transmitting PCA has been characterized including a power measurement. Then, a Quasi-Optical (QO) link between a transmitter and a receiver was modelled and analyzed. In this work, we characterize this link with measurement. We use bow-tie based PCAs as examples, and measure the radiated power of the transmitter and the detected current of the receiver. The measurement shows very good agreement with the simulation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Tera-Hertz Sensing","","",""
"uuid:fd17cbeb-620f-45f1-907f-6585342afa38","http://resolver.tudelft.nl/uuid:fd17cbeb-620f-45f1-907f-6585342afa38","Safety Analysis and Condition Assessment of Corroded Energy Pipelines under Landslide Disasters","Zhang, Peng (Southwest Petroleum University); Liu, Wei (Southwest Petroleum University); Liu, Siming (Southwest Petroleum University); Tian, Xu (Southwest Petroleum University); Li, Yimiao (Southwest Petroleum University); Huang, Y. (TU Delft Safety and Security Science)","","2023","Corrosion poses a significant risk to the safety of energy pipelines, while landslide disasters emerge as the primary threat responsible for triggering pipeline failures across mountainous areas. To date, there is limited research focused on the safety of energy pipelines considering the synergistic effect of corrosion and landslides. The present study proposes a finite element (FE)-based model to assess the condition of corroded pipelines under landslides. The effects of corrosion dimensions (length and depth) and location are determined. A novel equation is finally developed to predict the maximum stress and determine the most disadvantageous position for corroded pipelines under various landslide displacements. The results demonstrate that (1) as the landslide progresses, the pipeline’s stress significantly increases; (2) corrosion depth has a more significant impact on the pipeline condition than the corrosion length, and it is positively correlated with the pipe’s stress; (3) the maximum stress exhibits a nonlinear relationship with the landslide-facing position and the corrosion circumferential location; and (4) when the axial position of the corrosion is more than 6.5 m away from the center of the landslide, the location of maximum stress shifts from the corrosion region to the central section of the pipeline within the landslide. This work contributes to helping pipeline owners to understand the applicability of energy pipelines subjected to the combined effects of corrosion and landslides and provides support for future risk assessment efforts in pipeline integrity management.","energy pipelines; corrosion; landslides; safety analysis; condition assessment","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:b2e0d0d4-4583-4cdc-b58c-0669560f2652","http://resolver.tudelft.nl/uuid:b2e0d0d4-4583-4cdc-b58c-0669560f2652","Data Background-Based Test Development for All Interconnect and Contact Defects in RRAMs","Xun, H. (TU Delft Computer Engineering); Fieback, M. (TU Delft Computer Engineering); Yuan, S. (TU Delft Computer Engineering); Zhang, Ziwei (Student TU Delft); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Quantum & Computer Engineering; CognitiveIC)","","2023","Resistive Random Access Memory (RRAM) is a potential technology to replace conventional memories by providing low power consumption and high-density storage. As various manufacturing vendors make significant efforts to push it to high-volume production and commercialization, high-quality and efficient test solutions are of great importance. This paper analyzes interconnect and contact defects in RRAMs, while considering the impact of the memory Data Background (DB), and proposes test solutions. The complete interconnect and contact defect space in a layout-independent RRAM design is defined. Exhaustive defect injection and circuit simulation are performed in a systematic manner to derive appropriate fault models, not only for single-cell and two-cell coupling faults, but also for multi-cell coupling faults where the DBs are important. The results show the existence of unique 3-cell and 4-cell coupling faults due to e.g., the sneak path in the array induced by defects. These unique faults cannot be detected with traditional RRAM test solutions. Therefore, the paper introduces a test generation method that takes into account the DB, which is able to efficiently detect all these faults; hence, further improving the fault/defect coverage in RRAMs.","RRAM; interconnect and contact defects; data background; fault models; test development","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:f3e029aa-8304-4867-8d4f-f8bd31e4d7e2","http://resolver.tudelft.nl/uuid:f3e029aa-8304-4867-8d4f-f8bd31e4d7e2","Seismic data interpolation using an anti-over-fitting mixed-scale dense convolutional neural network","Zhang, D. (TU Delft Applied Geophysics and Petrophysics); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","Seismic data interpolation is a topic well suited for deep learning (DL) applications. Scaling operation-based DL neural networks, e.g., U-Net, have been popular since its booming development in the field of seismic data processing. Although many successful studies using U-Net on seismic data, scientists start to realize the downside of its implementation, i.e., large trainable parameters (normally larger than 1 million), the potential risks of over-fitting, and tedious hyper-parameter selection. Therefore, in this abstract, we introduce a mixed-scale dense convolutional neural network (MS-DCNN) for seismic data interpolation with relatively few trainable parameters to reduce the risk of over-fitting. This MS-DCNN was originally developed for biomedical image processing. In addition, this neural network can be trained with relatively small training set. Via a field data case study, the different behavior of U-Net and MS-DCNN is analyzed and compared for a specific interpolation problem, where 9 consecutive shot records were missing from a 2D line of marine seismic data.","","en","conference paper","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","http://resolver.tudelft.nl/uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","Reverse Recovery Optimization of Multiepi Superjunction MOSFET Based on Tunable Doping Profile","Liu, Ke (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Yuan, Wucheng (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology)","","2023","This paper proposes and simulates research on the reverse recovery characteristics of two novel superjunction (SJ) MOSFETs by adjusting the doping profile. In the manufacturing process of the SJ MOSFET using multilayer epitaxial deposition (MED), the position and concentration of each Boron bubble can be adjusted by designing different doping profiles to adjust the resistance of the upper half P-pillar. A higher P-pillar resistance can slow down the sweep out speed of hole carriers when the body diode is turned off, thus resulting in a smoother reverse recovery current and reducing the current recovery rate (d (Formula presented.) /d (Formula presented.)) from a peak to zero. The simulation results show that the reverse recovery peak current (I (Formula presented.)) of the two proposed devices decreased by 5% and 3%, respectively, compared to the conventional SJ. Additionally, the softness factor (S) increased by 64% and 55%, respectively. Furthermore, this study also demonstrates a trade-off relationship between static and reverse recovery characteristics with the adjustable doping profile, thus providing a guideline for actual application scenarios.","superjunction; MOSFET; doping profile; reverse recovery; body diode","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:4268d2ac-5297-41be-a72d-aec7dbc1cdd1","http://resolver.tudelft.nl/uuid:4268d2ac-5297-41be-a72d-aec7dbc1cdd1","Advanced Digital Methods for Analysing and Optimising Accessibility and Visibility of Water for Designing Sustainable Healthy Urban Environments","Zhang, H. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","","2023","Water, a vital element of human existence, shapes cities and benefits human health through daily exposure. This study delves into advanced digital methods to describe blue space exposure effectively, aiming to optimise spatial accessibility and visibility of water for designing sustainable healthy urban environments. Rotterdam is utilised as a test case, demonstrating the role of these methods in evaluating the performance of blue space exposure in urban environments, specifically in terms of spatial morphology and physical characteristics. Eight distinct methods are discussed, addressing exposure type, scale levels, and design interactions, subsequently offering a novel flowchart for their integration into cross-scale spatial design and policymaking. The findings underscore the need to select appropriate methods to analyse and optimise blue exposure in spatial planning or design assignments. The selection should be based on design intentions and data availability. The biggest potential is found in combining these methods to handle the complexity of urban issues. The research reveals the importance of blue space accessibility and visibility in promoting sustainable healthy urban environments while also emphasising the need to go beyond them, factoring in the quality, function, and usage of blue spaces.
1, it was noticed that the phrase ‘DNA sequencing’ is incorrect, which should be replaced by ‘biosensing’. The original paper has been updated.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:4b6c205b-a724-4a97-8d47-badab6ed0fc8","http://resolver.tudelft.nl/uuid:4b6c205b-a724-4a97-8d47-badab6ed0fc8","Transdimensional ambient-noise surface wave tomography of the Reykjanes Peninsula, SW Iceland","Rahimi Dalkhani, A. (TU Delft Applied Geophysics and Petrophysics); Áústsdóttir, Thorbjörg (Iceland GeoSurvey); Gudnason, Egill Árni (Iceland GeoSurvey); Hersir, Gylfi Páll (Independent researcher); Zhang, Xin (University of Edinburgh; China University of Geosciences); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2023","Ambient noise seismic tomography has proven to be an effective tool for subsurface imaging, particularly in volcanic regions such as the Reykjanes Peninsula (RP), SW Iceland, where ambient seismic noise is ideal with isotropic illumination. The primary purpose of this study is to obtain a reliable shear wave velocity model of the RP, to get a better understanding of the subsurface structure of the RP and how it relates to other geoscientific results. This is the first tomographic model of the RP which is based on both on- and off-shore seismic stations. We use the ambient seismic noise data and apply a novel algorithm called one-step 3-D transdimensional tomography. The main geological structures in the study area (i.e. covered by seismic stations) are the four NE-SW trending volcanic systems, orientated highly oblique to the plate spreading on the RP. These are from west to east; Reykjanes, Eldvörp-Svartsengi, Fagradalsfjall and Krýsuvík, of which all except Fagradalsfjall host a known high-temperature geothermal field. Using surface waves retrieved from ambient noise recordings, we recovered a 3-D model of shear wave velocity. We observe low-velocity anomalies below these known high-temperature fields. The observed low-velocity anomalies below Reykjanes and Eldvörp-Svartsengi are significant but relatively small. The low-velocity anomaly observed below Krýsuvík is both larger and stronger, oriented near-perpendicular to the volcanic system, and coinciding well with a previously found low-resistivity anomaly. A low-velocity anomaly in the depth range of 5-8 km extends horizontally along the whole RP, but below the high-temperature fields, the onset of the velocity decrease is shallower, at around 3 km depth. This is in good agreement with the brittle-ductile transition zone on the RP. In considerably greater detail, our results confirm previous tomographic models obtained in the area. This study demonstrates the potential of the entirely data-driven, one-step 3-D transdimensional ambient noise tomography as a routine tomography tool and a complementary seismological tool for geothermal exploration, providing an enhanced understanding of the upper crustal structure of the RP.","Interferometry; Seismic noise; Surface waves and free oscillations; Tomography","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e742494b-0e5b-4b40-899b-9546d491e636","http://resolver.tudelft.nl/uuid:e742494b-0e5b-4b40-899b-9546d491e636","Inter-Vector Interference Self-Cancellation Scheme for Differential OSDM in Underwater Acoustic Communications","Wang, Yujie (Northwestern Polytechnical University); Zhang, Qunfei (Northwestern Polytechnical University); Ma, Shengqian (Northwestern Polytechnical University); Zhang, Lingling (Northwestern Polytechnical University); Han, Jing (Northwestern Polytechnical University); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","Differential orthogonal signal-division multiplexing (OSDM) is attractive for underwater acoustic (UWA) communications because it can eliminate channel estimation, resulting in a substantial reduction of complexity at the receiver. However, when the channel is time-varying, it may suffer from serious inter-vector interference (IVI), which is similar to inter-carrier interference (ICI) in differential orthogonal frequency-division multiplexing (OFDM). To mitigate this degradation of system performance, this paper provides a novel two-hop differential OSDM system based on IVI self-cancellation. Although this method improves system reliability at the cost of losing data rate, it is easy to implement in UWA modems. Finally, numerical simulations demonstrate the effectiveness of the proposed two-hop differential OSDM system over time-varying UWA channels.","Differential OSDM; IVI self-cancellation; timevarying channels; underwater acoustic communications","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Signal Processing Systems","","",""
"uuid:d8c433db-fb6c-4a6f-8021-ac5bd5ede50c","http://resolver.tudelft.nl/uuid:d8c433db-fb6c-4a6f-8021-ac5bd5ede50c","Structural Performance of Demountable Hybrid Floor Systems Under Monotonic and Cyclic Loading","Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Zhang, Y. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","The building sector is actively researching and reviewing technical solutions for de-construction, driven by the increasing importance of sustainability requirements, as outlined in the EU Commission's ‘Green Deal,‘ which aims to achieve net-zero greenhouse gas emissions by 2050. Two key research areas in efficient structural design strategies are the performance of hybrid structures, which combine mechanical properties and architectural appearance of different materials, and the techniques and mechanical properties of connections between structural components that enable deconstruction and reuse. However, there is a scarcity of studies and methods focused on demountable and hybrid structural systems, limiting the understanding of their overall structural performance at a real-structure floor level. In this paper, a finite element model is presented to conduct a comparative study between the traditional floor system with welded stud connections and the demountable floor system with coupler-embedded bolted connectors. The numerical results showed that the serviceability loading capacity of the demountable floor system was 8% lower, and the difference in ultimate resistance was further enlarged. Reusing a demountable floor system, analysed in the case study, had little effect on the ultimate resistance, but it is difficult to exclude local plastic deformation after the first life cycles.","Reusable floor system; Demountable connectors; Numerical modeling; Hybrid floor systems","en","conference paper","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:6e1cb924-6f47-4c46-b7d6-9fd13a6657d1","http://resolver.tudelft.nl/uuid:6e1cb924-6f47-4c46-b7d6-9fd13a6657d1","A Mini Review of Ceramic-Based MOF Membranes for Water Treatment","Wang, Xueling (Zhengzhou University); Wang, Man (Zhengzhou University); Chen, M. (TU Delft Sanitary Engineering); Zhang, Yatao (Zhengzhou University)","","2023","Ceramic membranes have been increasingly employed in water treatment owing to their merits such as high-stability, anti-oxidation, long lifespan and environmental friendliness. The application of ceramic membranes mainly focuses on microfiltration and ultrafiltration processes, and some precise separation can be achieved by introducing novel porous materials with superior selectivity. Recently, metal–organic frameworks (MOFs) have developed a wide spectrum of applications in the fields of the environment, energy, water treatment and gas separation due to the diversity and tunable advantages of metal clusters and organic ligands. Although the issue of water stability in MOF materials inhibits the development of MOF membranes in water treatment, researchers still overcome many obstacles to advance the application of MOF membranes in water treatment processes. To the best of our knowledge, there is still a lack of a reviews on the development process and prospects of ceramic-based MOF membranes for water treatment. Therefore, in this review, we mainly summarize the fabrication method for ceramic-based MOF membranes and their application in water treatment, such as water/salt separation, pollutant separation, heavy metal separation, etc. Following this, based on the high structural, thermal and chemical stability of ceramic substrates, and the high controllability of MOF materials, the superiority and insufficient use of ceramic-based MOF membranes in the field of water treatment are critically discussed.","ceramic membrane; metal–organic framework; water treatment; fabrication method","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:3fc9087b-c18a-4628-8e5d-723bb6442040","http://resolver.tudelft.nl/uuid:3fc9087b-c18a-4628-8e5d-723bb6442040","GazeNeRF: 3D-Aware Gaze Redirection with Neural Radiance Fields","Ruzzi, Alessandro (ETH Zürich); Shi, X. (TU Delft Pattern Recognition and Bioinformatics); Wang, Xi (ETH Zürich); Li, Gengyan (ETH Zürich); De Mello, Shalini (Nvidia); Chang, Hyung Jin (University of Birmingham); Zhang, X. (TU Delft Pattern Recognition and Bioinformatics); Hilliges, Otmar (ETH Zürich)","O'Conner, Lisa (editor)","2023","We propose GazeNeRF, a 3D-aware method for the task of gaze redirection. Existing gaze redirection methods operate on 2D images and struggle to generate 3D consistent results. Instead, we build on the intuition that the face region and eyeballs are separate 3D structures that move in a coordinated yet independent fashion. Our method leverages recent advancements in conditional image-based neural radiance fields and proposes a two-stream architecture that predicts volumetric features for the face and eye regions separately. Rigidly transforming the eye features via a 3D rotation matrix provides fine-grained control over the desired gaze angle. The final, redirected image is then attained via differentiable volume compositing. Our experiments show that this architecture outperforms naively conditioned NeRF baselines as well as previous state-of-the-art 2D gaze redirection methods in terms of redirection accuracy and identity preservation. Code and models will be released for research purposes.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Pattern Recognition and Bioinformatics","","",""
"uuid:9615b9aa-9cf6-4e35-ad42-125756036456","http://resolver.tudelft.nl/uuid:9615b9aa-9cf6-4e35-ad42-125756036456","Stability Analysis of Triple Active Bridge Converter with Hybrid Loads and Different Control Strategies","Yu, Haoyuan (Aalborg University); Zhang, Hanwen (Aalborg University); Wang, Yanbo (Aalborg University); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Chen, Zhe (Aalborg University); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","This article investigates the stability of triple active bridge (TAB) converter with different types of loads. In this paper, port2 of TAB converter adopts voltage control to supply a constant power load (CPL), and port3 uses voltage control to supply a resistor or uses current control to supply a battery. Then, an extra element theorem-based (EET) modelling method based on the small signal model of the TAB converter is developed to derive the output impedance of different cases. The AC sweeping is implemented to validate the effectiveness of derivation. Furthermore, the impedance-based analysis method is applied to analyze the system stability. The analysis result shows that the instability issue caused by CPL at port2 can be suppressed by reducing the resistance load value at port3 or output current of port3. Simulation and experimental results are given to validate the stability analysis.","triple active bridge converter; extra element theorem; impedance-based analysis method; constant power load","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","DC systems, Energy conversion & Storage","","",""
"uuid:b770f475-5e08-43f4-a9bb-9f7e6548660c","http://resolver.tudelft.nl/uuid:b770f475-5e08-43f4-a9bb-9f7e6548660c","Research on the optimal dosage of bio-oil/lignin composite modified asphalt based on rheological and anti-aging properties","Zhang, Yi (Shijiazhuang Tiedao University); Si, Chundi (Shijiazhuang Tiedao University); Fan, Taotao (Shijiazhuang Tiedao University); Zhu, Yuefeng (Shijiazhuang Tiedao University); Li, Song (Shijiazhuang Tiedao University); Ren, S. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering)","","2023","With the increasing awareness of environmental protection and attention to resource reuse, the development of high-performance and degradable green biomass pavement materials attracts a lot of interest. Lignin and bio-oil effectively combined to play a synergistic role can improve various properties of asphalt and partially replace petroleum-based asphalt. Therefore, this paper aims to study the rheological and aging properties of bio-oil/lignin composite modified asphalt (OLMA) and determine the optimal dosage by Dynamic Shear Rheometer (DSR), Bending Beam Rheometer (BBR), and Fourier transforms infrared spectroscopy (FTIR) tests. From the DSR tests, it can be seen that OLMA can improve the high-temperature, fatigue, cracking, and relaxation performance of asphalt. BBR test obtained that OLMA can improve the asphalt's low-temperature performance and critical temperature. The method for evaluating the aging degree of composite modified asphalt was proposed. FTIR test results revealed that OLMA could reduce the increased rate of the aging index. The optimum dosage of 10% bio-oil and 20% lignin composite modified asphalt was determined. It proved that lignin and bio-oil are promising asphalt additives, modifiers, and replacements.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:fe4c27ff-85cb-4084-8dfb-476fa7117f16","http://resolver.tudelft.nl/uuid:fe4c27ff-85cb-4084-8dfb-476fa7117f16","Investigating Effects of Heterogeneity and Fracture Distribution on Two-Phase Flow in Fractured Reservoir with adaptive time strategy","Wang, Lu Yu (The Hong Kong Polytechnic University; GeoRessources Lab); Chen, Wei Zhong (Chinese Academy of Sciences); Zhang, Yan Jun (College of Petroleum Engineering; Xi'an Shiyou University); Zhang, Xiao Dong (GeoRessources Lab); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2023","Modeling of fluid flow in porous media is a pillar in geoscience applications. Previous studies have revealed that heterogeneity and fracture distribution have considerable influence on fluid flow. In this work, a numerical investigation of two-phase flow in heterogeneous fractured reservoir is presented. First, the discrete fracture model is implemented based on a hybrid-dimensional modeling approach, and an equivalent continuum approach is integrated in the model to reduce computational cost. A multilevel adaptive strategy is devised to improve the numerical robustness and efficiency. It allows up to 4-levels adaption, where the adaptive factors can be modified flexibly. Then, numerical tests are conducted to verify the the proposed method and to evaluate its performance. Different adaptive strategies with 3-levels, 4-levels and fixed time schemes are analyzed to evaluate the computational cost and convergence history. These evaluations demonstrate the merits of this method compared to the classical method. Later, the heterogeneity in permeability field, as well as initial saturation, is modeled in a layer model, where the effect of layer angle and permeability on fluid flow is investigated. A porous medium containing multiple length fractures with different distributions is simulated. The fine-scale fractures are upscaled based on the equivalent approach, while the large-scale fractures are retained. The conductivity of the rock matrix is enhanced by the upscaled fine-scale fractures. The difference of hydraulic property between homogeneous and heterogeneous situations is analyzed. It reveals that the heterogeneity may influence fluid flow and production, while these impacts are also related to fracture distribution and permeability.","Fracture distribution; Fractured porous media; Heterogeneity; Multilevel adaptive scheme; Two-phase flow","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-03","","","","","",""
"uuid:e3fa74ab-b1da-4fe5-929f-fd0e3a39fd52","http://resolver.tudelft.nl/uuid:e3fa74ab-b1da-4fe5-929f-fd0e3a39fd52","Design of a distributed optical fiber sensor system for measuring immersed tunnel joint deformations","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","","2023","Monitoring the deformations of immersed tunnels is important during the entire tunnel service life to assess the structural integrity of the tunnel. Conventional joint deformation monitoring is based on manual levelling measurements and normally occurs only at multi-year intervals, which does not allow to capture short term deformation behavior. In this study a new joint monitoring system using distributed optical fiber sensors (DOFS) is developed. A special sensor layout is designed that allows simultaneous measurements of both horizontal joint opening and vertical uneven settlement of the immersion and dilation joints. For this sensor scheme the transfer relation from fiber strain to joint deformation is derived and verified by in-lab experiments. The sensor system proves to be able to detect sub-millimeter joint deformations, indicating a more than sufficient accuracy for structural monitoring of immersed tunnel joints. Subsequently, the First Heinenoordtunnel in the Netherlands is instrumented using this distributed optical fiber sensing system, in order to obtain additional data for both long-term and short-term assessment of its structural condition.","Distributed optical fiber sensor; Immersed tunnel; Joint deformation","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:ba83a0e2-9eb2-4360-a16c-6a13be672e3a","http://resolver.tudelft.nl/uuid:ba83a0e2-9eb2-4360-a16c-6a13be672e3a","Impact of W doping on Fe-rich (Mn,Fe)2(P,Si) based giant magnetocaloric materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Smits, Sebastian (Student TU Delft); Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The influence of doping with the 5d transition metal W has been studied in the quaternary (Mn,Fe)2(P,Si) based giant magnetocaloric compounds, which is one of the most promising systems for magnetic refrigeration. It is found that W substitution can separately decrease the Curie temperature TC and retain the thermal hysteresis ∆Thys at an almost constant level (∼5 K) for Mn0.6Fe1.27-xWxP0.64Si0.36 (x ≤ 0.02). Low-content W doping conserves the good magnetocaloric effect (MCE) without an obvious degradation. For x ≤ 0.02 the average magnetic entropy change |∆Sm| amounts to 11.4 Jkg−1K−1 for an applied magnetic field change of 2 T and the adiabatic temperature change ∆Tad amounts to 3.9 K for an applied magnetic field change of 1.5 T. The occupancy of substitutional W atoms is determined by XRD experiments and DFT calculations. Our studies provide a good strategy to further optimize the MCE of this material family.","(Mn,Fe)(P,Si); Magnetic phase transition, low hysteresis; Magnetocaloric effect; W doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","http://resolver.tudelft.nl/uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","Life cycle assessment of material footprint in recycling: A case of concrete recycling","Zhang, Chunbo (Universiteit Leiden; Cornell University); Hu, Mingming (Universiteit Leiden); van der Meide, Marc (Universiteit Leiden); Di Maio, F. (TU Delft Resources & Recycling); Yang, Xining (Universiteit Leiden); Gao, Xiaofeng (Chongqing University); Li, Kai (Universiteit Leiden); Zhao, Hailong (Tsinghua University); Li, Chen (Universiteit Leiden)","","2023","Meeting the current demand for concrete requires not only mining tons of gravel and sand, but also burning large amounts of fossil fuel resources in cement kilning. Consequently, concrete recycling is crucial to achieving a material-efficient society, especially with the application of various categories of concrete and the goal of phasing out fossil fuels. A comparative life cycle assessment (LCA) is used to assess the engineering material footprint (EMF) and the fossil fuel material footprint (FMF) in closed-loop recycling of three types of concrete: siliceous concrete, limestone concrete, and lightweight aggregate concrete. This study aims to investigate the impact of (i) concrete categories, (ii) methods to model recycling, and (iii) using renewable energy sources on the material footprint in concrete recycling. The results highlight that the concrete recycling system can reduce 99% of the EMF and 66–93% of the FMF compared with the baseline system, in which concrete waste is landfilled. All three recycling modeling approaches indicate that concrete recycling can considerably reduce EMF and FMF compared with the baseline system, primarily resulting from the displacement of virgin raw materials. Using alternative diesels is more sensitive than adopting renewable electricity in reduction of the FMF in concrete recycling. Replacing diesel with electrolysis- and coal-based synthetic diesel for concrete recycling could even increase the FMF, while using biodiesel made from rapeseed and wood-based synthetic diesel can reduce 47–51% and 84–89% of the FMF, respectively, compared to the virgin diesel-based recycling system. Finally, we discussed the multifunctionality and rebound effects of recycling, and double-counting risk in material and energy accounting.","Concrete; Construction and demolition waste; Life cycle assessment; Material footprint; Recycling; Renewable energy","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:8bc48e89-d9a9-4024-b4cb-01c0982e70e7","http://resolver.tudelft.nl/uuid:8bc48e89-d9a9-4024-b4cb-01c0982e70e7","Reviving the rock-salt phases in Ni-rich layered cathodes by mechano-electrochemistry in all-solid-state batteries","Wang, Zaifa (Yanshan University); Wang, Zhenyu (Guilin Electrical Equipment Scientific Research Institut, Gulin); Xue, Dingchuan (The Pennsylvania State University); Zhao, Jun (Yanshan University); Zhang, Xuedong (Xiangtan University, Xiangtan); Geng, Lin (Yanshan University); Li, Yanshuai (Yanshan University); Du, Congcong (Yanshan University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","The rock-salt phase (RSP) formed on the surface of Ni-rich layered cathodes in liquid-electrolyte lithium-ion batteries is conceived to be electrochemically ""dead"". Here we show massive RSP forms in the interior of LiNixMnyCo(1−x-y)O2 (NMC) crystals in sulfide based all solid state batteries (ASSBs), but the RSP remains electrochemically active even after long cycles. The RSP and the layered structure constitute a two-phase mixture, a material architecture that is distinctly different from the RSP in liquid electrolytes. The tensioned layered phase affords an effective percolation channel into which lithium is squeezed out of the RSPs by compressive stress, rendering the RSPs electrochemically active. Consequently, the ASSBs with predominant RSP in the NMC cathode deliver remarkable long cycle life of 4000 cycles at high areal capacity of 4.3 mAh/cm2. Our study unveils distinct mechano-electrochemistry of RSPs in ASSBs that can be harnessed to enable high energy density and durable ASSBs.","All-solid-state batteries; Mechano-electrochemistry; Ni-rich cathodes; Rock-salt phases","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:76fb06db-5cf1-41de-b804-ec689ec424df","http://resolver.tudelft.nl/uuid:76fb06db-5cf1-41de-b804-ec689ec424df","Self-healing and corrosion-sensing coatings based on pH-sensitive MOF-capped microcontainers for intelligent corrosion control","Liu, Tong (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Zhang, Rongjun (University of Science and Technology Beijing); Wang, Jinke (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Keil, Patrick (BASF SE); Mol, J.M.C. (TU Delft Team Arjan Mol); Li, Xiaogang (University of Science and Technology Beijing)","","2023","Organic coatings are one of the most used and versatile technologies to mitigate corrosion of metals. However, organic coatings are susceptible to defects and damages that may not be easily detected. If not repaired timely, these defects may develop into major coating failures due to corrosion occurring in the damaged region, thereby limiting the lifetime of the to be protected structure. Thus, the development of smart coatings that can accurately identify corrosion location and reliably recover the damage autonomously is of particular interest. Herein, we reported a robust, corrosion-sensing and self-healing coating which incorporated pH-sensitive ZIF-8-capped CaCO3 microcontainers containing the healing agent tung oil (TO) and the corrosion indicator/inhibitor 1,10-phenanthrolin-5-amine (APhen). The spontaneous leakage of incorporated TO and APhen was restrained, and the release initiated when local pH variation occurred. The corrosion protection performance of the coatings implanted with different contents of smart microcontainers were evaluated. The intact epoxy coating containing 7.5 wt% of the microcontainers exhibited the best protection performance with low water absorption (0.65 wt%), low O2 permeability (0.21 × 10–15 cm3 cm cm−2 s−1 Pa−1), and a high storage modulus (3.0 GPa). Electrochemical impedance spectroscopy (EIS) measurements in 3.5 wt% NaCl solution demonstrated superior durability of the composite coating after self-healing. The immersion test and neutral salt spray test confirmed the coating can accurately report corrosion sites via coloration.","Corrosion protection; Corrosion-sensing; Organic coating; pH-sensitive; Self-healing coatings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-18","","","Team Arjan Mol","","",""
"uuid:c63408d6-be94-477c-af3c-c9cf3e46f72f","http://resolver.tudelft.nl/uuid:c63408d6-be94-477c-af3c-c9cf3e46f72f","Experimental analysis of ballast bed state in newly constructed railways after tamping and stabilizing operation","Xiao, Hong (Beijing Jiaotong University); Zhang, Zhihai (Beijing Jiaotong University); Zhu, Yajie (China Railway Beijing Bureau Group); Gan, Tiancheng (China Railway Beijing Bureau Group); Wang, Haoyu (TU Delft Mechanics and Physics of Structures)","","2023","Before the operation of newly constructed railways, tamping and stabilizing machines should be used to improve the quality of ballast beds. With the expansion of the railway network and increase of speeds and axle loads, higher quality and efficiency for tamping and stabilizing operation are required. However, previous studies did not involve the effects and parameters of three-sleeper tamping and stabilizing operation under complex working conditions. In the paper, the effect of a three-sleeper tamping and stabilizing machine on the ballast bed state has been studied by performing field experiments. The effect of important factors, including tamping modes, stabilizing frequency, and track lifting amount, are discussed in detail. The results show that the tamping operation on newly constructed railways causes a reduction of the lateral resistance by 56.5 % and a reduction of lateral resistance work by 64.9 %. After the stabilizing operation, the lateral resistance and lateral resistance work are increased by 168.6 % and 209.8 %, respectively. The tamping and stabilizing operation can significantly increase the support stiffness of ballast beds, which meets the requirements of train operation. Meanwhile, 2X tamping mode is more beneficial to improve ballast resistance. Besides, it is reasonable for a stabilizing frequency of 25 Hz to be used for newly constructed railways. The track lifting amount also has a large effect on the ballast bed quality, and it is recommended to keep the lift amount in the range of 20 mm ∼ 30 mm to achieve a better tamping quality.","Longitudinal and lateral resistance; Newly constructed railway; Stabilizing frequency; Support stiffness; Tamping and stabilizing operation; Tamping mode","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechanics and Physics of Structures","","",""
"uuid:958f91bc-f0cb-4697-9747-0af91d0936da","http://resolver.tudelft.nl/uuid:958f91bc-f0cb-4697-9747-0af91d0936da","Influence of solder condition on effective thermal conductivity of two-directional random fibres: Pore-scale simulation","Xiao, Tian (Xi’an Jiaotong University; Xi’an University of Technology); Zhang, Qiaoling (Xi’an University of Technology); Yang, Xiaohu (Xi’an Jiaotong University; Xi’an University of Technology); Hooman, K. (TU Delft Process and Energy); Li, Guodong (Xi’an University of Technology)","","2023","It is indicated that the solder joint of the metal fibrous materials is a critical factor impacting the heat conduction. To reveal the mechanism by which solder joint sizes, solder joint skips, solder flux materials, and filling media affect the thermal conductivity of fibres, pore-scale numerical simulation is employed to study the thermal transport in two-directional (2-D) random fibres. Satisfactory agreement with existing data validates the numerical model. The dimensionless effective thermal conductivity (ETC) of the porous fibres increases with the solder joint sizes. As the solder joint size (i.e., solder joint ratio) increases by 3.06%, the in-plane (ke-in) and out-of-plane (ke-out) dimensionless ETC increase by 9.0% and 437.2%, respectively. However, the solder joint skips will weaken the thermal conductivity of the fibres. For the same fibre, the ETC of the fibre increases as the thermal conductivity of solders increases. Further, when the dissimilarity in thermal conductivity between the filling medium and the fibre is reduced, the fibre is less affected by the solder joint skips. Finally, it should be supplemented that the in-plane and out-of-plane ETC (ke-in and ke-out) of the fibre without any solder joint are reduced by an average of 14.3% and 98.8%, respectively.","Effective thermal conductivity (ETC); Metal fibre materials; Numerical simulation; Solder joints","en","journal article","","","","","","","","","","Process and Energy","","","",""
"uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","http://resolver.tudelft.nl/uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","Understanding the interaction of nucleotides with UVC light: an insight from quantum chemical calculation-based findings","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Short-wave ultraviolet (also called UVC) irradiation is a well-adopted method of viral inactivation due to its ability to damage genetic material. A fundamental problem with the UVC inactivation method is that its mechanism of action on viruses is still unknown at the molecular level. To address this problem, herein we investigate the response mechanism of genome materials to UVC light by means of quantum chemical calculations. The spectral properties of four nucleotides, namely, adenine, cytosine, guanine, and uracil, are mainly focused on. Meanwhile, the transition state and reaction rate constant of uracil molecules are also considered to demonstrate the difficulty level of adjacent nucleotide reaction without and with UVC irradiation. The results show that the peak wavelengths are 248.7 nm, 226.1 nm (252.7 nm), 248.3 nm, and 205.8 nm (249.2 nm) for adenine, cytosine, guanine, and uracil nucleotides, respectively. Besides, the reaction rate constants of uracil molecules are 6.419 × 10−49 s−1 M−1 and 5.436 × 1011 s−1 M−1 for the ground state and excited state, respectively. Their corresponding half-life values are 1.56 × 1048 s and 1.84 × 10−12 s. This directly suggests that the molecular reaction between nucleotides is a photochemical process and the reaction without UVC irradiation almost cannot occur.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8268358b-84fa-436d-a4f2-0414a72ad73e","http://resolver.tudelft.nl/uuid:8268358b-84fa-436d-a4f2-0414a72ad73e","Modulation of sediment load recovery downstream of Three Gorges Dam in the Yangtze River","Zhu, Chunyan (Shanghai Estuarine and Coastal Science Research Center); Zhang, Yuning (Shanghai Estuarine and Coastal Science Research Center); van Maren, D.S. (TU Delft Environmental Fluid Mechanics; Shanghai Estuarine and Coastal Science Research Center; Deltares); Xie, Weiming (Shanghai Estuarine and Coastal Science Research Center); Guo, Leicheng (Shanghai Estuarine and Coastal Science Research Center); Wang, Xianye (Shanghai Estuarine and Coastal Science Research Center); He, Qing (Shanghai Estuarine and Coastal Science Research Center)","","2023","The sediment load in the Yangtze River downstream of the Three Gorges Dam (TGD) has substantially declined in recent decades. The decrease is more profound below the TGD, e.g., a 97% decrease at Yichang, compared with that at the delta apex, 1200 km downstream, e.g., a 75% decrease, implying along-river sediment recovery. Two large river-connected lakes, i.e., Dongting and Poyang Lakes, may play a role in the re-establishment of the river’s morphodynamic equilibrium, but a quantitative data-based understanding of this interaction is not yet available. In this work, we collected a series of field data to quantify the sediment gain and loss in the river-lake system in the middle-lower Yangtze River, and evaluate the lake’s response to the reduction in riverine sediment supply. We find that Dongting Lake and Poyang Lake shifted from net sedimentation to erosion in 2006 and 2000, and back to a sedimentation regime again after 2017 and 2018, respectively. Natural morphodynamic adaptation and sand mining play an important role in the regime changes in the Dongting Lake whereas sand mining dominates the abrupt changes in the Poyang Lake. The Dongting and Poyang Lake contributed maximum by 38% (2015) and 17% (2006) (respectively) to the sediment recovery in the erosion regime, whereas the riverbed erosion dominates the main sediment source. These changes in the relative contribution of sediment sources also indicates a response time of ~ 20 years in the lakes towards a new equilibrium state. It is noteworthy that the lakes’ buffer effects may be overestimated as the supplied sediment from the lakes is rather small compared to the significant dam trapping in the upstream basin and sediment source from downstream degradation. The results imply that river management and restoration should take into account of the river-lake interactions and feedback impact at decadal time scales.","Dongting Lake; Middle-lower Yangtze River; Poyang Lake; Sediment supply; Three gorges dam","en","journal article","","","","","","Doi of Correction document: https://doi.org/10.1007/s44218-023-00021-x","","","","","Environmental Fluid Mechanics","","",""
"uuid:bcd4947a-a3b4-4e2a-837e-01237bd73c7e","http://resolver.tudelft.nl/uuid:bcd4947a-a3b4-4e2a-837e-01237bd73c7e","Bienzymatic Cascade Combining a Peroxygenase with an Oxidase for the Synthesis of Aromatic Aldehydes from Benzyl Alcohols","Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Li, Zongquan (South China University of Technology); Zhang, Hao (South China University of Technology); Wong, Vincent Kam Wai (Macau University of Science and Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Intelligent Bio-manufacturing Co, Foshan)","","2023","Aromatic aldehydes are important aromatic compounds for the flavour and fragrance industry. In this study, a parallel cascade combining aryl alcohol oxidase from Pleurotus eryngii (PeAAOx) and unspecific peroxygenase from the basidiomycete Agrocybe aegerita (AaeUPO) to convert aromatic primary alcohols into high-value aromatic aldehydes is proposed. Key influencing factors in the process of enzyme cascade catalysis, such as enzyme dosage, pH and temperature, were investigated. The universality of PeAAOx coupled with AaeUPO cascade catalysis for the synthesis of aromatic aldehyde flavour compounds from aromatic primary alcohols was evaluated. In a partially optimised system (comprising 30 μM PeAAOx, 2 μM AaeUPO at pH 7 and 40 °C) up to 84% conversion of 50 mM veratryl alcohol into veratryl aldehyde was achieved in a self-sufficient aerobic reaction. Promising turnover numbers of 2800 and 21,000 for PeAAOx and AaeUPO, respectively, point towards practical applicability.","AaeUPO; aromatic aldehydes; cascade catalysis; flavour compounds; PeAAOx","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:b6dddf10-7002-4419-ae9f-70d3ef3e45c2","http://resolver.tudelft.nl/uuid:b6dddf10-7002-4419-ae9f-70d3ef3e45c2","Enhanced energy transfer in a Dicke quantum battery","Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft; Beijing Academy of Quantum Information Sciences, Beijing); Blaauboer, M. (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft)","","2023","We theoretically investigate the enhancement of the charging power in a Dicke quantum battery which consists of an array of N two-level systems (TLS)coupled to a single mode of cavity photons. In the limit of small N, we analytically solve the time evolution for the full charging process. The eigenvectors of the driving Hamiltonian are found to be pseudo-Hermite polynomials and the evolution is thus interpreted as harmonic oscillator like behaviour. Then we demonstrate the average charging power using a collective protocol is (Formula presented.) times larger than that of the parallel protocol when transferring the same amount of energy. Unlike previous studies, we point out that such quantum advantage does not originate from entanglement but is due to the coherent cooperative interactions among the TLSs. Our results provide intuitive quantitative insight into the dynamic charging process of a Dicke battery and can be observed under realistic experimental conditions.","Dicke model; pseudo-Hermite polynomials; quantum battery; quantum speedup; Tavis-Cumming Hamiltonian","en","journal article","","","","","","","","","","","QN/Blaauboer Group","","",""
"uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","http://resolver.tudelft.nl/uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","Self-assembly of ammonium assimilation microbiomes regulated by COD/N ratio","Han, Fei (Shandong University); Zhang, Mengru (Shandong University); Li, Zhe (Shandong University); Liu, Zhe (School of Environmental Science and Engineering; Shandong University); Han, Yufei (Shandong University); Li, L. (TU Delft Sanitary Engineering); Zhou, Weizhi (Shandong University)","","2023","Marine microorganisms have an inherent advantage in the treatment of saline wastewater due to their halophilic properties. Ammonium assimilation is the most important and common nitrogen conversion pathway in the ocean, which means that it may be a suitable nitrogen removal strategy under high salinity conditions. However, the targeted construction of engineering microbiomes with ammonium assimilation function for nitrogen recovery has not been realized. Here, we constructed four halophilic ammonium assimilation microbiomes from marine microbial community under varying chemical oxygen demand (COD) to nitrogen (COD/N) ratios. The regulation of COD/N ratio on microbial self-assembly was explored at the phenotypic, genetic, and microbial levels. The results of nitrogen balance tests, functional genes abundance and microbial community structure confirmed that the microbiomes regulated by different COD/N ratios all performed obligate ammonium assimilation functions. >93% of ammonium, 90% of TN, 98% of COD, and 82% of phosphorus were simultaneously removed by microbial assimilation under the COD/N ratio of 20. COD/N ratios significantly affected the self-assembly of microbiomes by selectively enriching heterotrophic microorganisms with different preference for organic carbon load. Additionally, the increase of COD/N ratio intensified the competition among species within the microbiome (the proportion of negative connections of microbial network increased from 5.0% to 24.4%), which may enhance the stability of community structure. Taken together, these findings can provide theoretical guidance for the construction and optimization of engineering microbiomes for synergistic nitrogen removal and recovery.","Ammonium assimilation microbiomes; Chemical oxygen demand to nitrogen (COD/N) ratios; Marine microbial community; Microbial network; Self-assembly process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:821c8c90-7c81-489c-9f61-a83790267790","http://resolver.tudelft.nl/uuid:821c8c90-7c81-489c-9f61-a83790267790","Effect of off-stoichiometry and Ta doping on Fe-rich (Mn,Fe)2(P,Si) based giant magnetocaloric materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy; Radboud Universiteit Nijmegen); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The influence of off-stoichiometry and of doping with the 5d transition metal Ta has been studied in the quaternary (Mn,Fe)2(P,Si)-based compound, which is one of the most promising materials systems for magnetic refrigeration. It is found that Ta substitution can decrease the transition temperature Ttr, while the thermal hysteresis ∆Thys remains about constant. A low Ta doping enhances the magnetocaloric effect (MCE). For Mn0.6Fe1.27-yTayP0.64Si0.36 with y = 0.01 the magnetic entropy change ∆Sm shows and enhancement of 30.7% compared to the undoped material for a low magnetic field change of 1 T. The occupancy of substitutional Ta atoms is determined by XRD and DFT calculations. The Ttr shift and enhanced MCE upon Ta doping are ascribed to the competition between a weakening of the magnetic exchange interactions and a strengthening of the hybridization. Our studies provide a good strategy to further optimize the MCE of this material family.","(Mn,Fe)(P,Si); Magnetocaloric effect; Off-stoichiometry; Ta doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","http://resolver.tudelft.nl/uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","Switched Control Design for Quadrotor in Target Tracking with Complex Intermittent Measurements","Liang, Y. (Harbin Institute of Technology); Yang, Jianan (Harbin Institute of Technology); Zhang, Lixian (Harbin Institute of Technology); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:fc787c51-4285-4312-ae30-e6e33ec1d94a","http://resolver.tudelft.nl/uuid:fc787c51-4285-4312-ae30-e6e33ec1d94a","A photodecarboxylase from Micractinium conductrix active on medium and short-chain fatty acids","Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Zhong, Xuanru (South China University of Technology); Wu, Bin (South China University of Technology); Lan, Dongming (South China University of Technology); Zhang, Hao (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Intelligent Bio-manufacturing Co, Foshan)","","2023","Hydrocarbons are essential base chemicals as energy carriers and starting materials for chemical manufacture. So-called fatty acid photodecarboxylases (FAPs) represent interesting catalysts for the conversion of natural fatty acids into hydrocarbons thereby giving access to alkanes from renewable feedstock. Today, however, only few FAPs are known. In the current study we report a new FAP from the marine organism Micractinium conductrix (McFAP). In contrast to currently known FAPs McFAP exhibits high catalytic activity towards short and medium fatty acids. Recombinant expression and basic biochemical characterisation of this new member of the FAP family is reported.","Fatty acids; Heterologous expression; Hydrocarbon biofuel; McFAP; Photodecarboxylase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-10","","","BT/Biocatalysis","","",""
"uuid:95d9e5ba-6bac-4dc7-a864-1e2225b4871e","http://resolver.tudelft.nl/uuid:95d9e5ba-6bac-4dc7-a864-1e2225b4871e","Risk consequence assessment of dam breach in cascade reservoirs considering risk transmission and superposition","Wang, Te (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University; Yellow River Engineering Consulting Co); zhang, Z.H. (Zhengzhou University); Zhang, Yadong (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Jiao, Yutie (Zhengzhou University)","","2023","Compared with a single reservoir, the risk in cascade reservoirs has the transmission and superposition effect, which increases the complexity of its risk consequence assessment. In view of this problem, the direct consequence (DC) and potential consequence (PC) were defined as two parts of the dam breach risk consequence of cascade reservoirs. The upstream dam-break flood inundation line and the downstream reservoir land acquisition line were taken as the upper and lower boundaries of the assessment space, which made the risk consequence assessment more intuitive and further improved its scientificity and practicability. Subsequently, the conditional probability of downstream dam breach under the upstream dam-break flood was determined to quantify the risk transmission and superposition. On this basis, the relevant concepts and formulas for calculating the dam breach risk consequence in cascade reservoirs were proposed. Taking five cascade reservoirs as examples, the risk consequences of each cascade dam breach were evaluated. The results show that the proposed method is effective in assessing the risk consequence of dam breach in cascade reservoirs and is more in line with the connotation of dam risk management, which can provide reference for the design and risk control of cascade reservoirs.","Cascade reservoirs; Dam breach; Loss; Risk management; Risk transmission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:f071a36d-2137-4339-806b-4ade51ab00e5","http://resolver.tudelft.nl/uuid:f071a36d-2137-4339-806b-4ade51ab00e5","Performance evaluation of ventilative cooling systems for buildings under different control parameters and strategies","Hu, Yan (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Ai, Zhengtao (Hunan University); Zhang, Guoqiang (Hunan University)","","2023","Ventilative cooling is an energy-saving technology to diminish thermal discomfort and overheating risk of buildings, meanwhile achieving high indoor air quality (IAQ). However, there is still no optimal control strategy in practice, which considerably limits its application. This study developed a typical office building model to evaluate the performance of ventilative cooling systems with different control parameters and strategies for five typical cities in different climatic zones of China. Results showed that, when the control parameter was selected as the upper limit of satisfied comfortable zone by 90% of the occupants, the adaptive thermal comfort (ATC) model, which outperformed the other models in terms of outdoor air utilization, was not necessarily optimal in terms of energy efficiency. The outdoor air utilization potential based on the indoor dry-bulb air temperature (Td) and indoor operative temperature (Top) control was similar, but the energy usage varies considerably, especially in the hot climatic zones. When the overheating period controlled based on the thermal comfort models was the same, the energy usage would be underestimated by 16%–38% without considering the effect of radiant temperature. The ATC-based control could have up to 37% of energy-saving compared to thermostatic control, but inappropriately low limits could make it less advantages to achieve energy-saving. The energy-saving potential associated with the PMV and ATC controls showed a completely opposite trend in the different climatic zones. The analysis results indicate that eliminating the drawbacks of the lower limit in the ATC model is an effective way to demonstrate energy-saving effectiveness. The findings of this study will contribute to the effective improvement of the application potential of ventilative cooling in different climatic zones.","Control parameters; Energy saving; Indoor air quality; Thermal comfort; Ventilative cooling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:9c6406bd-f791-489d-a99f-682013316b4d","http://resolver.tudelft.nl/uuid:9c6406bd-f791-489d-a99f-682013316b4d","Experimental investigation of soil–structure interface behaviour under monotonic and cyclic thermal loading","Guo, Y. (TU Delft Geo-engineering; Southeast University); Golchin, A. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Liu, Songyu (Southeast University); Zhang, Guozhu (Southeast University); Vardon, P.J. (TU Delft Geo-engineering)","","2023","The effect of temperature on the monotonic and cyclic shearing response of a soil–structure interface is of critical importance for the application of thermal-active geo-structures. To investigate this, soils and soil–concrete interfaces were comprehensively tested with a temperature-controlled direct shear device under both fixed temperatures and thermal/mechanical cycles within the range of 2–38 °C. Monotonic and cyclic shearing with various boundary conditions, including constant normal load (CNL), constant normal stiffness (CNS) and constant volume (CV), were conducted to resemble the conditions that thermal-active-geo-structures may experience. The strength properties of the sand, clay, and sand–concrete and clay–concrete interfaces were partially influenced by heating and cooling under all boundary conditions. However, several effects were observed which could affect the performance of thermo-active structures. Heating cycles caused the clay–concrete interface to be overconsolidated, implying a lower excess pore pressure would be generated during shearing. The cyclic CNS tests suggested that the interface strength could degrade due to (thermally induced) cyclic shear displacements, with this effect strongly related to the state of the soil rather than the temperature directly. In these tests, the medium-dense sand–concrete interface degraded to almost zero shear strength after 5 cycles, whereas the clay–concrete interface asymptotically degraded to around 60% of its strength after 10 cycles.","Laboratory test; Shear strength; Soil–structure interaction; Temperature effects","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","http://resolver.tudelft.nl/uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","Speed and heading control-based collision avoidance for a ship towing system","Zhang, Lei (Wuhan University of Technology; School of Navigation); Liu, Wenjie (Wuhan University of Technology); Du, Zhe (TU Delft Transport Engineering and Logistics); Du, Lei (Wuhan University of Technology); Li, Xiaobin (Wuhan University of Technology)","","2023","Collision avoidance is a priority task for ensuring the safety of a maritime transportation system. However, for a ship towing system, which is characterized by multiple vessels and physical connections, the research works about collision avoidance is limited. Thus, this paper proposes a speed and heading control-based conflict resolution of a ship towing system for collision avoidance. Two systems compose the core of the proposed conflict resolution: the risk assessment system and the coordination control system. The risk assessment is to identify the conflict and determine the time of avoiding action by calculating the index of conflict and the available maneuvering margin. The coordination control is based on the model predictive control (MPC) strategy to cooperatively control two tugboats for regulating the position, heading, and speed of the manipulated ship. Simulation experiments show that according to the index of conflict, the time cost, and the fuel consumption, a selected operation of combined heading and speed can be recommended for a ship towing system to provide a safer and more efficient towage manipulation.","Collision avoidance; Conflict resolution; Model predictive control; Ship towing system","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:509f137a-f72e-4d41-936b-f182ebd714a9","http://resolver.tudelft.nl/uuid:509f137a-f72e-4d41-936b-f182ebd714a9","Effects of carbonate distribution pattern on the mechanical behaviour of bio-cemented sands: A DEM study","Zhang, A. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering)","","2023","Microbially induced carbonate precipitation is a promising ground improvement technique which can enhance the mechanical properties of soils through the precipitation of calcium carbonate. Experimental evidences indicate that the precipitated carbonate can display different distribution patterns. Crystals can develop at grain–grain contacts (contact cementing), connect soil grains that were initially not in contact with each other (bridging), precipitate on the grain surface (coating), or fill in the void space (pore filling). This paper investigates the role of the aforementioned distribution patterns on the mechanical behaviour of lightly bio-cemented soil samples using discrete element modelling. Bio-cemented samples with different distribution patterns and carbonate contents are built, and a series of drained triaxial compression simulations are carried out at different confining pressures. The results show that cementation in the form of bridging and contact cementing leads to obvious improvement in stiffness, strength and dilatancy. In contrast, cementation in the form of coating contributes only slightly to mechanical improvement, and pore filling exhibits negligible influence on the mechanical response of the material. The findings suggest that, to gain strength improvement in the most effective way, treatments should be tailored to precipitate calcium carbonate crystals in the form of bridging.","Bio-cemented soils; Discrete element method; Microstructure; Shear strength","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","http://resolver.tudelft.nl/uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","Behavior of Engineered Cementitious Composites (ECCs) Subjected to Coupled Sustained Flexural Load and Salt Frost","Li, Yonghao (Shandong University); Zhang, Ning (Shandong Hi-Speed Engineering Test CO); Sun, Renjuan (Shandong University); Guan, Yanhua (Shandong University); Liu, Lemin (Shandong Expressway Infrastructure Construction Co); Tian, Changjin (Shandong University); Ling, Yifeng (Shandong University); Zhang, Hongzhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The performance of engineered cementitious composites (ECCs) under coupled salt freezing and loaded conditions is important for its application on the transportation infrastructure. However, in most of the studies, the specimens were generally loaded prior to the freezing. The influence of sustained load was merely considered. To this end, four sustained deflection levels, i.e., 0%, 10%, 30% and 50% of the deflection at the ultimate flexural strength, and three salt concentrations (1%, 3% and 5%) were applied. Prior to the salt frost resistance test, the fluid absorption of ECC specimens under various conditions were measured. The changes in relative dynamic elastic modulus (RDEM) during the freeze–thaw cycles were captured. The depth and the content profile of free chloride were measured after the coupled sustained load and freezing and thawing cycles. It is shown that 3% NaCl solution leads to the largest deterioration in all cases. There is no visible flaking or damage occurring on the surface. The relationships between locally sustained flexural stress and RDEM loss and also locally sustained flexural stress and free chloride penetration depth were proposed and showed satisfactory results. It is concluded that when ECC is subjected to the FTCs under 1% de-ice salt solution, no depassivation of the steel is expected even under a large deflection level. In terms of 3% and 5% salt solution, the thickness of cover should be no less than 20 mm when a deflection level of 0.5 is applied.","chloride penetration depth; engineered cementitious composites (ECCs); free chloride profile; salt frost; sustained flexural load","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:b5a1d36b-7034-4893-9c95-03654a89da37","http://resolver.tudelft.nl/uuid:b5a1d36b-7034-4893-9c95-03654a89da37","Short pitch corrugation mitigation by rail constraint design","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","Short pitch corrugation is a quasi-periodic rail defect that induces a high level of noise and accelerates track degradation. This paper proposes a methodology to mitigate short pitch corrugation by rail constraint design, including four steps. In Step 1, corrugation is numerically reproduced by employing a three-dimensional (3D) finite element (FE) vehicle-track model with degraded fastenings. In Step 2, the corrugation initiation mechanism is identified by the operating deflection shapes (ODSs) approach. In Step 3, different types of rail constraints are designed and their effects on rail vibration modes are analysed. Then FE models of these rail constraints are built up and validated. In Step 4, rail constraint models from Step 3 are applied to the 3D FE vehicle-track interaction model and their effectiveness on corrugation mitigation is evaluated. The results indicate rail longitudinal compression modes and the induced longitudinal dynamic contact force dominate the initial differential wear and corrugation initiation. Based on this mechanism, a new rail constraint is designed in this work that can completely suppress longitudinal compression modes and significantly reduce the fluctuation amplitude of the longitudinal contact force so that corrugation can hardly initiate. This paper first points out a direction for field corrugation mitigation by strengthening the rail longitudinal constraint.","3D Fe vehicle-track dynamic interaction model; Longitudinal dynamic contact force; Operating deflection shapes; Rail constraint design; Rail longitudinal compression modes; Short pitch corrugation mitigation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:3f8cfafc-be8b-4bfe-b683-e0db00d2bfeb","http://resolver.tudelft.nl/uuid:3f8cfafc-be8b-4bfe-b683-e0db00d2bfeb","粉末床熔融的多材料铺粉过程中粉末扩散的数值研究","Wang, L. (TU Delft Resources & Recycling); Li, Erlei (Monash University); Zhou, Zongyan (Monash University; University of Science and Technology Beijing); Zhang, Baicheng (University of Science and Technology Beijing); Yu, Aibing (Monash University)","","2023","Powder bed fusion additive manufacturing has been applied to the fabrication of functionally graded materials. A new design that allows the material composition to change along the direction perpendicular to the powder spreading has been reported in the literature. Based on this design, this work examines the quality of the graded spread powder layer with two powders, which have a large difference of density. The results reveal that during the spreading of graded powders, the volume of particles on the heavy powder side is deposited less than that on the light powder side, indicating that heavy particles diffuse to the light powder side. This diffusion is affected by the spreading speed, but not much by the layer gap. Large spreading speed causes more significant deviation. The results also show that particle size affects diffusion, indicating that decreasing the particle size of the heavy powder may be a solution to reduce diffusion. [Figure not available: see fulltext.]","DEM simulation; Functionally graded material; Powder bed fusion additive manufacturing; Powder spreading","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-09","","","Resources & Recycling","","",""
"uuid:22b029f8-0c81-400a-b33b-4b30076fa2f1","http://resolver.tudelft.nl/uuid:22b029f8-0c81-400a-b33b-4b30076fa2f1","Settling of superparamagnetic silica encapsulated DNA microparticles in river water","Tang, Yuchen (TU Delft Water Resources); Zhang, Fengbo (IHE Delft Institute for Water Education); Bogaard, T.A. (TU Delft Water Resources); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Ali, Zeeshan (Norwegian University of Science and Technology (NTNU)); Bandyopadhyay, Sulalit (Norwegian University of Science and Technology (NTNU)); Foppen, J.W.A. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","Particle tracers are sometimes used to track sources and sinks of riverine particulate and contaminant transport. A potentially new particle tracer is ~200 nm sized superparamagnetic silica encapsulated DNA (SiDNAFe). The main objective of this research was to understand and quantify the settling and aggregation behaviour of SiDNAFe in river waters based on laboratory settling experiments. Our results indicated, that in quiescent conditions, more than 60% of SiDNAFe settled within 30 h, starting with a rapid settling phase followed by an exponential-like slow settling phase in the three river waters we used (Meuse, Merkske, and Strijbeek) plus MilliQ water. In suspensions of 1000× higher particle concentrations, the hydrodynamic diameter (Dh-DLS) of SiDNAFe increased over time, with its polydispersity index (PDI) positively correlated with particle size. From these observations, we inferred that the rapid SiDNAFe settling was mainly due to homo-aggregation and not due to hetero-aggregation (e.g., with particulate matter present in river water). Incorporating a first-order mass loss term which mimics the exponential phase of the settling in quiescent conditions seems to be an adequate step forward when modelling the transport of SiDNAFe in river injection experiments. Furthermore, we validated the applicability of magnetic separation and up-concentration of SiDNAFe in real river waters, which is an important advantage for carrying out field-scale SiDNAFe tracing experiments.","aggregation; DNA tracer; microparticle; settling","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:4dbe0d27-cbe3-439c-bec1-6922e86cd711","http://resolver.tudelft.nl/uuid:4dbe0d27-cbe3-439c-bec1-6922e86cd711","A biomimetic red blood cell inspired encapsulation design for advanced hydrate-based carbon capture","Zhang, Yuxuan (Australian National University); Zhai, Xiaoqiang (Shanghai Jiao Tong University); Zhang, Fengyuan (Australian National University); Zhang, Zhongbin (Nanjing Normal University); Hooman, K. (TU Delft Process and Energy); Zhang, Hai (Shanghai Jiao Tong University); Wang, Xiaolin (Australian National University)","","2023","Enhancing gas-liquid mass transfer is key to promote gas hydrate formation kinetics. Encapsulation of CO2 hydrate is expected to dramatically increase gas-liquid contact to enhance mass transfer. However, gas hydrate encapsulation has never been proposed as the technical issues of gas permeation through capsule shells have never been addressed. In this work, based on the principles of biomimetics, we proposed a novel red blood cell (RBC) inspired carbon capture capsule to promote CO2 hydrate formation kinetics. An experimentally validated model is established to compare the carbon capture performance in an RBC-shaped and a spherical capsule. It is revealed that the gas uptake efficiency of the RBC-shaped capsule is 143% higher than that of the spherical one. The effect of initial pressure and capsule size on CO2 hydrate formation kinetics is also investigated. Furthermore, the structure of RBC is optimised and it is found the average amount of hydrate formation per surface area achieves a peak when the ratio of the height at the centre to the width of the ring is between 0.128 and 0.160, which is close to that of real RBCs in human bodies. This work enables the informed design of hydrate-based carbon capture units with high gas uptake efficiency.","Heat and mass transfer; Hydrate formation kinetics; Hydrate-based carbon capture; Red blood cell","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-20","","Process and Energy","","","",""
"uuid:afc770b7-fc14-4a1d-b823-a78dc0b09221","http://resolver.tudelft.nl/uuid:afc770b7-fc14-4a1d-b823-a78dc0b09221","Connecting the macroscopic and mesoscopic properties of sintered silver nanoparticles by crystal plasticity finite element method","Long, Xu (Northwestern Polytechnical University); Chong, Kainan (Northwestern Polytechnical University); Su, Yutai (Northwestern Polytechnical University); Du, L. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The stress–strain response of sintered silver nanoparticles (AgNP) materials is precisely characterized in order to adapt for numerical analysis and rational design of electronic packaging structures in this study. A framework of crystal plasticity finite element method (CPFEM) is established based on the mechanism of crystal plastic deformation to describe the mesoscopic structural influence of grain evolution on the macroscopic properties of sintered AgNP materials. Material parameters of crystal plasticity are defined and initial orientations are randomly assigned for sintered AgNP grains. To calibrate the mesoscopic mechanical properties of sintered AgNP by the proposed CPFEM, the results of CPFEM simulations and uniaxial tensile tests subjected to different strain rates and temperatures are compared in terms of the stress–strain curves as the critical macroscopic characteristics. The predicted stress and deformation distributions in the polycrystalline structure demonstrate that the significant inhomogeneity of stress and deformation is caused by the different grain orientations of sintered AgNP. Furthermore, we elucidate the fracture mechanism influenced by the temperature and strain rate and also the effect of initial crystal orientation on the plastic strain of sintered AgNP. This study sheds light on the morphology design of sintered AgNP with optimized mechanical properties and fatigue resistance.","Constitutive model; CPFEM; Grain size; Initial orientation; Sintered silver nanoparticle","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-24","","","Electronic Components, Technology and Materials","","",""
"uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","http://resolver.tudelft.nl/uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","Application of game theory in risk management of urban natural gas pipelines","Li, Xinhong (Xi'an University of Architecture and Technology); Ma, Jie (Xi'an University of Architecture and Technology); Han, Ziyue (Xi'an University of Architecture and Technology); Zhang, Y. (TU Delft Applied Sciences; Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science)","","2023","This paper presents a game theory methodology for risk management of urban natural gas pipelines, which is a collaborative participation mechanism of the stakeholders, including government, pipeline companies, and the public. Firstly, the involvement proportion of stakeholders in risk management under rational conditions is estimated by the static game theory. Subsequently, the system dynamics (SD) simulation is used to establish an evolution game model of stakeholders in risk management under the irrational conditions, in which the stability of the evolution game process is analyzed. The stakeholders’ involvement proportions from the static game model are utilized as the inputs for the evolution game model to simulate the dynamic evolution behavior of risk management strategies with different involvement proportions of stakeholders. Eventually, the dynamic evaluation game can extract an optimal strategy for risk management of urban natural gas pipelines. A case study is used to illustrate the methodology. In essence, this methodology can be extended for implementing risk management of urban infrastructure.","Game theory; Risk management; System dynamics simulation; Urban natural gas pipeline","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","Applied Sciences","","Safety and Security Science","","",""
"uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","http://resolver.tudelft.nl/uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","Effect of pretreated cow dung fiber on rheological and fatigue properties of asphalt binder","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Gao, Y. (TU Delft Pavement Engineering; Liverpool John Moores University); Li, Yuanxiao (Henan University of Science and Technology); Yang, Zhengxian (Fuzhou University); Niu, Yanhui (Chang'an University)","","2023","Cow dung waste has caused severe environmental pollution and public health issues in China. In this study, the cow dung residues were used as a cheap renewable fiber to modify asphalt binder, providing a new solution for the proper disposal of cow dung waste. Three cow dung fibers with two lengths were prepared using different treatments, including original cow dung fiber (CDF), surface treatments of cow dung fiber (STCDF) and alkali treatments of cow dung fiber (ATCDF). The physicochemical properties of CDF, STCDF and ATCDF were analyzed by scanning electron microscope (SEM) and thermogravimetry (TG). The viscidity, rheological properties and fatigue characteristics of CDF modified asphalt binders (CDFMA) were evaluated using Brookfield viscometer and dynamic shear rheometer. The results showed that the rough surfaces of STCDF and ATCDF improved their thermal stability. STCDF and ATCDF enhanced the resistance to permanent deformation under high temperature conditions of modified asphalt binder. STCDF modified asphalt binders exhibited the best viscosity and rheological performance. The increase of fiber length was positively correlated with the high temperature deformation resistance of CDFMA. CDF, STCDF and ATCDF inhibited fatigue cracking of modified asphalt binders compared to base asphalt binders. ATCDF modified asphalt binders exhibited higher fatigue life and smaller crack under the same cyclic loading. The increase in fiber length had a slight improvement on the fatigue resistance of modified asphalt binders.","Cow dung fiber; Fatigue cracking; Modified asphalt binder; Rheological properties; Surface treatment","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:899daa41-72dd-40bc-b6b5-a36d1d22940a","http://resolver.tudelft.nl/uuid:899daa41-72dd-40bc-b6b5-a36d1d22940a","Tailoring high-energy storage NaNbO3-based materials from antiferroelectric to relaxor states","Zhang, Mao Hua (Technische Universität Darmstadt); Ding, Hui (Technische Universität Darmstadt); Egert, Sonja (Technische Universität Darmstadt); Zhao, Changhao (Technische Universität Darmstadt); Villa, Lorenzo (Technische Universität Darmstadt); Fulanović, Lovro (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy); Buntkowsky, Gerd (Technische Universität Darmstadt); Kleebe, Hans Joachim (Technische Universität Darmstadt)","","2023","Reversible field-induced phase transitions define antiferroelectric perovskite oxides and lay the foundation for high-energy storage density materials, required for future green technologies. However, promising new antiferroelectrics are hampered by transition´s irreversibility and low electrical resistivity. Here, we demonstrate an approach to overcome these problems by adjusting the local structure and defect chemistry, delivering NaNbO3-based antiferroelectrics with well-defined double polarization loops. The attending reversible phase transition and structural changes at different length scales are probed by in situ high-energy X-ray diffraction, total scattering, transmission electron microcopy, and nuclear magnetic resonance spectroscopy. We show that the energy-storage density of the antiferroelectric compositions can be increased by an order of magnitude, while increasing the chemical disorder transforms the material to a relaxor state with a high energy efficiency of 90%. The results provide guidelines for efficient design of (anti-)ferroelectrics and open the way for the development of new material systems for a sustainable future.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","http://resolver.tudelft.nl/uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","Combustion Air Humidification for NOx Emissions Reduction in Gas Boiler: An Experimental Study","Zhang, Qunli (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Zhao, Wenqiang (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Sun, Donghan (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Meng, Xiangzhao (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Yang, Xiaohu (Xi’an Jiaotong University)","","2023","NOx emission reduction from gas boilers has become a key issue in improving air quality. Combustion air humidification technology is gradually being used to reduce NOx emissions. However, the NOx emission reduction effect of gas boilers at a higher combustion air humidity has been studied less. A flue gas with low NOx emissions and a waste heat recovery system using combustion air humidification technology are proposed in this study. In the ultra-low NOx mode, the effect of high combustion air humidity on NOx emission reduction and efficiency of the gas boiler were studied experimentally. In the waste heat recovery mode, the effects of the heat network backwater temperature on the NOx emission reduction and system efficiency were studied experimentally. Results showed that an increase in air humidity can significantly reduce the NOx concentration formed by combustion. The ultra-low NOx mode reduces NOx emissions from 130 mg/m3 to 23.3 mg/m3 and affects the boiler efficiency slightly. In the waste heat recovery mode, NOx emissions can be reduced to 39.9 mg/m3 when the backwater temperature of the heat network is 55 °C. This condition improves the efficiency to 93.8%. The analysis results provide suggestions for the selection of the operation modes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","Process and Energy","","","",""
"uuid:6099cc55-2f01-410a-b52e-24e173e28c0e","http://resolver.tudelft.nl/uuid:6099cc55-2f01-410a-b52e-24e173e28c0e","Tailoring the flow properties of inhaled micronized drug powders by atomic and molecular layer deposition","Zhang, F. (TU Delft ChemE/Product and Process Engineering; Qingyuan Innovation Laboratory, Quanzhou); Wu, K. (TU Delft ChemE/Product and Process Engineering); La Zara, D. (TU Delft ChemE/Product and Process Engineering); Sun, F. (TU Delft ChemE/Product and Process Engineering); Quayle, Michael J. (Operations); Petersson, Gunilla (Operations); Folestad, Staffan (Operations); Chew, Jia Wei (Nanyang Technological University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2023","For dry powder inhaled formulations, good flow behaviour is vital in re-dispersing the powder. However, inhaled drug powders with a particle size below 10 µm are classified as highly cohesive materials with poor flow characteristics. Here we demonstrate how to alter the flow properties of micronized budesonide powders by depositing different materials (organic, inorganic, and hybrid organic–inorganic) in the forms of nanoscale films onto the drug particles using atomic/molecular layer deposition (ALD/MLD) coatings. The angle of repose (static) and pneumatic delivery measurements were performed to access the flow characteristics. The flowability can be effectively improved with the growth of inorganic nanofilm (SiO2, TiO2, or Al2O3) via ALD and hybrid nanofilm (titanicone) via combined ALD-MLD coating. This improvement is reflected by the decrease in the angle of repose and minimum pick-up velocity (Upu), as well as promoting the pneumatic delivery of a much larger amount of drug powders after ALD or hybrid coating. In contrast, the organic PET coated budesonide via MLD exhibits comparable poor flow characteristics as the uncoated budesonide. Rather than being transported in individual particles, the uncoated or PET-coated budesonide powders are pneumatically delivered in form of complex clusters with a size of over 500 μm, whereas the ALD budesonide is dispersed in form of small agglomerates (<100 μm). Despite the difference in agglomerate size, entraining behaviors of all samples agree well with the prediction of Kalman's pick-up Zone I correlation. The inorganic nanofilm deposited via ALD alters the surface chemistry to reduce the inter-particle forces measured by atomic force microscopy, giving rise to an improved drug delivery performance. Nanoscale surface modification of dry powder particles has good potential for inhaled drug delivery enhancement.","atomic layer deposition; drug powders; flowability; molecular layer deposition","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:b9fca67e-86c4-4d0f-89f8-44ed6853dcb5","http://resolver.tudelft.nl/uuid:b9fca67e-86c4-4d0f-89f8-44ed6853dcb5","Advanced Composites Inspired by Biological Structures and Functions in Nature: Architecture Design, Strengthening Mechanisms, and Mechanical-Functional Responses","Dai, Hanqing (Fudan University); Dai, Wenqing (Shanghai Jiao Tong University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Guo, Ruiqian (Fudan University)","","2023","The natural design and coupling of biological structures are the root of realizing the high strength, toughness, and unique functional properties of biomaterials. Advanced architecture design is applied to many materials, including metal materials, inorganic nonmetallic materials, polymer materials, and so on. To improve the performance of advanced materials, the designed architecture can be enhanced by bionics of biological structure, optimization of structural parameters, and coupling of multiple types of structures. Herein, the progress of structural materials is reviewed, the strengthening mechanisms of different types of structures are highlighted, and the impact of architecture design on the performance of advanced materials is discussed. Architecture design can improve the properties of materials at the micro level, such as mechanical, electrical, and thermal conductivity. The synergistic effect of structure makes traditional materials move toward advanced functional materials, thus enriching the macroproperties of materials. Finally, the challenges and opportunities of structural innovation of advanced materials in improving material properties are discussed.","architecture; bionics; composites; structure properties","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a233862d-9685-4eb0-b9ef-634f7f100a7e","http://resolver.tudelft.nl/uuid:a233862d-9685-4eb0-b9ef-634f7f100a7e","Experimental study on the development mechanism of short pitch corrugation using a downscale V-Track test rig","Zhang, P. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","This paper presents an experimental study on the development mechanism of short pitch corrugation using an innovative downscale V-Track test rig. Rail corrugation is reproduced in the V-Track with two wavelengths of 6.4 mm and 8.9 mm. Based on the measured wheel-rail contact forces, track dynamic behaviors, and observations, the consistent development mechanisms of short pitch corrugation are identified. It is found the longitudinal mode at 574 Hz and the induced longitudinal dynamic force are dominant for corrugation formation at the major wavelength of 6.4 mm. This paper provides the first experimental evidence indicating that rail longitudinal vibration mode and its induced longitudinal force contribute to the consistent development of short pitch corrugation.","Rail vibration modes; Short pitch corrugation; V-Track test rig; Wheel-rail dynamic contact forces","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:f004ee9f-575d-409e-8602-8aa32a97dd95","http://resolver.tudelft.nl/uuid:f004ee9f-575d-409e-8602-8aa32a97dd95","Plastic waste discharge to the global ocean constrained by seawater observations","Zhang, Yanxu (Nanjing University); Wu, Peipei (Nanjing University); Xu, Ruochong (Nanjing University); Wang, Xuantong (Nanjing University); Lei, Lili (Nanjing University); Schartup, Amina T. (University of California); Peng, Yiming (Nanjing University); Pang, Qiaotong (Nanjing University); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2023","Marine plastic pollution poses a potential threat to the ecosystem, but the sources and their magnitudes remain largely unclear. Existing bottom-up emission inventories vary among studies for two to three orders of magnitudes (OMs). Here, we adopt a top-down approach that uses observed dataset of sea surface plastic concentrations and an ensemble of ocean transport models to reduce the uncertainty of global plastic discharge. The optimal estimation of plastic emissions in this study varies about 1.5 OMs: 0.70 (0.13–3.8 as a 95% confidence interval) million metric tons yr−1 at the present day. We find that the variability of surface plastic abundance caused by different emission inventories is higher than that caused by model parameters. We suggest that more accurate emission inventories, more data for the abundance in the seawater and other compartments, and more accurate model parameters are required to further reduce the uncertainty of our estimate.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:d3462af6-2b21-4309-8cdf-6f325db72572","http://resolver.tudelft.nl/uuid:d3462af6-2b21-4309-8cdf-6f325db72572","Life cycle assessment of stone buildings in the Taihang mountains of Hebei province: Evolution towards cleaner production and operation","Long, Lingege (Beijing University of Civil Engineering & Architecture; Politecnico di Milano); Li, Qingxiang (Politecnico di Milano); Gan, Zhenkun (Beijing University of Civil Engineering & Architecture); Mu, Jun (Beijing University of Civil Engineering & Architecture); Overend, M. (TU Delft Architectural Technology); Zhang, Dayu (Beijing University of Civil Engineering & Architecture)","","2023","The building sector has gained significant attention due to its remarkably high energy consumption and greenhouse gas emissions. In China's rural areas, stone is a popular building material, but there are unprecedented demands to improve the life-cycle performance of stone buildings. It is essential to preserve the original architectural features while evolving towards a cleaner production and operation. This study implements a field survey in the Taihang Mountains of Hebei province. The improvement of stone extraction methods and the evolution of three stone wall styles are collected and developed. Thermal transmittances of three stone walls are measured and modeled. A cradle-to-grave life cycle assessment is conducted, and the results are compared to show their environmental performance in the embodiment and operation phases. Their life cycle inventories, including stone extraction, are developed. One representative building style sample is developed for the cooling and heating energy requirement simulation in the DesignBuilder. Based on the inventories, conducting life cycle impact assessment shows various environmental profiles in their whole life cycles. From the outcomes, the stone cladding wall (SCW) outperforms the other stone walls in both the embodiment and operation phases. However, its relatively high cost is a challenge for an individual house owner. This study proves the SCW is more sustainable, providing a basis for the choice of stone wall style in the future construction.","Building energy; Human labor; Life cycle assessment; Stone building; Stone extraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Architectural Technology","","",""
"uuid:0343a8a1-822a-4ecc-9387-bb386edabb2d","http://resolver.tudelft.nl/uuid:0343a8a1-822a-4ecc-9387-bb386edabb2d","An Efficient Simulation Approach for Long-term Assessment of CO2 Storage in Complex Geological Formations","Zhang, Z. (TU Delft Numerical Analysis); Wang, Y. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics); Hajibeygi, H. (TU Delft Reservoir Engineering)","","2023","We present an efficient compositional framework for simulation of CO2 storage in saline aquifers with complex geological geometries during a lifelong injection and migration process. To improve the computation efficiency, the general framework considers the essential hydrodynamic physics, including hysteresis, dissolution and capillarity, by means of parameterized space. The parameterization method translates physical models into parameterized spaces during an offline stage before simulation starts. Among them, the hysteresis behavior of constitutive relations is captured by the surfaces created from bounding and scanning curves, on which relative permeability and capillarity pressure are determined directly with a pair of saturation and turning point values. The new development also allows for simulation of realistic reservoir models with complex geological features. The numerical framework is validated by comparing simulation results obtained from the Cartesian-box and the converted corner-point grids of the same geometry, and it is applied to a field-scale reservoir eventually. For the benchmark problem, the CO2 is injected into a layered formation. Key processes such as accumulation of CO2 under capillarity barriers, gas breakthrough and dissolution, are well captured and agree with the results reported in literature. The roles of various physical effects and their interactions in CO2 trapping are investigated in a realistic reservoir model using the corner-point grid. It is found that dissolution of CO2 in brine occurs when CO2 and brine are in contact. The effect of residual saturation and hysteresis behavior can be captured by the proposed scanning curve surface in a robust way. The existence of capillarity causes less sharp CO2-brine interfaces by enhancing the imbibition of the brine behind the CO2 plume, which also increases the residual trapping. Moreover, the time-dependent characteristics of the trapping amount reveals the different time scales on which various trapping mechanisms (dissolution and residual) operate and the interplay. The novelty of the development is that essential physics for CO2 trapping are considered by the means of parameterized space. As it is implemented on corner-point grid geometries, it casts a promising approach to predict the migration of CO2 plume, and to assess the amount of CO2 trapped by different trapping mechanisms in realistic field-scale reservoirs.","","en","conference paper","Society of Petroleum Engineers","","","","","","","","","","Numerical Analysis","","",""
"uuid:408eae9f-2fc9-49f5-8fda-43635d0abd16","http://resolver.tudelft.nl/uuid:408eae9f-2fc9-49f5-8fda-43635d0abd16","Recognition of Unsafe Onboard Mooring and Unmooring Operation Behavior Based on Improved YOLO-v4 Algorithm","Zhao, Changjiu (Dalian Maritime University; Dalian University); Zhang, Wenjun (Dalian Maritime University; Dalian University); Chen, C.Y. (TU Delft Transport Engineering and Logistics); Yang, Xue (Dalian Maritime University; Dalian University); Yue, Jingwen (Dalian Maritime University; Dalian University); Han, Bing (Shanghai Ship and Shipping Research Institute Co.; Minjiang University)","","2023","In the maritime industry, unsafe behaviors exhibited by crew members are a significant factor contributing to shipping and occupational accidents. Among these behaviors, unsafe operation of mooring lines is particularly prone to causing severe accidents. Video-based monitoring has been demonstrated as an effective means of detecting these unsafe behaviors in real time and providing early warning to crew members. To this end, this paper presents a dataset comprising videos of unsafe mooring line operations by crew members on the M.V. YuKun. Additionally, we propose an unsafe behavior recognition model based on the improved You Only Look Once (YOLO)-v4 network. Experimental results indicate that the proposed model, when compared to other models such as the original YOLO-v4 and YOLO-v3, demonstrates a significant improvement in recognition speed by approximately 35% while maintaining accuracy. Additionally, it also results in a reduction in computation burden. Furthermore, the proposed model was successfully applied to an actual ship test, which further verifies its effectiveness in recognizing unsafe mooring operation behaviors. Results of the actual ship test highlight that the proposed model’s recognition accuracy is on par with that of the original YOLO-v4 network but shows an improvement in processing speed by 50% and a reduction in processing complexity by about 96%. Hence, this work demonstrates that the proposed dataset and improved YOLO-v4 network can effectively detect unsafe mooring operation behaviors and potentially enhance the safety of marine operations.","maritime safety; Mobilenet-v3; unsafe behavior; YOLO-v4","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:222ff7c1-4fec-486b-ac15-7413832fd32c","http://resolver.tudelft.nl/uuid:222ff7c1-4fec-486b-ac15-7413832fd32c","Flexible time–space network formulation and hybrid metaheuristic for conflict-free and energy-efficient path planning of automated guided vehicles","Xin, Jianbin (Zhengzhou University); Wei, Liuqian (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Zhang, Fangfang (Zhengzhou University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2023","Operations of Automated Guided Vehicles (AGVs) are desired to be more energy-efficient while maintaining high transport productivity, motivated by the green production requirements. This paper investigates a new energy-efficient planning problem for determining conflict-free paths of the AGVs in its transport roadmap. In this problem, the vehicle path and transport time in the roadmap are jointly optimized, based on a flexible time–space network (FTSN). We provide the mathematical problem formulation of the energy-efficient path planning problem. The resulting optimization problem is proved to be a non-convex mixed-integer nonlinear programming which is computationally intractable. We further propose a hybrid metaheuristic that integrates the genetic algorithm and estimation of the distribution algorithm to improve its computational efficiency. Numerical results show the effectiveness of the developed algorithm based on the FTSN framework, compared to the existing metaheuristics, the conventional path planning method, and a commercial solver. The proposed method has a wide application in improving energy use of material handling, providing a guiding significance on promoting cleaner production of flexible manufacturing systems.","Automated guided vehicles; Energy efficiency; Flexible time–space network model; Hybrid metaheuristic","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-06","","","Transport Engineering and Logistics","","",""
"uuid:aa1468f5-123d-4599-a7f0-f251cac158d3","http://resolver.tudelft.nl/uuid:aa1468f5-123d-4599-a7f0-f251cac158d3","Identification and degradation of structural extracellular polymeric substances in waste activated sludge via a polygalacturonate-degrading consortium","Hu, Zhi Yi (Fuzhou University); Lin, Yi Peng (Fuzhou University); Wang, Qing Ting (Fuzhou University); Zhang, Yi Xin (Fuzhou University); Tang, Jie (Fuzhou University); Wang, Shuai (Fuzhou University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Zeng, Raymond Jianxiong (Fuzhou University); Zhang, Fang (Fuzhou University)","","2023","By maintaining the cell integrity of waste activated sludge (WAS), structural extracellular polymeric substances (St-EPS) resist WAS anaerobic fermentation. This study investigates the occurrence of polygalacturonate in WAS St-EPS by combining chemical and metagenomic analyses that identify ∼22% of the bacteria, including Ferruginibacter and Zoogloea, that are associated with polygalacturonate production using the key enzyme EC 5.1.3.6. A highly active polygalacturonate-degrading consortium (GDC) was enriched and the potential of this GDC for degrading St-EPS and promoting methane production from WAS was investigated. The percentage of St-EPS degradation increased from 47.6% to 85.2% after inoculation with the GDC. Methane production was also increased by up to 2.3 times over a control group, with WAS destruction increasing from 11.5% to 28.4%. Zeta potential and rheological behavior confirmed the positive effect which GDC has on WAS fermentation. The major genus in the GDC was identified as Clostridium (17.1%). Extracellular pectate lyases (EC 4.2.2.2 and 4.2.2.9), excluding polygalacturonase (EC 3.2.1.15), were observed in the metagenome of the GDC and most likely play a core role in St-EPS hydrolysis. Dosing with GDC provides a good biological method for St-EPS degradation and thereby enhances the conversion of WAS to methane.","Anaerobic digestion; Clostridium; Pectate lyases; Polygalacturonate-degrading consortium; Structural extracellular polymeric substances; Waste activated sludge","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","BT/Environmental Biotechnology","","",""
"uuid:a2822a9b-6b3b-4c77-8823-a01115c4c388","http://resolver.tudelft.nl/uuid:a2822a9b-6b3b-4c77-8823-a01115c4c388","Autogenous deformation induced- stress evolution in high-volume GGBFS concrete: Macro-scale behavior and micro-scale origin","Liang, M. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Cheng, H. (TU Delft Concrete Structures); He, S. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","This study aims to experimentally investigate the autogenous deformation and the stress evolution in restrained high-volume ground granulated blast furnace slag (GGBFS) concrete. The Temperature Stress Testing Machine (TSTM) and Autogenous Deformation Testing Machine (ADTM) were used to study the macro-scale autogenous deformation and stress evolution of high-volume GGBFS concrete with w/b ratios of 0.35, 0.42, and 0.50. The early-age cracking (EAC) risk (quantified by stress-strength ratio) and stress relaxation were analyzed extensively based on ADTM and TSTM results. Furthermore, Environmental Scanning Electron Microscopy (ESEM), X-ray Diffraction (XRD), and Mercury Intrusion Porosimetry (MIP) were conducted to explore the micro-scale origin of the autogenous deformation of high-volume GGBFS concrete, which supports the observations on the macroscale measurement of TSTM/ ADTM tests. This study finds that the ettringite formation in the first two days results in autogenous expansion, which can delay the appearance of tensile stress. The magnitude of autogenous expansion depends on the compatibility of ettringite content and pore size. The w/b ratio of 0.42 turns out to be optimal because it produces the highest amount of ettringite and results in the highest autogenous expansion. In comparison, the w/b ratio of 0.35 introduces significant autogenous shrinkage after the expansion peak and therefore corresponds to a high early-age cracking risk.","Autogenous deformation; Early-age cracking; GGBFS concrete; Stress evolution","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c77297dd-8cad-4769-b779-7cbaa21b2554","http://resolver.tudelft.nl/uuid:c77297dd-8cad-4769-b779-7cbaa21b2554","Can superabsorbent polymers be used as rheology modifiers for cementitious materials in the context of 3D concrete printing?","Chen, Y. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Li, Z. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Autogenous shrinkage may be a critical issue concerning the use of limestone-calcined clay-cement (LC3) in high-performance concrete and 3D printable cementitious materials, which have relatively low water to binder (W/B) ratio. Adding an internal curing agent, i.e., superabsorbent polymer (SAP), could be a viable solution in this context. However, employing SAP (without adding additional water) may also influence the fresh properties of LC3 composites by increasing yield stress and viscosity, which may be beneficial for 3D printability. Therefore, this study attempts to use SAP as a rheology modifying admixture with the aim of investigating the impact of SAP on flow behavior, structural build-up, hydration kinetics, compressive strength, and autogenous shrinkage of LC3 pastes with a fixed W/B (0.3). In addition, hydroxypropyl methylcellulose (a typical rheology/viscosity modifier in 3D printable cementitious materials) was also employed in two mixtures to compare their effects. Results show that adding SAP increases the dynamic yield stress and the apparent viscosity, as well as structural build-up and hydration, but decreases the compressive strength at 3, 7 and 28 days. Furthermore, using SAP (especially 0.2 wt% SAP) not only promotes the early-age expansion but also effectively mitigates the autogenous shrinkage of LC3 pastes for up to 7 days. Overall, the obtained results indicated that SAP could act as a promising rheology modifier for the development of 3D printable cementitious materials.","Autogenous shrinkage; Hydration kinetics; Limestone-calcined clay-cement; Rheology modifier; Structural build-up; Superabsorbent polymer","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:1a5cfcd2-467e-485f-85a5-2d1ba69ae781","http://resolver.tudelft.nl/uuid:1a5cfcd2-467e-485f-85a5-2d1ba69ae781","Recent advances and perspectives in GNSS PPP-RTK","Hou, P. (TU Delft Mathematical Geodesy and Positioning; University of Chinese Academy of Sciences; Chinese Academy of Sciences); Zha, Jiuping (Chinese Academy of Sciences); Liu, Teng (Chinese Academy of Sciences; Hubei Luojia Laboratory); Zhang, Baocheng (Chinese Academy of Sciences; (German) International Science and Technology Cooperative Offshore Center for Geodesy Frontier Technology)","","2023","Precise point positioning-real-time kinematic (PPP-RTK), otherwise known as integer ambiguity resolution-enabled precise pointing positioning, has attracted much attention in recent years and has become state-of-the-art in the global navigation satellite system (GNSS) high-precision positioning community. This work reviews several PPP-RTK methods, outlines a set of PPP-RTK applications, and presents possible future developments. According to the parameterization considered, we clarify the PPP-RTK models into a distinct-clock category and two common-clock categories (common-clock-1 and common-clock-2), in which several ionosphere-free PPP-RTK models can be cast. Compared with the ionosphere-free PPP-RTK model, we emphasize the advantages of the undifferenced and uncombined (UDUC) formulation and recommend the common-clock-1 UDUC PPP-RTK model since it is optimal, flexible, and widely applicable. Based on what kinds of parameters can be estimated by PPP-RTK models, we outline the PPP-RTK applications in several aspects, including position-based applications, time transfer, atmospheric retrieval, and GNSS bias estimation. Despite the huge advances in GNSS PPP-RTK, future research should improve PPP-RTK performances in harsh environments and apply PPP-RTK to mass markets.","common-clock model; distinct-clock model; global navigation satellite system (GNSS); integer ambiguity resolution-enabled precise point positioning; ionosphere-free PPP-RTK; PPP-RTK; undifferenced and uncombined (UDUC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-14","","","Mathematical Geodesy and Positioning","","",""
"uuid:30ca3257-ec6c-450a-896a-7ba54ab1c857","http://resolver.tudelft.nl/uuid:30ca3257-ec6c-450a-896a-7ba54ab1c857","Engineering a Highly Regioselective Fungal Peroxygenase for the Synthesis of Hydroxy Fatty Acids","Gomez de Santos, Patricia (Evoenzyme S.L., Madrid); González-Benjumea, Alejandro (CSIC - Instituto de Recursos Naturales y Agrobiologia de Sevilla (IRNAS)); Fernandez-Garcia, Angela (Institute of Catalysis, CSIC, Madrid); Aranda, Carmen (CSIC - Instituto de Recursos Naturales y Agrobiologia de Sevilla (IRNAS)); Wu, Y. (TU Delft BT/Biocatalysis); But, A. (TU Delft BT/Biocatalysis); Molina-Espeja, Patricia (Institute of Catalysis, CSIC, Madrid); Zhang, W. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2023","The hydroxylation of fatty acids is an appealing reaction in synthetic chemistry, although the lack of selective catalysts hampers its industrial implementation. In this study, we have engineered a highly regioselective fungal peroxygenase for the ω-1 hydroxylation of fatty acids with quenched stepwise over-oxidation. One single mutation near the Phe catalytic tripod narrowed the heme cavity, promoting a dramatic shift toward subterminal hydroxylation with a drop in the over-oxidation activity. While crystallographic soaking experiments and molecular dynamic simulations shed light on this unique oxidation pattern, the selective biocatalyst was produced by Pichia pastoris at 0.4 g L−1 in a fed-batch bioreactor and used in the preparative synthesis of 1.4 g of (ω-1)-hydroxytetradecanoic acid with 95 % regioselectivity and 83 % ee for the S enantiomer.","Hydroxy Fatty Acids; Over-Oxidation; Protein Engineering; Regioselectivity; Unspecific Peroxygenase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:91d656b5-a6d5-44da-adae-9715813bfc2f","http://resolver.tudelft.nl/uuid:91d656b5-a6d5-44da-adae-9715813bfc2f","Coupling model of electromigration and experimental verification – Part I: Effect of atomic concentration gradient","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper presented integrated electromigration (EM) studies through experiment, theory, and simulation. First, extensive EM tests were performed using Blech and standard wafer-level electromigration acceleration test (SWEAT)-like structures, which were fabricated on four-inch wafers. Second, a molecular dynamics (MD) simulation-based diffusion-induced strain was incorporated into the existing coupled theory. Third, one-dimensional (1D) governing equations in terms of atomic concentration for un-passivated and passivated configurations were derived for void formation and growth, using a modified Eshelby's solution to consider the effect of passivation. Fourth, a systematic approach was established, including theoretical formulations and experimental methods, to obtain key material properties, i.e., critical atomic concentration and diffusivity. We then determined the material's properties from a specific set of experimental data, using aluminium (Al) as a carrier for demonstration. These properties were then used to predict the time to failure and void growth under various conditions. The theoretical results agreed well with the experimental data. Moreover, we theoretically determined the critical threshold products of current density and conductor length for the un-passivated and passivated configurations, respectively. Both experiment and theory showed that, in the absence of mechanical stress in un-passivated configurations, the atomic self-diffusion, which was opposite to electron wind, was significant in resisting EM development. However, when mechanical stress was present, such as in passivated configurations, stress migration played a dominant role in resisting EM development. Our numerical results showed that the current density exponent n in Black's law remained as 2 in the range of the current density greater than 0.2 MA/cm2 and rapidly approached infinity at a low level of current density.","Critical atomic concentration; Diffusion-induced strain; Electromigration; Molecular dynamics; Threshold product","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:3b66232d-adca-4c4a-97e0-5e0df1d061b8","http://resolver.tudelft.nl/uuid:3b66232d-adca-4c4a-97e0-5e0df1d061b8","Coupling model of electromigration and experimental verification – Part II: Impact of thermomigration","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper presented a comprehensive experimental and simulation study for thermomigration (TM) accompanying electromigration (EM) at elevated current densities. Both Blech and standard wafer-level electromigration acceleration test (SWEAT)-like test structures, with aluminum (Al) as a carrier, were used for testing and analysis. In Part I of our study (Cui et al., 2023a), the experimental and numerical results with the current density of 1 MA/cm2 were presented. We observed that Al stripes with a SWEAT structure did not show damage in the entire length, while Blech structures showed void and hillock formations only at the cathode and anode, respectively. The temperature gradient owing to Joule heating was neglected in our previous simulations, and the predicted results agreed well with the experimental observations. However, we have not theoretically verified the effect of the temperature gradient. In this paper, we first reported the new experimental data under the elevated current densities of 3 and 5 MA/cm2. In both Blech and SWEAT structures, the spreading of voids in the middle region of conductors was observed. Moreover, in Blech structures, voiding in the middle region occurred after a period of time when voids/hillocks were formed at the cathode and anode, while the SWEAT structures did not show damage at the two ends. Next, based on the coupled 3D theory (Cui et al., 2023a), new analytical one-dimensional (1D) solutions were derived for the Blech and SWEAT structures in the un-passivated configuration considering TM. We found that TM played a significant role in the EM development in the middle of conductors under the elevated current density. The numerical results were in excellent agreement with the experimental data with the consideration of TM. We further established new EM failure's threshold criteria for the SWEAT structures in the form of the product of current density and square of conductor length. This is a major departure from the original Blech's theory in which only mechanical stress gradient was considered. We also studied the acceleration factor of the current density exponent and presented an insight into failure mechanisms associated with TM.","Acceleration factor; Electromigration; Joule heating; SWEAT structure; Temperature gradient; Thermomigration; Threshold product","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:24ffa187-5256-4a0d-bf5d-2762edd9fb89","http://resolver.tudelft.nl/uuid:24ffa187-5256-4a0d-bf5d-2762edd9fb89","On the application of strong thermoplastic–thermoset interactions for developing advanced aerospace-composite joints","Quan, D. (Shandong University); Ma, Yannan (Shandong University); Yue, Dongsheng (Shandong University); Liu, Jiaming (Shandong University); Xing, Jun (Qingdao University of Science and Technology); Zhang, Mingming (Qingdao University of Science and Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Zhao, Guoqun (Shandong University)","","2023","In this study, an aerospace thermosetting composite was co-curing joined by Polyether-ether-ketone (PEEK) and Polyethylenimine (PEI) films, with an aim of developing advanced composite joints. The semi-crystalline PEEK films were surface activated upon a UV-irradiation technique to obtain a strong film–composite interface, while the amorphous PEI films could be directly used. The fracture behaviour of the composite joints was evaluated and compared with benchmark aerospace adhesive joints. The experimental results proved remarkable mode-I and mode-II fracture resistance of the PEEK co-cured joints at 22 °C and 130 °C, while the PEI co-cured joints exhibited excellent mode-I fracture resistance at 22 °C and mode-II fracture resistance in both testing temperature cases. Extensive elongation, tearing and fracture of the PEEK/PEI plastics were proved to be the main mechanisms for toughness enhancement. Overall, this work had successfully demonstrated the effectiveness of developing advanced composite joints via a co-curing process using high-performance thermoplastic films.","Co-curing joining; Composite joint; Fracture behaviour; Thermoplastic–thermoset interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Structural Integrity & Composites","","",""
"uuid:8d6daac3-c50c-4376-80b3-73c9521d7bb8","http://resolver.tudelft.nl/uuid:8d6daac3-c50c-4376-80b3-73c9521d7bb8","Microfabricated albedo insensitive sun position sensor system in silicon carbide with integrated 3D optics and CMOS electronics","Romijn, J. (TU Delft Microelectronics); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); de Bie, Vincent G. (Eindhoven University of Technology); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Leijtens, J.A.P. (Lens R&D); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2023","The next generation of satellites will need to tackle tomorrow's challenges for communication, navigation and observation. In order to do so, it is expected that the amount of satellites in orbit will keep increasing, form smart constellations and miniaturize individual satellites to make access to space cost effective. To enable this next generation of activities in space, it is vital to ensure the ability of these satellites to properly navigate themselves. This control starts with attitude measurement by the dedicated sensors on the satellite, commonly performed by sun position sensors. The state-of-the art is confronted by large signal distortions caused by light reflected by the Earth's albedo as well as keeping up with the satellite miniaturization trend. This work aims to address both these issues, by presenting a microfabricated albedo insensitive sun position sensor in silicon carbide with wafer-level integrated optics. The presented 10 mm×10 mm×1 mm system reaches a mean angular accuracy of 5.7° in a ±37° field-of-view and integrates an on-chip temperature sensor with a -3.9 mV K−1 sensitivity in the 20 °C to 200 °C range.","4H-SiC; Integrated optics; Optical sensors; Silicon carbide; Space instrumentation; Sun position sensors; Thermocompressive bonding; UV sensors; Wafer-level packaging; Wide bandgap","en","journal article","","","","","","","","","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:b117e566-b049-4766-92f9-309970f6bd7d","http://resolver.tudelft.nl/uuid:b117e566-b049-4766-92f9-309970f6bd7d","Integrating the flow regime and water quality effects into a niche-based metacommunity dynamics model for river ecosystems","Wang, Yibo (Wuhan University); Liu, Pan (Wuhan University); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Li, Liping (Changjiang Water Resources Commission); Wu, Chen (Wuhan University); Han, Dongyang (Wuhan University); Zhang, Xiaojing (Wuhan University); Yang, Zhikai (Wuhan University); Yang, Sheng (China Energy Science and Technology Research Institute Co.,Ltd)","","2023","Aquatic community dynamics are closely dominated by flow regime and water quality conditions, which are increasingly threatened by dam regulation, water diversion, and nutrition pollution. However, further understanding of the ecological impacts of flow regime and water quality conditions on aquatic multi-population dynamics has rarely been integrated into existing ecological models. To address this issue, a new niche-based metacommunity dynamics model (MDM) is proposed. The MDM aims to simulate the coevolution processes of multiple populations under changing abiotic environments, pioneeringly applied to the mid-lower Han River, China. The quantile regression method was used for the first time to derive ecological niches and competition coefficients of the MDM, which are demonstrated to be reasonable by comparing them with the empirical evidence. Simulation results show that the Nash efficiency coefficients for fish, zooplankton, zoobenthos, and macrophytes are more than 0.64, while the Pearson correlation coefficients for them are no less than 0.71. Overall, the MDM performs effectively in simulating metacommunity dynamics. For all river stations, the average contributions of biological interaction, flow regime effects, and water quality effects to multi-population dynamics are 64%, 21%, and 15%, respectively, suggesting that the population dynamics are dominated by biological interaction. For upstream stations, the fish population is 8%–22% more responsive to flow regime alteration than other populations, while other populations are 9%–26% more responsive to changes in water quality conditions than fish. For downstream stations, flow regime effects on each population account for less than 1% due to more stable hydrological conditions. The innovative contribution of this study lies in proposing a multi-population model to quantify the effects of flow regime and water quality on aquatic community dynamics by incorporating multiple indicators of water quantity, water quality, and biomass. This work has potential for the ecological restoration of rivers at the ecosystem level. This study also highlights the importance of considering threshold and tipping point issues when analyzing the “water quantity-water quality-aquatic ecology” nexus in future works.","Ecological niche; Flow regime; Metacommunity dynamics; Quantile regression; River ecosystem; Water quality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-11","","","Water Resources","","",""
"uuid:6c834632-aec4-418b-bc3e-cf70cd084255","http://resolver.tudelft.nl/uuid:6c834632-aec4-418b-bc3e-cf70cd084255","Effect of P2O5 incorporated in slag on the hydration characteristics of cement-slag system","Zhang, Y. (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","This paper presents the influence of P2O5 incorporated in slag on the hydration characteristics of cement-slag system. It was found that the gradual addition of phosphorus oxide in slag did not change overall mineralogy of the hydration products. Except hydration retardation in the dormant stage, chemically bound water and portlandite contents, hydration degree of slag, and pore structure at all investigated ages were similar among cement-slag pastes with different P2O5 percentages. Furthermore, significantly higher amount of monosulfate was observed as the P2O5 content in slag increased. In addition, a higher Al/Si atomic ratio was measured in the C-S(A)-H gel phase formed in the cement matrix. However, similar Ca/Si atomic ratio of C-S(A)-H gel phase and Mg/Al atomic ratio of hydrotalcite-like phase were determined in all slag pastes, irrespective of the addition of P2O5. In contrast to magnesium ion which was retained within the original slag boundary, phosphorus ions could migrate into cement matrix. Therefore, P/Si atomic ratio of the C-S-H gel phase increased with the increasing phosphorus oxide content in slag, reaching up to ∼0.08.","Cement-slag system; Hydration products; PO; Synthetic slag","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:876baca5-3797-40c0-a3ba-94a9146c4cfd","http://resolver.tudelft.nl/uuid:876baca5-3797-40c0-a3ba-94a9146c4cfd","Some Critical Reflections on the SEM-EDS Microanalysis of the Hydrotalcite-like Phase in Slag Cement Paste","Zhang, Y. (TU Delft Materials and Environment); Saravanakumar, Karthikeyan (Student TU Delft); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","For a better understanding of the hydrotalcite-like phase with SEM-EDS microanalysis, the present research paid special attention to the data acquisition and interpretation of this technique. A lower Mg/Al ratio was obtained when using a higher accelerating voltage, and a beam energy of 10 kV was more appropriate than 15 kV for investigation when the slag rim was thin, to compromise to meet the requirements of obtaining an adequate overvoltage ratio and minimizing the interference. Additionally, it was noted that the Mg/Al ratio decreased from zones rich in hydrotalcite-like phase to zones rich in the C−S−H gel phase, and indiscriminately fitting scatter points selected from the slag rim would bias the Mg/Al ratio of the hydrotalcite-like phase. According to the standard-based microanalysis, it was concluded that the analysis total of the hydrates within the slag rim was in the range of 30–40%, lower than that located in the cement matrix. Besides the water chemically bound in the C−S−H gel phase, the hydrotalcite-like phase also contained a certain amount of hydroxide ions and chemically bound water.","hydrotalcite-like phase; accelerating voltage; standard-based and standardlessmicroanalysis; compositional zonation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6d13af6c-d457-43eb-9c5c-7710d5dc9bd9","http://resolver.tudelft.nl/uuid:6d13af6c-d457-43eb-9c5c-7710d5dc9bd9","Predicting micromechanical properties of cement paste from backscattered electron (BSE) images by computer vision","Liang, M. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Gan, Yidong (Huazhong University of Science and Technology); Zhang, Hongzhi (Shandong University); Chang, Z. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","This paper employs computer vision techniques to predict the micromechanical properties (i.e., elastic modulus and hardness) of cement paste based on an input of Backscattered Electron (BSE) images. A dataset comprising 40,000 nanoindentation tests and 40,000 BSE micrographs was built by express nanoindentation test and Scanning Electron Microscopy (SEM). A Residual Convolutional Neural Network (Res-Net) model, which differs from a typical Convolutional Neural Network (CNN) architecture by a shortcut connection, was employed and compared with a simple table model. The models were trained, tuned, and tested over a training, validation and testing set comprising 70%, 15% and 15% of the 40,000 data pairs, respectively. The following conclusions were drawn: 1) Express nanoindentation tests can provide reliable information for cement paste. Deconvolution based on Gaussian Mixture Model (GMM) can obtain almost invariant statistics for each phase; 2) Based on averaged greyscale values of each BSE image, a table model can predict the elastic modulus and hardness with R2 of 0.80 and 0.83, respectively; 3) Based on the intensity of each pixel as well as their patterns in each BSE image, the Res-Net model can predict the elastic modulus and hardness with a R2 of 0.85 and 0.88, respectively. Deconvolution of the Res-Net prediction obtains similar invariant statistics as derived by the nanoindentation tests, which gives strong evidence of the applicability of the Res-Net model.","BSE; Cement paste; Computer vision; Elastic modulus; Express nanoindentation test; Hardness; Res-Net","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8e299658-4bc6-4627-bab7-3985684bea05","http://resolver.tudelft.nl/uuid:8e299658-4bc6-4627-bab7-3985684bea05","Modelling preferential flow induced by dynamic changes of desiccation cracks: A comparative numerical study","Luo, Y. (TU Delft Water Resources; China University of Geosciences, Wuhan); Zhang, Jiaming (China University of Geosciences, Wuhan); Zhou, Zhi (Hubei University Of Economics); Victor, Chikhotkin (China University of Geosciences, Wuhan)","","2023","Quantitative investigation on the preferential flow induced by desiccation cracks (PF-DC) remains a great challenge due to the soil shrinking-swelling behavior. This work presents a series of comparative numerical studies to investigate the accuracy and substitutability of different models in simulating the water flux, hydrological response and crack evolution induced by PF-DC. As a comparative study, an effective dynamic dual-permeability model (DDPM) we recently developed and validated was regarded as a benchmark model. Three numerical experiments were conducted to (i) compare the difference among the single-domain model (SDM), rigid dual-permeability model (RDPM) and DDPM; (ii) test the sensitivity of the DDPM to the shrinking-swelling parameters; (iii) test the rationality of a “lighter” dynamic DPM (LDPM) only considering the proportion changes of each domain while neglecting the variation of hydraulic properties. The results showed that compared to the DDPM, the SDM overestimated the water content under low-rainfall intensity while underestimating the water content under high-intensity rainfall and failed to capture the early increase of water content in deep soils induced by PF-DC. The RDPM greatly overestimated the total water content and water storage capacity of the crack domain, which was not suggested to be used in the surface runoff or flood forecast. The DDPM is overall not sensitive to the shrinking-swelling parameters, indicative of relatively loose accuracy requirements in measuring the soil shrinking-swelling parameters. The LDPM can be a tentative alternative option for the DDPM, but it is better not to use it to evaluate the surface runoff or use it under long-term extreme drought. In conclusion, the prediction errors without considering crack evolution and variation of hydraulic properties of each domain (RDPM) are the highest, then followed by the only considering crack evolution (LDPM) and uncertainties of shrinking-swelling parameters.","Desiccation cracks; Dual-permeability model; Dynamic changes; Numerical experiments; Preferential flow","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:b3836308-3463-4655-8937-dcc92efabbdf","http://resolver.tudelft.nl/uuid:b3836308-3463-4655-8937-dcc92efabbdf","The first AI4TSP competition: Learning to solve stochastic routing problems","Zhang, Yingqian (Eindhoven University of Technology); Bliek, Laurens (Eindhoven University of Technology); da Costa, Paulo (Eindhoven University of Technology); Refaei Afshar, Reza (Eindhoven University of Technology); Reijnen, Robbert (Eindhoven University of Technology); Catshoek, T. (TU Delft Cyber Security); Vos, D.A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security); Schmitt-Ulms, Fynn (McGill University)","","2023","This paper reports on the first international competition on AI for the traveling salesman problem (TSP) at the International Joint Conference on Artificial Intelligence 2021 (IJCAI-21). The TSP is one of the classical combinatorial optimization problems, with many variants inspired by real-world applications. This first competition asked the participants to develop algorithms to solve an orienteering problem with stochastic weights and time windows (OPSWTW). It focused on two learning approaches: surrogate-based optimization and deep reinforcement learning. In this paper, we describe the problem, the competition setup, and the winning methods, and give an overview of the results. The winning methods described in this work have advanced the state-of-the-art in using AI for stochastic routing problems. Overall, by organizing this competition we have introduced routing problems as an interesting problem setting for AI researchers. The simulator of the problem has been made open-source and can be used by other researchers as a benchmark for new learning-based methods. The instances and code for the competition are available at https://github.com/paulorocosta/ai-for-tsp-competition.","AI for TSP competition; Deep reinforcement learning; Routing problem; Stochastic combinatorial optimization; Surrogate-based optimization; Travelling salesman problem","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:a64bd421-420c-45cb-9147-313b007006c2","http://resolver.tudelft.nl/uuid:a64bd421-420c-45cb-9147-313b007006c2","Real-time pipeline leak detection and localization using an attention-based LSTM approach","Zhang, Xinqi (China University of Petroleum (East China)); Shi, Jihao (China University of Petroleum (East China); The Hong Kong Polytechnic University); Yang, M. (TU Delft Safety and Security Science); Huang, Xinyan (The Hong Kong Polytechnic University); Usmani, Asif Sohail (The Hong Kong Polytechnic University); Chen, Guoming (China University of Petroleum (East China)); Fu, Jianmin (China University of Petroleum (East China)); Huang, Jiawei (China University of Petroleum (East China)); Li, Junjie (China University of Petroleum (East China))","","2023","Long short-term memory (LSTM) has been widely applied to real-time automated natural gas leak detection and localization. However, LSTM approach could not provide the interpretation that this leak position is localized instead of other positions. This study proposes a leakage detection and localization approach by integrating the attention mechanism (AM) with the LSTM network. In this hybrid network, a fully-connected neural network behaving as AM is first applied to assign initial weights to time-series data. LSTM is then used to discover the complex correlation between the weighted data and leakage positions. A labor-scale pipeline leakage experiment of an urban natural gas distribution network is conducted to construct the benchmark dataset. A comparison between the proposed approach and the state-of-the-arts is also performed. The results demonstrate our proposed approach exhibits higher accuracy with AUC = 0.99. Our proposed approach assigns a higher attention weight to the sensor close to the leakage position, indicating the variation of data from the sensor has a significant influence on leakage localization. It corresponds that the closer to the leakage position, the larger variation of monitoring pressure after leakage, which enhances the detection results’ trustiness. This study provides a transparent and robust alternative for real-time automatic pipeline leak detection and localization, which contributes to constructing a digital twin of emergency management of urban pipeline leakage.","Attention mechanism; Leakage localization; Long short-term memory; Pipeline fault diagnosis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Safety and Security Science","","",""
"uuid:319e68c1-b038-4fd1-a097-b8e9c8fb5f1e","http://resolver.tudelft.nl/uuid:319e68c1-b038-4fd1-a097-b8e9c8fb5f1e","TSES-R: An Extended Scale for Measuring Parental Expectations toward Robots for Children in Healthcare","Zhang, Feiran (Norwegian University of Science and Technology (NTNU)); Broz, F. (TU Delft Interactive Intelligence); Ferrari, Oriana (Eindhoven University of Technology); Barakova, Emilia (Eindhoven University of Technology)","","2023","There is a growing interest in implementing robotics applications for children in healthcare to provide companionship, comfort, education, and therapy. Parental expectations regarding robotics for young children play a critical role in influencing its development and acceptance. However, parental expectations are widely overlooked in HRI. Therefore, a better understanding of what parents of young children expect the robot to do in health-related interactions with robots is needed. To achieve this, we adopted the Technology-Specific Expectation Scale (TSES) [2] and added three more dimensions (i.e., assistive role, social-emotional, and playful distraction) to gauge usersf expectations of robots in healthcare, resulting in TSES-R. This paper reports the development and reliability analysis of TSES-R. Furthermore, this paper presents the preliminary results collected from using the TSES-R with a sample of 31 families, which showcases how these outcomes could be helpful for future related studies.","Child-robot interaction; expectations and acceptance; parents; robots in healthcare; TSES","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:5a991011-2ac2-4b20-b552-b489d8ff32bc","http://resolver.tudelft.nl/uuid:5a991011-2ac2-4b20-b552-b489d8ff32bc","Peroxygenase-Promoted Enzymatic Cascades for the Valorisation of Fatty Acids","Wu, Y. (TU Delft BT/Biocatalysis); Paul, C.E. (TU Delft BT/Biocatalysis); Hilberath, T. (TU Delft BT/Biocatalysis); Jongkind, E.P.J. (TU Delft BT/Biocatalysis); Zhang, Wuyuan (Chinese Academy of Sciences); Alcalde, Miguel (Instituto de Tecnologías Físicas y de la Información); Hollmann, F. (TU Delft BT/Biocatalysis)","","2023","Utilisation of fatty acids generally relies on pre-existing functional groups such as the carboxylate group or C=C-double bonds. Addition of new functionalities into the hydrocarbon part opens up new possibilities for fatty acid valorisation. In this contribution we demonstrate the synthetic potential of a peroxygenase mutant AaeUPO−Fett for selective fatty acid oxyfunctionalisation. The ω-1 hydroxy fatty acid (esters) produced are further transformed into lactones, alcohols, esters and amines via multi-enzyme cascades thereby paving the way for new fatty acid valorisation pathways.","fatty acid valorisation; multi-enzyme cascades; peroxygenase; selective oxyfunctionalisation","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:fa331fbc-6f45-4e3d-9da0-6a326f7c2b5e","http://resolver.tudelft.nl/uuid:fa331fbc-6f45-4e3d-9da0-6a326f7c2b5e","Investigation on the technical performance and workability of hot-melting road marking materials using for the high-altitude area","Lu, Jing (Anhui Open University); Zhang, Min (Henan University); Feng, Jianlin (Wuhan Institute of Technology); Gao, Y. (TU Delft Pavement Engineering); Yang, Ye (Wuhan Institute of Technology); Li, Yuanyuan (Wuhan Institute of Technology); Li, Linglin (University of Nottingham)","","2023","The special environment conditions in high altitude areas leads to serious cracking and peeling of road hot-melt marking coatings. In order to improve the durability of marking paint, a durable hot-melt marking paint was designed by modifying the paint with toughening-agent, rheological agent, and anti-aging agent. The modification mechanism of the modified coating was revealed through TG and FTIR analysis. The low-temperature anti cracking, adhesion, and anti-aging properties of the modified coating were studied by low-temperature bending test, interlaminar shear test and UV aging test. Besides this, the workability of modified coatings was tested onsite. The results showed that the mass loss rate of the rheological agent is 0.15% at 240 °C, the modified coating had good thermal stability within the mixing temperature range of the coating. There was no chemical change between the modifier and the coating, which was a physical blend. The modified marking paint had good fluidity, softening point and drying time, but its compressive strength was slightly reduced. With the increase of the content of the toughener, the low temperature crack resistance and adhesion of the marking coating gradually increase. When the toughener is 5%, the flexural tensile strain of the marking coating beam increased by 79.1%, and the adhesion strength of asphalt concrete increased by 53.4%. The anti-aging agent can shield most of the UV radiation and improve the anti-aging property of the coating by about 30%. The field process validation achieved the expected results. The modifier coating has excellent low temperature crack resistance, adhesion, UV aging resistance, and other properties, and has good application prospects in harsh environment areas.","Modification mechanism; Process validation; Road marking paint; Road performance; Working performance","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9152b02a-61cc-4edc-a4c6-e483a82cbcec","http://resolver.tudelft.nl/uuid:9152b02a-61cc-4edc-a4c6-e483a82cbcec","In situ monitoring redox processes in energy storage using UV–Vis spectroscopy","Zhang, Danzhen (Drexel University); Wang, Ruocun (John) (Drexel University); Wang, Xuehang (TU Delft RST/Storage of Electrochemical Energy; Drexel University); Gogotsi, Yury (Drexel University)","","2023","Understanding energy storage mechanisms in electrochemical energy storage devices lays the foundations for improving their energy and power density. Here we introduce in situ ultraviolet–visible (UV–Vis) spectroscopy method to distinguish battery-type, pseudocapacitive and electrical double-layer charge storage processes. On the basis of Ti3C2Tx MXene in aqueous acidic and neutral electrolytes, and lithium titanium oxide in an organic electrolyte, we found a correlation between the evolution of UV–Vis spectra and the charge storage mechanism. The electron transfer number for Ti3C2Tx in an acidic electrolyte was calculated using quantitative analysis, which was close to previous measurements using X-ray absorption spectroscopy. Further, we tested the methodology to distinguish the non-Faradaic process in Ti3C2Tx MXene in a water-in-salt electrolyte, despite well-defined peaks in cyclic voltammograms. In situ UV–Vis spectroscopy is a fast and cost-effective technique that effectively supplements electrochemical characterization to track changes in oxidation state and materials chemistry and determine the charge storage mechanism.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-06","","","RST/Storage of Electrochemical Energy","","",""
"uuid:138bc2a5-6273-4c1e-b3f4-d326dfc559a3","http://resolver.tudelft.nl/uuid:138bc2a5-6273-4c1e-b3f4-d326dfc559a3","Leaderless Consensus of Heterogeneous Multiple Euler-Lagrange Systems With Unknown Disturbance","Wang, Shimin (Queen’s University); Zhang, Hongwei (Harbin Institute of Technology); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Zhong, Renxin (Sun Yat-sen University)","","2023","This article studies the leaderless consensus problem of heterogeneous multiple networked Euler-Lagrange systems subject to persistent disturbances with unknown constant biases, amplitudes, initial phases, and frequencies. The main characteristic of this study is that none of the agents has information of a common reference model or of a common reference trajectory. Therefore, the agents must simultaneously and in a distributed way: achieve consensus to a common reference model (group model); achieve consensus to a common reference trajectory; and reject the unknown disturbances. We show that this is possible via a suitable combination of techniques of distributed 'observers,' internal model principle and adaptive regulation. The proposed design generalizes recent results on group model learning, which have been studied for linear agents over undirected networks. In this article, group model learning is achieved for Euler-Lagrange dynamics over directed networks in the presence of persistent unknown disturbances.","Cooperative control; Euler-Lagrange system; leaderless consensus; multiagent system; output regulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Team Bart De Schutter","","",""
"uuid:df02f546-4efa-4299-82ba-185b9e478f7a","http://resolver.tudelft.nl/uuid:df02f546-4efa-4299-82ba-185b9e478f7a","Magnetoelastic transition and negative thermal expansion of Fe2Hf0.83Ta0.17 ribbons","Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","In this work, the magnetocaloric effect and negative thermal expansion in melt-spun Fe2Hf0.83Ta0.17 Laves phase alloys were studied. Compared to arc-melted alloys, which undergo a first-order magnetoelastic transition from the ferromagnetic to the antiferromagnetic phase, melt-spun alloys exhibit a second-order transition. For Fe2Hf0.83Ta0.17 ribbons, we observed a large volumetric coefficient of negative thermal expansion of −19 × 10−6 K−1 over a wide temperature range of 197 – 297 K and a moderate adiabatic temperature change of 0.7 K at 290 K for a magnetic field change of 1.5 T. The magnetic field dependence of the transition temperature (dTt/dµ0H = 4.4 K/T) for the melt-spun alloy is about half that of the arc-melted alloy (8.6 K/T). The origin of second-order phase transition of the melt-spun alloy is attributed to the partially suppressed frustration effect, which is due to the atomic disorder introduced by the rapid solidification.","Magnetocaloric effect; Magnetoelastic transition; Mössbauer spectroscopy; Negative thermal expansion","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:7a5919f9-3b2d-4d34-87d9-71f656dd0133","http://resolver.tudelft.nl/uuid:7a5919f9-3b2d-4d34-87d9-71f656dd0133","Prediction & optimization of alkali-activated concrete based on the random forest machine learning algorithm","Sun, Yubo (Universiteit Gent); Cheng, H. (TU Delft Concrete Structures); Zhang, Shizhe (TU Delft Materials and Environment); Mohan, Manu K. (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2023","Alkali-activated concrete (AAC) is regarded as a promising alternative construction material to reduce the CO2 emission induced by Portland cement (PC) concrete. Due to the diversity in raw materials and complexity of reaction mechanisms, a commonly applied design code is still absent to date. This study attempts to directly correlate the AAC mix design parameters to their performances through an artificial intelligence approach. To be specific, 145 fresh property data and 193 mechanical strength data were collected from laboratory tests on 52 AAC mixtures, which were used as inputs for the machine learning algorithm. Five independent random forest (RF) models were established, which are able to predict fresh and hardened properties (in terms of compressive strength, slump values, static/dynamic yield stress, and plastic viscosity) of AAC with equivalent accuracy reported in the literature. Moreover, an inverse optimization was performed on the RF model obtained to reduce the sodium silicate dosages, which may further mitigate the environmental impact of producing AAC. The present RF model gives practical information on AAC mix design cases.","Alkali-activated concrete; Machine learning; Mix design; Optimization; Prediction; Random forest","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Concrete Structures","","",""
"uuid:326bd9fe-0220-4ee8-84ed-45e68daf33a4","http://resolver.tudelft.nl/uuid:326bd9fe-0220-4ee8-84ed-45e68daf33a4","An assessment method of rail corrugation based on wheel–rail vertical force and its application for rail grinding","Niu, Liubin (China Academy of Railway Sciences); Yang, Fei (China Academy of Railway Sciences); Deng, Xiangyun (Southeast University); Zhang, P. (TU Delft Railway Engineering); Jing, Guoqing (Beijing Jiaotong University); Qiang, Weile (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2023","In practice, the assessment and treatment of rail corrugation are quantitatively based on the corrugation depth. Wheel–rail vertical forces (WRVF), as a direct reflection of wheel–rail interaction, can give expression to the corrugation depth and thus serve as a key parameter for assessing the corrugation. In this paper, we propose an evaluation method for rail corrugation based on the WRVF. First, a 3D wheel–rail dynamic finite element (FE) model was developed with typical parameters of CRTS II slab track and CRH3 vehicle for high-speed railways in China. The accuracy of the model was then validated with the measured WRVF data in the field. Second, using the validated model, the time–frequency domain distribution of WRVF (vehicle speed: 300 km/h) was obtained with consideration of the corrugation wavelength in the range of 40–180 mm. The non-linear least squares method and rational equation were used to fit the function between the large value of WRVF and the corrugation depth value under the conditions of different corrugation wavelengths. Next, effects of the Pinned–Pinned resonance frequency and vibration mode on the fitted parameters were analysed, by which an indicator for corrugation treatment (grinding) was proposed. Finally, the indicator was applied in the monitoring of rail corrugation for high-speed railway lines in the field. The results show that the misjudgement rate of rail grinding decisions (using the proposed indicator) is low with the accuracy at 92.5%. The proposed method can provide a basis for the rail corrugation evaluation and grinding decisions-making.","Corrugation assessment; FE modelling; Grinding decision; High speed rail; Wheel–rail vertical forces","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:a43169e9-a401-4245-96f2-7de5eeac6ce8","http://resolver.tudelft.nl/uuid:a43169e9-a401-4245-96f2-7de5eeac6ce8","Experimental Simulation of Larger Quantum Circuits with Fewer Superconducting Qubits","Ying, Chong (University of Science and Technology of China); Cheng, Bin (University of Technology Sydney; Southern University of Science and Technology); Zhao, Youwei (University of Science and Technology of China); Huang, He Liang (University of Science and Technology of China; Henan Key Laboratory of Quantum Information and Cryptography, Zhengzhou); Zhang, Y.N. (TU Delft QID/Dobrovitski Group; Southern University of Science and Technology); Gong, Ming (University of Science and Technology of China); Wu, Yulin (University of Science and Technology of China); Wang, S. (University of Science and Technology of China); Liang, Futian (University of Science and Technology of China)","","2023","Although near-term quantum computing devices are still limited by the quantity and quality of qubits in the so-called NISQ era, quantum computational advantage has been experimentally demonstrated. Moreover, hybrid architectures of quantum and classical computing have become the main paradigm for exhibiting NISQ applications, where low-depth quantum circuits are repeatedly applied. In order to further scale up the problem size solvable by the NISQ devices, it is also possible to reduce the number of physical qubits by ""cutting""the quantum circuit into different pieces. In this work, we experimentally demonstrated a circuit-cutting method for simulating quantum circuits involving many logical qubits, using only a few physical superconducting qubits. By exploiting the symmetry of linear-cluster states, we can estimate the effectiveness of circuit-cutting for simulating up to 33-qubit linear-cluster states, using at most 4 physical qubits for each subcircuit. Specifically, for the 12-qubit linear-cluster state, we found that the experimental fidelity bound can reach as much as 0.734, which is about 19% higher than a direct implementation on the same 12-qubit superconducting processor. Our results indicate that circuit-cutting represents a feasible approach of simulating quantum circuits using much fewer qubits, while achieving a much higher circuit fidelity.","","en","journal article","","","","","","","","","","","QID/Dobrovitski Group","","",""
"uuid:8887057c-f9ec-41c8-be17-687a6e9fecf6","http://resolver.tudelft.nl/uuid:8887057c-f9ec-41c8-be17-687a6e9fecf6","Flushing Toilets and Cooling Spaces with Seawater Improve Water-Energy Securities and Achieve Carbon Mitigations in Coastal Cities","Zhang, Zi (The Hong Kong University of Science and Technology); Sato, Yugo (The Hong Kong University of Science and Technology); Dai, Ji (The Hong Kong University of Science and Technology); Chui, Ho kwong (The Hong Kong University of Science and Technology); Daigger, Glen (University of Michigan); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Chen, Guanghao (The Hong Kong University of Science and Technology)","","2023","Exploring alternative water sources and improving the efficiency of energy uses are crucial approaches to strengthening the water-energy securities and achieving carbon mitigations in sub(tropical) coastal cities. Seawater use for toilet flushing and district cooling systems is reportedly practical for achieving multiaspect benefits in Hong Kong. However, the currently followed practices are yet to be systematically evaluated for scale expansions and system adaptation in other coastal cities. The significance of using seawater to enhance local water-energy securities and carbon mitigations in urban areas remains unknown. Herein, we developed a high-resolution scheme to quantify the effects of the large-scale urban use of seawater on a city’s reliance on non-local and non-natural water and energy supplies and its carbon mitigation goals. We applied the developed scheme in Hong Kong, Jeddah, and Miami to assess diverse climates and urban characteristics. The annual water and energy saving potentials were found to be 16-28% and 3-11% of the annual freshwater and electricity consumption, respectively. Life cycle carbon mitigations were accomplished in the compact cities of Hong Kong and Miami (2.3 and 4.6% of the cities’ mitigation goals, respectively) but not in a sprawled city like Jeddah. Moreover, our results suggest that district-level decisions could result in optimal outcomes supporting seawater use in urban areas.","carbon mitigation; climate change; coastal cities; municipal services; seawater","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-09","","","BT/Environmental Biotechnology","","",""
"uuid:230b0a4d-41bc-416e-b306-4346e7ffcdd8","http://resolver.tudelft.nl/uuid:230b0a4d-41bc-416e-b306-4346e7ffcdd8","The correlation between neuropathology levels and cognitive performance in centenarians","Zhang, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam); Ganz, Andrea B. (Vrije Universiteit Amsterdam); Rohde, Susan (Vrije Universiteit Amsterdam); Lorenz, Linda (Vrije Universiteit Amsterdam); Rozemuller, Annemieke J.M. (Netherlands Institute for Neuroscience NIN - KNAW); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics); Hulsman, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam); Hoozemans, Jeroen J.M. (Vrije Universiteit Amsterdam); Holstege, H. (Vrije Universiteit Amsterdam; Alzheimer Center Amsterdam)","","2023","INTRODUCTION: Neuropathological substrates associated with neurodegeneration occur in brains of the oldest old. How does this affect cognitive performance?. METHODS: The 100-plus Study is an ongoing longitudinal cohort study of centenarians who self-report to be cognitively healthy; post mortem brain donation is optional. In 85 centenarian brains, we explored the correlations between the levels of 11 neuropathological substrates with ante mortem performance on 12 neuropsychological tests. RESULTS: Levels of neuropathological substrates varied: we observed levels up to Thal-amyloid beta phase 5, Braak-neurofibrillary tangle (NFT) stage V, Consortium to Establish a Registry for Alzheimer's Disease (CERAD)-neuritic plaque score 3, Thal-cerebral amyloid angiopathy stage 3, Tar-DNA binding protein 43 (TDP-43) stage 3, hippocampal sclerosis stage 1, Braak-Lewy bodies stage 6, atherosclerosis stage 3, cerebral infarcts stage 1, and cerebral atrophy stage 2. Granulovacuolar degeneration occurred in all centenarians. Some high performers had the highest neuropathology scores. DISCUSSION: Only Braak-NFT stage and limbic-predominant age-related TDP-43 encephalopathy (LATE) pathology associated significantly with performance across multiple cognitive domains. Of all cognitive tests, the clock-drawing test was particularly sensitive to levels of multiple neuropathologies.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:0ca59a86-dd47-41fd-9084-e0c1c6c7c4e2","http://resolver.tudelft.nl/uuid:0ca59a86-dd47-41fd-9084-e0c1c6c7c4e2","Inferences on the shear wave velocity structure below the Reykjanes peninsula (SW Iceland) from transdimensional ambient-noise surface wave tomography","Weemstra, C. (TU Delft Applied Geophysics and Petrophysics); Rahimi Dalkhani, A. (TU Delft Applied Geophysics and Petrophysics); Ágústsdóttir, Þorbjörg (Iceland GeoSurvey); Guðnason, Egill Árni (Iceland GeoSurvey); Hersir, Gylfi Páll (Independent researcher); Zhang, Xin (University of Edinburgh)","","2023","We report on a Bayesian (i.e., probabilistic) inversion for the shear-wave velocity structure of the Reykjanes peninsula, SW Iceland. Travel times of Rayleigh waves traversing the peninsula served as input to the probabilistic algorithm. These Rayleigh waves were retrieved through the application of seismic interferometry to yearlong recordings of ambient seismic noise. The Reykjanes peninsula is well placed for this technique because it is surrounded by ocean, which implies a relatively uniform seismic noise illumination; the latter being a condition for accurate interferometric surface wave retrieval. The Bayesian algorithm uses a variable model parametrization by employing Voronoi cells in conjunction with a reversible jump Markov chain Monte Carlo sampler. The algorithm is entirely data-driven, meaning that, contrary to conventional deterministic tomographic inversions, the user does not need to define any regularization or parameterization parameters to solve the inverse problem.
The geology in the area of interest is characterized by four NE-SW trending volcanic systems, orientated oblique to the divergent plate boundary cutting across the Reykjanes Peninsula. These are from west to east; Reykjanes, Svartsengi, Fagradalsfjall and Krýsuvík, of which all except Fagradalsfjall host a known high-temperature geothermal field. We observe relatively high shear wave velocity patches close to the Earth’s surface (top two kilometers) at the location of these known high-temperature fields. These high velocity anomalies invert to relatively low shear wave velocities (in comparison to shear wave velocities in the same horizontal plane) at depths greater than 3 km. The latter low-velocity anomalies are relatively small below Reykjanes and Svartsengi. At depths of 5 to 8 km, a low-velocity anomaly extends horizontally below Reykjanes and Svartsengi, correlating relatively well with the inferred brittle-ductile transition below the high-temperature fields at 4-5 km depth. The low-velocity anomaly below Krýsuvík is much larger and coincides with a deep-seated low electrical resistivity anomaly. Horizontally, it coincides with the center of an inflation source at 4–5 km depth. For example, in 2010 this resulted in an uplift exceeding 50 mm/year, but several periods of alternating uplift and subsidence associated with increased seismicity have been observed in Krýsuvík since 2009. Our results both confirm and add details to previous models obtained in the area. Our study demonstrates the potential of Bayesian surface wave inversion as a complementary geophysical tool for geothermal exploration.
2/AlGaN/GaN heterostructure-based ultraviolet detectors with a Schottky junction (J. Mater. Chem. C (2023) 11 (1704–1713) DOI: 10.1039/D2TC04491A)","Zhan, Teng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sun, Jianwen (Tsinghua University); Feng, Tao (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Yulong (Tsinghua University); Zhou, Binru (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Banghong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, Junxi (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The authors regret an error in the abstract of the published article: the text ‘‘(i) the Schottky emission mechanism at a low reverse voltage (0–1 V) before the current is fully turned on.’’ should be changed to ‘‘(i) the Schottky emission mechanism at a low reverse voltage (0 to 1 V) before the current is fully turned on.’’ This change does not affect the main conclusions of the manuscript. The authors would like to apologize for any inconvenience caused. The Royal Society of Chemistry apologises for these errors and any consequent inconvenience to authors and readers.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:d73e9fce-c3c7-490b-861e-a6a6e151e721","http://resolver.tudelft.nl/uuid:d73e9fce-c3c7-490b-861e-a6a6e151e721","Spatial Resolution Matching of Microwave Radiometer Measurements Using Iterative Deconvolution with Close Loop Priors (ICLP)","Yao, Zhiyu (Beijing Institute of Technology); Hu, Weidong (Beijing Institute of Technology); Feng, Zhiyan (Beijing Institute of Technology); Zhang, Wenlong (The Hong Kong Polytechnic University); Liu, Yang (Chinese Academy of Sciences); Xu, Zhihao (Beijing Institute of Technology); Ligthart, L.P. (TU Delft EEMS - General)","","2023","Passive multifrequency microwave sensors frequently struggle with difficulties of nonuniform spatial resolution among multiple channels. The raw measurements in the land-sea transition zone are seriously contaminated. Conventional analytical deconvolution techniques suffer from the tradeoff between spatial resolution enhancement and noise amplification, leading to low data integrity in the practical spatial resolution matching application. To provide multichannel microwave radiometer (MWR) data with matching levels of spatial resolution, a method based on iterative deconvolution with close loop priors (ICLP) is proposed. Specifically, a destriping module is first utilized as a preprocessing step to maintain high data integrity. Then, the close loop mechanism using sparse adaptive priors is proposed to balance the spatial resolution and data integrity enhancement. Also, progressively iterative deconvolution is introduced to realize controllable levels of spatial resolution enhancement (spatial resolution matching) for multichannel data to reach a consistent level. Experiments performed using both simulated and actual microwave radiation imager (MWRI) data demonstrate the validity and effectiveness of the method.","close loop; Data integrity; Deconvolution; fengyun-3D (FY-3D); iterative deconvolution; Microwave amplifiers; Microwave integrated circuits; microwave radiation imager(MWRI); Microwave radiometry; Microwave theory and techniques; priors; Spatial resolution; Spatial resolution matching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","EEMS - General","","",""
"uuid:e413dd39-cceb-4e60-b689-11820ad27f04","http://resolver.tudelft.nl/uuid:e413dd39-cceb-4e60-b689-11820ad27f04","Polymer Reinforced Solder Paste for Improving Impact Energy Absorption Capability in Micro LED Laser-Assisted Mass Transfer","Ji, Liangzheng (Fudan University; Heraeus Materials Technology Shanghai Ltd.); Li, Zaihuan (Heraeus Materials Technology Shanghai Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","Micro LED displays offer superior performance compared to traditional LCD and OLED displays. However, challenges in transfer technology, such as high throughput and scalability, must be addressed. Among various mass transfer techniques, stamp transfer and laser-assisted transfer are widely used for Micro LED assembly. The laser-assisted transfer technique enables high-speed and accurate transfer. Anisotropic conductive film (ACF) is commonly used for its energy absorption properties during chip transfer. However, during the subsequent thermocompression bonding process, the ACF film needs to be ruptured, which adds no value to the bonding process. To address limitations, we have developed a polymer-reinforced solder paste that demonstrates high effectiveness in absorbing impact energy during chip dropping, providing performance comparable to ACF-like materials for die receiving. It also possesses typical solder paste characteristics, enabling the formation of reliable solder joints between the chip and substrate. This material facilitates streamlined manufacturing process and providing opportunities for chip rework in subsequent stages.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Electronic Components, Technology and Materials","","",""
"uuid:a2c96cbd-32a9-47e6-96a8-4a216a843187","http://resolver.tudelft.nl/uuid:a2c96cbd-32a9-47e6-96a8-4a216a843187","Exploring Data Augmentation in Bias Mitigation Against Non-Native-Accented Speech","Zhang, Y. (TU Delft Multimedia Computing); Herygers, Aaricia (External organisation); Patel, T.B. (TU Delft Multimedia Computing); Yue, Z. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2023","Automatic speech recognition (ASR) should serve every speaker, not only the majority “standard” speakers of a language. In order to build inclusive ASR, mitigating the bias against speaker groups who speak in a “non-standard” or “diverse” way is crucial. We aim to mitigate the bias against non-native-accented Flemish in a Flemish ASR system. Since this is a low-resource problem, we investigate the optimal type of data augmentation, i.e., speed/pitch perturbation, cross-lingual voice conversion-based methods, and SpecAugment, applied to both native Flemish and non-native-accented Flemish, for bias mitigation. The results showed that specific types of data augmentation applied to both native and non-native-accented speech improve non-native-accented ASR while applying data augmentation to the non-native-accented speech is more conducive to bias reduction. Combining both gave the largest bias reduction for human-machine interaction (HMI) as well as read-type speech.","Speech recognition; bias mitigation; non-native accents; data augmentation; voice conversion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Multimedia Computing","","",""
"uuid:14f83993-59e4-466c-b51c-9987ffa0f90f","http://resolver.tudelft.nl/uuid:14f83993-59e4-466c-b51c-9987ffa0f90f","A state-of-art review on development and progress of backfill grouting materials for shield tunneling","Jiang, Xi (The Hong Kong Polytechnic University); Zhu, Hehua (Tongji University); Yan, Zhiguo (Tongji University); Zhang, Fengshou (Tongji University); Ye, Fei (Chang'an University); Li, Peinan (Donghua University); Zhang, X. (TU Delft Geo-engineering); Dai, Zhiren (China Railway First Survey & Design Institute Group Co., Ltd.); Bai, Yun (Tongji University); Huang, Baoshan (The University of Tennessee Knoxville)","","2023","Backfill grouting plays a vital role in shield tunneling. This paper aims to present a comprehensive review of the development and progress of backfill grouting materials specifically designed for shield tunneling. Initially, the various components of grouts, such as pozzolanic materials, filling fine aggregates, and chemical additives, are introduced and discussed in detail. Subsequently, this study investigates critical properties including workability, mechanical properties, and durability of the grouts. Additionally, the principal factors influencing the properties are summarized, along with recommended ranges for specific geological conditions. Furthermore, the paper elucidates the diffusion mechanism of grouting mortars by presenting the current grouting models employed in shield tunneling. Recent advancements in grouting materials are extensively studied and extended, offering new perspectives for future grouting technology in shield tunneling. This study provides valuable insights into overcoming the existing challenges associated with shield tunnel grouting and promoting the evolution of current grouting materials.","Backfill grouting; Grouts; Resilient infrastructure; Shield tunneling; Underground space","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:87350f3c-44a8-47e1-b959-b23728dfaf91","http://resolver.tudelft.nl/uuid:87350f3c-44a8-47e1-b959-b23728dfaf91","Frequency Tunable, Cavity-Enhanced Single Erbium Quantum Emitter in the Telecom Band","Yu, Y. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Oser, D.J.H. (TU Delft QN/Kavli Nanolab Delft; TU Delft QuTech Advanced Research Centre); Da Prato, G. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Urbinati, E. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Ávila, Javier Carrasco (Université de Genève; Jacobs University Bremen); Zhang, Y. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Remy, Patrick (SIMH Consulting); Marzban, S. (TU Delft QID/Tittel Lab; TU Delft QuTech Advanced Research Centre); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Tittel, W. (TU Delft QID/Tittel Lab; TU Delft QuTech Advanced Research Centre; Université de Genève; Jacobs University Bremen)","","2023","Single quantum emitters embedded in solid-state hosts are an ideal platform for realizing quantum information processors and quantum network nodes. Among the currently investigated candidates, Er3+ ions are particularly appealing due to their 1.5 μm optical transition in the telecom band as well as their long spin coherence times. However, the long lifetimes of the excited state - generally in excess of 1 ms - along with the inhomogeneous broadening of the optical transition result in significant challenges. Photon emission rates are prohibitively small, and different emitters generally create photons with distinct spectra, thereby preventing multiphoton interference - a requirement for building large-scale, multinode quantum networks. Here we solve this challenge by demonstrating for the first time linear Stark tuning of the emission frequency of a single Er3+ ion. Our ions are embedded in a lithium niobate crystal and couple evanescently to a silicon nanophotonic crystal cavity that provides a strong increase of the measured decay rate. By applying an electric field along the crystal c axis, we achieve a Stark tuning greater than the ion's linewidth without changing the single-photon emission statistics of the ion. These results are a key step towards rare earth ion-based quantum networks.","","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:cd33c3c4-60d8-4e12-80f1-fbc67e2c26fa","http://resolver.tudelft.nl/uuid:cd33c3c4-60d8-4e12-80f1-fbc67e2c26fa","Peroxygenase-Catalysed Sulfoxidations in Non-Aqueous Media","Li, Huanhuan (Xi’an Jiaotong University; Chinese Academy of Sciences); Shen, Qianqian (Xi’an Jiaotong University; Chinese Academy of Sciences); Zhou, Xiaoying (Chinese Academy of Sciences); Duan, Peigao (Xi’an Jiaotong University); Hollmann, F. (TU Delft BT/Biocatalysis); Huang, Yawen (Chinese Academy of Sciences); Zhang, Wuyuan (Chinese Academy of Sciences)","","2023","Chiral sulfoxides are valuable building blocks in asymmetric synthesis. However, the biocatalytic synthesis of chiral sulfoxides is still challenged by low product titres. Herein, we report the use of peroxygenase as a catalyst for asymmetric sulfoxidation under non-aqueous conditions. Upon covalent immobilisation, the peroxygenase showed stability and activity under neat reaction conditions. A large variety of sulfides was converted into chiral sulfoxides in very high product concentration with moderate to satisfactory optical purity (e. g. 626 mM of (R)-methyl phenyl sulfoxide in approx. 89 % ee in 48 h). Further polishing of the ee value via cascading methionine reductase A (MsrA) gave>99 % ee of the sulfoxide. The robustness of the enzymes and high product titer is superior to the state-of-the-art methodologies. Gram-scale synthesis has been demonstrated. Overall, we demonstrated a practical and facile catalytic method to synthesize chiral sulfoxides.","Asymmetric sulfoxidation; Biocatalysis; Cascade; Enzyme Immobilisation; Peroxygenase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:1e04f0ca-d362-40b8-a2d8-78d23d7bb650","http://resolver.tudelft.nl/uuid:1e04f0ca-d362-40b8-a2d8-78d23d7bb650","Reactivity and leaching potential of municipal solid waste incineration (MSWI) bottom ash as supplementary cementitious material and precursor for alkali-activated materials","Chen, B. (TU Delft Materials and Environment); Zuo, Yibing (Huazhong University of Science and Technology); Zhang, Shizhe (TU Delft Materials and Environment; Mineralz); Miranda de Lima Junior, L.C. (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Chen, Y. (TU Delft DC systems, Energy conversion & Storage); van Zijl, Marc Brito (Mineralz); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2023","This work evaluated the reactivity and leaching potential of municipal solid waste incineration (MSWI) bottom ash as supplementary cementitious material (SCM) and precursor for alkali-activated materials (AAM). The chemical composition of the amorphous phase in MSWI bottom ash was found to be in the same range as that of Class F coal fly ash. The reactivity of MSWI bottom ash as SCM and AAM precursor was tested to be much lower than that of blast furnace slag, but similar to that of Class F coal fly ash. The method of thermodynamic modeling was found useful in providing references for the mix design of MSWI bottom ash-based AAM. Grinding MSWI bottom ash into powder for the application of SCM and AAM precursor increased its leaching potential. Based on the findings of this study, recommendations were provided on how to use MSWI bottom ash to prepare blended cement pastes and AAM.","Alkali-activated materials; Leaching; MSWI bottom ash; Reactivity; Supplementary cementitious material","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c99e7e01-8498-4132-b86d-099d738b1332","http://resolver.tudelft.nl/uuid:c99e7e01-8498-4132-b86d-099d738b1332","A Numerical Study of Moisture and Ionic Transport in Unsaturated Concrete by Considering Multi-ions Coupling Effect","Meng, Z. (TU Delft Materials and Environment; Shanghai Jiao Tong University); Zhang, Yufei (University of Macau); Chen, Wei kang (Shanghai Jiao Tong University); Fu, Chuan qing (Zhejiang University of Technology); Xiong, Qing Xiang (Shanghai Jiao Tong University); Zhang, Cheng lin (Shanghai Jiao Tong University); Liu, Qing feng (Shanghai Jiao Tong University)","","2023","Understanding the transport mechanisms within unsaturated porous media is essential to the durability problems associated with cement-based materials. However, the involvement of multi-ions electrochemical coupling effect, especially under unsaturated condition makes the transport mechanisms even more complex. In this study, the moisture and multi-ionic transport in unsaturated concrete have been modeled in three-dimensional cases. The contribution from both water vapor and liquid has been considered in moisture transport. By adopting the constitutive electrochemical law, the electrostatic potential induced by inherent charge imbalance was calculated. With parameter calibration, the numerical results agreed well with the experimental data, proving the validity of the presented model. Results from a parametric analysis showed that neglecting multi-ions coupling effect will lead to an underestimated chloride concentration, and saturated degree has an obvious impact on the coupling strength among different ions. In addition, the existence of coarse aggregates will not only block mass transport but also make the discrepancies between two-dimensional model and three-dimensional model results more obvious. Other findings which have not been reported in existing studies are also highlighted.","Chloride transport; Heterogeneous nature; Ionic interaction; Moisture transport; Porous media","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-27","","","Materials and Environment","","",""
"uuid:0fd2c29b-f179-4fbc-b520-2308ea6c98f5","http://resolver.tudelft.nl/uuid:0fd2c29b-f179-4fbc-b520-2308ea6c98f5","Relationship between the migration of crustal material, normal faulting, and gneiss domes in the vicinity of the Dinggye region, central part of the Tethys–Himalaya terrane: Insights from the 3-D electrical structure","Sheng, Yue (China University of Geosciences); Jin, Sheng (China University of Geosciences); Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Hou, Zengqian (Chinese Academy of Geological Sciences); Zhang, Letian (China University of Geosciences); Wei, Wenbo (China University of Geosciences); Ye, Gaofeng (China University of Geosciences)","","2023","The Dinggye region, in the central part of the Himalayan orogenic belt, includes the southern part of the Xainza-Dinggye rift and the Mabja Gneiss Dome with leucogranite cores. Previous studies of gneiss domes in this region report the existence of channel flow processes or tectonic exhumation, in addition to partial melting of orogenic mid-crust. However, the relationship between the crustal migration of materials and the north-south-trending normal rifts remains largely unexplored. In this work, we generate a new 3-D electrical resistivity model from an array of magnetotelluric data in the Dinggye region and examine it in addition to other electrical resistivity models to the north and east from previous works. By comparing the geophysical models with available geological and geochemical evidence, we find a clear relationship between the electrical resistivity structure, the presence of gneiss domes, north-south-trending normal rifting, and deep plunging subduction which is related to the source of Helium isotopes (crustal or mantle origin). Overall, the results suggest that the southern migration of lithospheric materials likely contributed to the evolution of the rifts in the Tethys-Himalaya terrane, which also may have been influenced by uplifting and cooling of gneiss domes. The models are consistent with tearing of the Indian lithosphere beneath the Xainza-Dinggye rift and other adjacent rifts. Additionally, the difference in the electrical structure related to the Indian crust along the east-west direction likely results from the exhumation of the continental slab, metamorphism in the Tethys-Himalaya terrane, and southern extrusion of materials in the Lhasa terrane.","Tibetan Plateau; Gneiss dome; Electrical structure; Rift; Crustal viscous flow; Subduction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-16","","","Applied Geophysics and Petrophysics","","",""
"uuid:cf959901-933c-4f1e-85da-0876373a573c","http://resolver.tudelft.nl/uuid:cf959901-933c-4f1e-85da-0876373a573c","Policy-based initiatives on promoting China's affordable housing: Challenges and opportunities","Zhang, Guoqiang (Hunan University); Xu, Keyi (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management); Huang, R. (TU Delft Design & Construction Management; Chongqing University); Li, B. (TU Delft Real Estate Management); Wang, Ran (Hunan University)","","2023","The construction industry's commitment to achieving carbon neutrality has underscored the urgency of promoting green and low-carbon sustainable affordable housing. However, the development process has encountered several challenges, including conflicts between the central and local governments arising from differences in value preferences, financial constraints faced by local governments, inadequate access mechanisms, lenient screening processes, insufficient funding, and remote locations. Despite its significance, the policies related to affordable housing, especially in the context of assembly affordable housing, have received limited systematic examination. To address this research gap, this paper presents a comprehensive review and analysis of China's affordable housing policies. Firstly, it compiles and compares recent affordable housing policies in China, serving as a valuable reference for future affordable housing construction endeavors. Secondly, it conducts an in-depth analysis of the barriers and challenges obstructing affordable housing development in China, and proposing corresponding measures for improvement. Moreover, this paper identifies significant opportunities for affordable housing development in the country and explores the potential synergy between the development of assembly buildings and affordable housing by leveraging their respective attributes. By illuminating pertinent policies and associated issues, this research aims to inform policymakers, practitioners, and stakeholders involved in the affordable housing sector. Additionally, it aims to stimulate further research and innovation in the field, contributing to effective and sustainable housing solutions for low-income communities and society at large. This paper systematically analyzes the current status of affordable housing policies, challenges and opportunities. It also discusses the application of assembly building techniques in the realm of affordable housing, proving valuable insights to address traditional housing issues.","Affordable housing; Assembly building; Low carbon; Policy incentive; Sustainable housing","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:34a60ef1-ec71-49e5-8593-eadda560bdf0","http://resolver.tudelft.nl/uuid:34a60ef1-ec71-49e5-8593-eadda560bdf0","Transversal optical singularity induced precision measurement of step-nanostructures","Dou, X. (TU Delft ImPhys/Pereira group; Shenzhen University); Zhou, Jiakang (Shenzhen University); Zhang, Yuquan (Shenzhen University); Min, Changjun (Shenzhen University); Pereira, S.F. (TU Delft ImPhys/Pereira group); Yuan, Xiaocong (Shenzhen University)","","2023","Optical singularities indicate zero-intensity points in space where parameters, such as phase, polarization, are undetermined. Vortex beams such as the Laguerre–Gaussian modes are characterized by a phase factor eilθ, and contain a phase singularity in the middle of its beam. In the case of a transversal optical singularity (TOS), it occurs perpendicular to the propagation, and its phase integral is 2π in nature. Since it emerges within a nano-size range, one expects that TOSs could be sensitive in the light-matter interaction process and could provide a great possibility for accurate determination of certain parameters of nanostructure. Here, we propose to use TOSs generated by a three-wave interference to illuminate a step nanostructure. After interaction with the nanostructure, the TOS is scattered into the far field. The scattering direction can have a relation with the physical parameters of the nanostructure. We show that by monitoring the spatial coordinates of the scattered TOS, its propagation direction can be determined, and as consequence, certain physical parameters of the step nanostructure can be retrieved with high precision.","","en","journal article","","","","","","","","","","","ImPhys/Pereira group","","",""
"uuid:ee2d471b-2b4a-490d-95b8-85b494d7a503","http://resolver.tudelft.nl/uuid:ee2d471b-2b4a-490d-95b8-85b494d7a503","A novel 4H-SiC multiple stepped SGT MOSFET with improved high frequency figure of merit","Zhang, Jingping (Chongqing University); Luo, Houcai (Chongqing University); Wu, Huan (Chongqing University); Wang, Zeping (Chongqing University); Zheng, Bofeng (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2023","A novel 4H-SiC Multiple Stepped SGT MOSFET (MSGT-MOSFET) is presented and investigated utilizing TCAD simulations in this paper. We have quantitatively studied the characteristics of the device through simulation modeling and physical model calculations, and comparatively analyzed the performance and application prospects of this novel device. The gate-to-drain capacitance (Cgd) and gate-to-drain charge (Qgd) of the MSGT-MOSFET are significantly reduced in comparison with the double trench MOSFET (DT-MOSFET) and the conventional SGT MOSFET (CSGT-MOSFET), due to the reduction of the overlapping area of the split gate (SG) structure and drift region. Therefore, the obtained high frequency figure of merit (HF-FOM) defined as [Ron × Cgd] reduced by 23.9% compared with DT-MOSFET and CSGT-MOSFET. And the HF-FOM [Ron × Qgd] for the MSGT-MOSFET significantly decreased by 71% and 50%, respectively, compared to that of the DT-MOSFET and CSGT-MOSFET. Furthermore, the switching loss is also simulated and calculated. And the total switching loss of the proposed MSGT-MOSFET realizes 42.9% and 21.7% reduction in comparison with the DT-MOSFET and CSGT-MOSFET. The overall enhanced performances suggest that the MSGT-MOSFET is an excellent choice for high frequency power electronic applications.","4H-SiC MOSFET; Cgd; HF-FOM; Qgd; SG; switching loss","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Electronic Components, Technology and Materials","","",""
"uuid:7eb76b4a-6cb4-46b1-a35d-0fa2e457e972","http://resolver.tudelft.nl/uuid:7eb76b4a-6cb4-46b1-a35d-0fa2e457e972","Design and Characterization of a Data Converter in a SiC CMOS Technology for Harsh Environment Sensing Applications","Niu, Yunfan (Student TU Delft); Mo, J. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Rommel, Mathias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Rossi, Chiara (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Romijn, J. (TU Delft Microelectronics); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","This work presents the design and characterization of an analog-to-digital converter (ADC) with silicon carbide (SiC) for sensing applications in harsh environments. The SiC-based ADC is implemented with the state-of-the-art low-voltage SiC complementary-metal-oxide-semiconductor (CMOS) technology developed by Fraunhofer IISB. Two types of ADCs, i.e., a 4-bit flash ADC and a 6-bit successive-approximation (SAR) ADC, are designed and simulated up to 300 degrees Celsius. The measurement results show that the 4-bit SiC flash ADC can operate reliably up to at least 200 degrees Celsius, which outperforms the Si counterpart regarding the maximum operating temperature.","analog-to-digital converter; harsh-environment; silicon carbide","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:1538e438-89b5-4b32-b356-9c86e0ac08e6","http://resolver.tudelft.nl/uuid:1538e438-89b5-4b32-b356-9c86e0ac08e6","Synchromodal freight transport re-planning under service time uncertainty: An online model-assisted reinforcement learning","Zhang, Y. (TU Delft Transport and Planning); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2023","The objective of this study is to address the issue of service time uncertainty in synchromodal freight transport, which can cause delays, inefficiencies, and reduced satisfaction for shippers. The proposed solution is an online deep Reinforcement Learning (RL) approach that takes into account the service time uncertainty, assisted by an Adaptive Large Neighborhood Search (ALNS) heuristic that provides state and reward information based on the routing and scheduling. The proposed planning approach re-plans in response to unexpected events and learns from real-time information from various transport modes, including road, railway, and inland waterways. The performance of the proposed planning approach is evaluated in the European Rhine-Alpine corridor under various scenarios with different types and severities of unexpected events. The results demonstrate that the RL approach consistently outperforms other strategies by effectively handling service time uncertainty, leading to reduced costs, emissions, and waiting time, as well as decreased transport delays and improved rewards through accurate decision-making and agile transport re-planning. This study also finds that incorporating event severity information improves the average reward obtained by the RL approach in scenarios involving multiple types of events.","Deep reinforcement learning; Online transport planning; Service time; Synchromodal transport; Uncertainty","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:925bf6ac-a605-4e85-9048-522f8d2271d9","http://resolver.tudelft.nl/uuid:925bf6ac-a605-4e85-9048-522f8d2271d9","3-Dimensional insight into zonation within slag rims of aged blended cement","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Through the integration of SEM-BSE and TEM, we gained a comprehensive 3-dimensional understanding of different distribution patterns of inner hydration products of slag. For fully hydrated small slag grains, two distinct sub-zones were formed in the rims. Lath-like, well-crystalline hydrotalcite-like crystals were found to precipitate, grow, and accumulate near the boundary, forming a layer with a thickness slightly exceeding 0.5 μm. In the center, entrapped calcium and silicon played roles in the formation of a homogeneous and fibrous C−(A)–S–H gel phase. The concentration equilibrium between cement matrix and grain core led to the establishment of a similar grey pixel value and Ca/Si atomic ratio of gel phase at ~1.10. As the size of slag grains increased, three sub-zones became visible. Hydrotalcite-like phase was enriched near the boundary, followed by a sandwiched area abundant in C–(A)–S–H gel phase. Due to the low mobility and increased migration distance, newly released magnesium from reaction front accumulated locally to form a new Mg-rich region.","3-Dimensional; SEM and TEM; Slag grain size; Slag rim; Zonation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Materials and Environment","","",""
"uuid:04b789a2-c2f0-484c-9274-e70683bb6fe6","http://resolver.tudelft.nl/uuid:04b789a2-c2f0-484c-9274-e70683bb6fe6","Microstructural and micromechanical characterization of sintered nano-copper bump for flip-chip heterogeneous integration","Ji, X. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); He, S. (TU Delft Materials and Environment); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Copper nanoparticles (CuNPs) sintering for flip-chip interconnects is a promising solution for 3D and heterogeneous integration to overcome the limitation of solder materials. To this end, we perform the photolithographic stencil printing method to pattern CuNPs, and the form of flip-chip interconnects is completed after CuNPs sintering process. This paper aims to study the effect of sintering processing parameters (time, pressure, temperature) on the mechanical properties of CuNPs bumps when applying the novel method to approach the Cu interconnects. We fabricated seven groups of specimens of sintered CuNPs bumps, built with a diameter of 100 μm and sintered. The nanoindentation tests assessed the mechanical property to get Young's modulus and hardness. Results clarify that Young's modulus is strongly affected by pressure. An suggested combination of parameters (the 25 MPa and 260 °C for 15 min) give the highest modulus of 126 GPa and the hardness of 1.76 GPa. Moreover, the observations by scanning electron microscopy (SEM) reveal the microstructure and porosity evolution versus different processing parameters.","Cu sintering; Flip-chip; Micromechanical properties; Microstructural characterization; Nanoindentation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:80094ffd-ab74-42bf-bf39-448d500710dc","http://resolver.tudelft.nl/uuid:80094ffd-ab74-42bf-bf39-448d500710dc","Circular construction: Six key recommendations","Tukker, Arnold (Universiteit Leiden; TNO); Behrens, Paul (Universiteit Leiden); Deetman, Sebastiaan (Universiteit Leiden); Hu, Mingming (Universiteit Leiden); Migoni Alejandre, E. (TU Delft Environmental Technology and Design; Universiteit Leiden); van der Meide, Marc (Universiteit Leiden); Zhong, Xiaoyang (Universiteit Leiden; International Institute for Applied Systems Analysis, Laxenburg); Zhang, Chunbo (Universiteit Leiden; University College London (UCL))","","2023","In terms of mass, construction materials and construction and demolition waste make up the largest part of humankind's material and waste footprints, particularly after an energy transition has largely phased out fossil energy. However, a circular use of building and construction materials is fraught with challenges.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-17","","","Environmental Technology and Design","","",""
"uuid:6dc462be-9a2c-4674-8ae2-b8774c04326b","http://resolver.tudelft.nl/uuid:6dc462be-9a2c-4674-8ae2-b8774c04326b","PHyL v1.0: A parallel, flexible, and advanced software for hydrological and slope stability modeling at a regional scale","Chen, G. (TU Delft Water Resources; Hohai University); Zhang, Ke (Hohai University); Wang, Sheng (Hohai University); Jia, T. (TU Delft Sanitary Engineering)","","2023","Physically-based hydrological-geotechnical modeling at large scales is difficult, especially due to the time-consuming nature of flow routing and 3D soil stability models. Although parallelization techniques are commonly used for each model individually, there is currently no concurrent parallelization strategy for both. This study proposed an open-source, Parallelized, and modular modeling software for regional Hydrologic processes and Landslides simulation and prediction (PHyL v1.0). It offers parallel computation in both hydrological and 3D slope stability modules, cross-scale modeling ability via a soil moisture downscaling method, and advanced input/output (I/O) and post-processing visualization. Additionally, PHyL v1.0 is flexible and extensible, making it compatible with all mainstream operating systems. We applied PHyL v1.0 in the Yuehe River Basin, where the computational efficiencies, parallel performance, parameter sensitivity analysis, and predictive capabilities were evaluated. The PHyL v1.0 is therefore appropriately used as an advanced software for high-resolution and complex simulations of regional floods and landslides.","3D slope stability model; Flood-landslide events; Hydrological-geotechnical models; Parallel computation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-08","","","Water Resources","","",""
"uuid:6e4e2fa4-a04d-456d-8d63-17d3e9466d31","http://resolver.tudelft.nl/uuid:6e4e2fa4-a04d-456d-8d63-17d3e9466d31","Optoelectronic control of cardiac rhythm: Toward shock-free ambulatory cardioversion of atrial fibrillation","Portero, Vincent (Leiden University Medical Center); Deng, Shanliang (TU Delft Electronic Components, Technology and Materials; Leiden University Medical Center); Boink, Gerard J.J. (Universiteit van Amsterdam); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); de Vries, Antoine (Leiden University Medical Center); Pijnappels, Daniël A. (Leiden University Medical Center)","","2023","Atrial fibrillation (AF) is the most prevalent cardiac arrhythmia, progressive in nature, and known to have a negative impact on mortality, morbidity, and quality of life. Patients requiring acute termination of AF to restore sinus rhythm are subjected to electrical cardioversion, which requires sedation and therefore hospitalization due to pain resulting from the electrical shocks. However, considering the progressive nature of AF and its detrimental effects, there is a clear need for acute out-of-hospital (i.e., ambulatory) cardioversion of AF. In the search for shock-free cardioversion methods to realize such ambulatory therapy, a method referred to as optogenetics has been put forward. Optogenetics enables optical control over the electrical activity of cardiomyocytes by targeted expression of light-activated ion channels or pumps and may therefore serve as a means for cardioversion. First proof-of-principle for such light-induced cardioversion came from in vitro studies, proving optogenetic AF termination to be very effective. Later, these results were confirmed in various rodent models of AF using different transgenes, illumination methods, and protocols, whereas computational studies in the human heart provided additional translational insight. Based on these results and fueled by recent advances in molecular biology, gene therapy, and optoelectronic engineering, a basis is now being formed to explore clinical translations of optoelectronic control of cardiac rhythm. In this review, we discuss the current literature regarding optogenetic cardioversion of AF to restore normal rhythm in a shock-free manner. Moreover, key translational steps will be discussed, both from a biological and technological point of view, to outline a path toward realizing acute shock-free ambulatory termination of AF.","atrial fibrillation; cardiology; cardioversion; engineering; optogenetics; treatments","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f03a92f6-37ee-4ac5-97cd-2501401fd19f","http://resolver.tudelft.nl/uuid:f03a92f6-37ee-4ac5-97cd-2501401fd19f","A novel lattice model to predict chloride diffusion coefficient of unsaturated cementitious materials based on multi-typed pore structure characteristics","Tong, Liang-yu (Shanghai Jiao Tong University); Xiong, Qing Xiang (Shanghai Jiao Tong University); Zhang, Zhidong (ETH Zürich); Chen, Xiangsheng (Shenzhen University); Ye, G. (TU Delft Materials and Environment); Liu, Qing feng (Shanghai Jiao Tong University)","","2023","This paper develops a novel lattice diffusive model to quantitatively study the chloride diffusion coefficient in unsaturated cementitious materials, in which the pore voxels are redistributed to make a better representation of a real microstructure of hardened cement paste. Considering the hierarchical microstructure and different drying-wetting cycles, water distributions in multiscale pore structures are modelled and the structure characteristics of water-filled pores, including water connectivity, water tortuosity and effective porosity, are computationally extracted based on that. A lattice diffusion network is established to predict relative chloride diffusion coefficient by combining the effect of both water saturation degree and pore structure characteristics. The predicted results are validated against experimental data, and a concise analytical equation is proposed to predict the relative chloride diffusion coefficient. The equation indicated that the relative chloride diffusion coefficient is proportional to water connectivity but inversely proportional to the square of water tortuosity. Besides, the lattice model's quantitative results reveal that the water connectivity and water tortuosity are highly related to pre-water loading processes, and influenced by the gel pore fraction, which in turn will affect the relative chloride diffusion coefficient. Compared with existing equations and non-redistributed models, the present model could improve the prediction accuracy significantly.","Cementitious materials; Chloride diffusion; Drying-wetting process; Lattice model; Pore structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-07","","","Materials and Environment","","",""
"uuid:d19e79cd-83f4-4ca9-8f47-d9b925814d9c","http://resolver.tudelft.nl/uuid:d19e79cd-83f4-4ca9-8f47-d9b925814d9c","Characterizing two types of zonation within slag rims of aged alkali-activated slag pastes through SEM and TEM","Zhang, Y. (TU Delft Materials and Environment; Southeast University); Zhang, Shizhe (TU Delft Materials and Environment; Renewi Mineralz & Water); Liu, C. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","In this paper, the authors characterized two types of zonation within slag rims in aged alkali-activated slag (AAS) systems through SEM and TEM technology. These two elemental zonation were closely related to the pore structure of AAS pastes, thus providing strong implication for the transport- and durability-related performance of AAS systems. The first type of zonation occurred in the rims of AAS specimens under sealed curing. It was found that lath-like hydrotalcite-like phase accumulated near the boundary while a generally homogeneous and foil-like C-(N-)A-S-H gel phases precipitated in the following sub-zone. When slag rims were thick enough, a new Mg-rich region occurred. The second type of zonation was noticed in the carbonated AAS pastes. For this kind of distribution pattern, C-(N-)A-S-H gel phases were observed near the boundary. Following, the accumulation of Mg and Ca occurred alternatively. Additionally, transformation mechanism between these two types of zonation was also proposed.","Alkali-activated slag; Capillary pore; Carbonation; SEM and TEM; Slag rim; Zonation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:159c99ea-b65c-4638-b189-cde385e71e12","http://resolver.tudelft.nl/uuid:159c99ea-b65c-4638-b189-cde385e71e12","Magnetic Coupling Based Test Development for Contact and Interconnect Defects in STT-MRAMs","Yuan, S. (TU Delft Team Bart De Schutter; IMEC-Solliance); Zhang, Z. (TU Delft Industrial Design Engineering); Fieback, M. (TU Delft Computer Engineering); Xun, H. (TU Delft Computer Engineering); Marinissen, E. J. (IMEC-Solliance); Kar, G. S. (IMEC-Solliance); Rao, S. (TU Delft Education and Student Affairs; IMEC-Solliance); Couet, S. (IMEC-Solliance); Taouil, M. (TU Delft Computer Engineering; CognitiveIC); Hamdioui, S. (TU Delft Computer Engineering; CognitiveIC)","","2023","The development of Spin-Transfer Torque Magnetic RAMs (STT-MRAMs) mass production requires high-quality test solutions. Accurate and appropriate fault modeling is crucial for the realization of such solutions. This paper targets fault modeling and test generation for all interconnect and contact defects in STT-MRAMs and shows that using the defect injection and circuit simulation for fault modeling without incorporating the impact of magnetic coupling will result in an incomplete set of fault models; hence, not obtaining accurate fault models. Magnetic coupling introduced by the stray field is an inherent property of STT-MRAMs and may foster the occurrence of additional memory faults. Not considering the magnetic coupling clearly will give rise to test escapes. The paper introduces a compact model for STT-MRAM that incorporates the intra- and inter-cell stray field, uses this model to derive the full set of fault models for interconnect and contact defects, and finally proposes an efficient test solution.","fault modeling; magnetic coupling; stray field; STT-MRAM; test development","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","Industrial Design Engineering","","Team Bart De Schutter","","",""
"uuid:90ee313f-a926-49b0-b915-5664b463f122","http://resolver.tudelft.nl/uuid:90ee313f-a926-49b0-b915-5664b463f122","Role of Surface Roughness in Surface Energy Calculation of Aggregate Minerals","Gao, Y. (TU Delft Pavement Engineering; Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Yuanyuan (Wuhan Institute of Technology); Zhang, Yuqing (Southeast University)","","2023","Surface energy is a key material property and can work as a crucial parameter in various mechanical models to predict the moisture sensitivity and fatigue damage of asphalt mixtures. The calculated surface energy values of the aggregate minerals strongly depend on their surface roughness. Therefore, it is very relevant for accurate calculation of surface energy to study the relationship between roughness and surface energy. This study aims to investigate the relationship between surface roughness and surface energy of aggregate minerals. Two minerals—quartz and calcite—were used for this study. The surfaces of the mineral specimens were treated to achieve four levels of roughness. Their surface roughness was described by three roughness parameters. Based on the sessile drop method, an optical tensiometer with a 3D topography module was employed to measure the contact angle and the surface energy of the minerals with different roughness. The influences of surface roughness on the contact angle and the surface energy were then analyzed. The results showed that the contact angle for both quartz and calcite decreases with the increasing surface roughness when it is less than 90° and increases when it is greater than 90°. The Wenzel equation can remove the effect of surface roughness on the contact angles of the minerals. The surface energy of quartz and calcite in the presence of roughness at the microscale would be underestimated when using the measured (apparent) contact angle. The corrected surface energy based on the Wenzel equation must be applied to represent the real surface energy of the minerals.","aggregate minerals; contact angle; sessile drop (SD) method; surface energy; surface roughness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-25","","","Pavement Engineering","","",""
"uuid:26200c27-caee-41ca-8359-19565724e26f","http://resolver.tudelft.nl/uuid:26200c27-caee-41ca-8359-19565724e26f","Numerical study on the chemical and electrochemical coupling mechanisms for concrete under combined chloride-sulfate attack","Meng, Z. (TU Delft Materials and Environment; Shanghai Jiao Tong University); Liu, Qing feng (Shanghai Jiao Tong University); Ukrainczyk, Neven (Technische Universität Darmstadt); Mu, Song (Jiangsu Research Institute of Building Science); Zhang, Yufei (University of Macau); De Schutter, Geert (Universiteit Gent)","","2023","Cementitious materials exposed to marine and saline environments are commonly threatened by a combined attack of sulfate and chloride ions. This study developed a numerical framework to investigate two combined coupling mechanisms of 1) coupled solid-liquid chemical reactions for competitive chloride-sulfate attack and 2) electrostatic multi-ion coupling effect on reactive-transport mechanisms. Various chemical reactions including sulfate attack with anhydrous calcium aluminates, secondary precipitation of expansive minerals, competitive binding, and calcium leaching have been quantified. The electrostatic potential caused by multi-ions coupling was solved according to constitutive electrochemical laws. After model validation, the chemical coupling mechanisms for solid-liquid reactions during competitive chloride-sulfate binding were investigated. On this foundation, the influence of electrostatic multi-ionic coupling effects on ionic transport and its interaction with chemical coupling were disclosed. It was found that neglecting multi-ions coupling effect would result in an underestimated chemical coupling strength in competitive chloride-sulfate binding.","Chloride ingress; Competitive binding; Electrostatic potential; Numerical modelling; Reactive-transport; Sulfate attack","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Materials and Environment","","",""
"uuid:9f9758ab-4f48-4451-a080-e330f462b891","http://resolver.tudelft.nl/uuid:9f9758ab-4f48-4451-a080-e330f462b891","Enhancing thermal degradation stability of BaSi2O2N2:Eu2+ for white light-emitting diodes by ultra-thin Al2O3 layer via atomic layer deposition","Zhao, Y. (TU Delft ChemE/Product and Process Engineering; Henan University of Science and Technology; Xiamen University); Wang, Xiao (Henan University of Science and Technology); Li, Quan an (Henan University of Science and Technology); Zhang, Xinyu (Xiamen University); Li, Ye (Xiamen University); Xie, Rong Jun (Xiamen University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Hintzen, H.T.J.M. (TU Delft RST/Luminescence Materials)","","2023","The cyan-emitting BaSi2O2N2:Eu2+ phosphor is a promising narrow-band and high-efficiency luminescent material used in wide-color-gamut white light-emitting diodes (wLEDs). However, its serious degradation under thermal attacks hinders its practical applications and needs to be improved. Herein, we proposed to deposit a nano-sized Al2O3 film around each BaSi2O2N2:Eu2+ particle through atomic layer deposition (ALD) in a fluidized bed reactor to improve its thermal stability. Thermal gravimetric analysis results showed that the Al2O3 layer with a thickness of only 11 nm had an obvious anti-oxidization effect, by which the oxidation temperature in air of the Al2O3 coated phosphor was largely increased from ∼550 to ∼750 °C. Moreover, the Al2O3 coated phosphor remained 93% of its luminescence intensity in comparison to 73% of the uncoated one when degraded under water-steam at 200 °C for 24 h. The oxidization of both the BaSi2O2N2 host matrix and the doped Eu2+ ions was reduced by the Al2O3 layer. Meanwhile, the wLEDs fabricated with the Al2O3 coated phosphor showed a luminous flux of 3 times higher than that of the uncoated one when aged under 100 mA for 300 h. The greatly improved thermal degradation property of BaSi2O2N2:Eu2+ phosphor and the reliability of the wLEDs indicate that the ALD approach could be a feasible route to produce uniform and nano layers on phosphors and enhance their stability.","Atomic layer deposition; Phosphor; Thermal stability; wLED","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","http://resolver.tudelft.nl/uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","DaisyRec 2.0: Benchmarking Recommendation for Rigorous Evaluation","Sun, Zhu (Institute of High Performance Computing); Fang, Hui; Yang, J. (TU Delft Web Information Systems); Qu, Xinghua (Bytedance AI Lab); Liu, Hongyang (Yanshan University); Yu, Di (Singapore Management University); Ong, Yew Soon (Nanyang Technological University); Zhang, Jie (Nanyang Technological University)","","2023","Recently, one critical issue looms large in the field of recommender systems - there are no effective benchmarks for rigorous evaluation - which consequently leads to unreproducible evaluation and unfair comparison. We, therefore, conduct studies from the perspectives of practical theory and experiments, aiming at benchmarking recommendation for rigorous evaluation. Regarding the theoretical study, a series of hyper-factors affecting recommendation performance throughout the whole evaluation chain are systematically summarized and analyzed via an exhaustive review on 141 papers published at eight top-tier conferences within 2017-2020. We then classify them into model-independent and model-dependent hyper-factors, and different modes of rigorous evaluation are defined and discussed in-depth accordingly. For the experimental study, we release DaisyRec 2.0 library by integrating these hyper-factors to perform rigorous evaluation, whereby a holistic empirical study is conducted to unveil the impacts of different hyper-factors on recommendation performance. Supported by the theoretical and experimental studies, we finally create benchmarks for rigorous evaluation by proposing standardized procedures and providing performance of ten state-of-the-arts across six evaluation metrics on six datasets as a reference for later study. Overall, our work sheds light on the issues in recommendation evaluation, provides potential solutions for rigorous evaluation, and lays foundation for further investigation.","Benchmarks; fair comparison; recommender systems; reproducible evaluation; standardized procedures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Web Information Systems","","",""
"uuid:a1815d12-feb4-456b-9888-44f2ecb082ab","http://resolver.tudelft.nl/uuid:a1815d12-feb4-456b-9888-44f2ecb082ab","Large-eddy simulation of dual-fuel spray ignition at varying levels of methane diluted ambient oxidizer using FGM","Bao, Hesheng (Eindhoven University of Technology); Han, Jinlin (Eindhoven University of Technology); Zhang, Yan (CAEP Software Center for High Performance Numerical Simulation; Institute of Applied Physics and Computational Mathematics); Di Matteo, Andrea (Eindhoven University of Technology); Roekaerts, D.J.E.M. (TU Delft Fluid Mechanics); Van Oijen, Jeroen (Eindhoven University of Technology); Somers, Bart (Eindhoven University of Technology)","","2023","In the current work, the Flamelet Generated Manifold (FGM) method is applied with large-eddy simulation (LES) to investigate the effect of methane on dual-fuel (DF) spray ignition. The diesel surrogate n-dodecane is injected as the so-called pilot fuel into selected lean methane–air mixtures, ranging from ϕCH4=0 to ϕCH4=0.75, at engine relevant conditions. The operating conditions are those of the completely characterized Engine Combustion Network (ECN) Spray A configuration, for which the modeling approach adopted in the present study was extensively validated. The specific purpose of this study is to extend and validate the FGM approach for dual-fuel combustion. In order to understand the interplay of chemistry and mixing, the ignition behavior of selected cases is investigated. It is found that both low and high temperature combustion (LTC and HTC, respectively) are increasingly retarded by higher values of ϕCH4, while the induction time between LTC and HTC is relatively insensitive compared to the ignition delay time (IDT). Analysis reveals a more prominent role of mixing for increased ϕCH4. The development of LTC and HTC are quantitatively analyzed for different cases. The transition from LTC to HTC is found to be highly correlated with the evolution of lift-off length (LOL), which on its turn is seriously affected by ϕCH4. The local flame behavior is analyzed via chemical explosive mode analysis (CEMA), suggesting a clear flame propagation due to diffusion towards lean mixtures after the ignition of the pilot fuel. Besides, it is found that diffusion helps to stabilize the flame in leaner mixtures, which is more important in DF combustion. The results show FGM to be a promising tool in modeling the DF sprays.","Ambient methane concentration; Dual-fuel ignition; Engine Combustion Network; FGM; Large-eddy simulation; Spray A","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:9dd47dcd-30b2-475a-9e16-098de2b826c2","http://resolver.tudelft.nl/uuid:9dd47dcd-30b2-475a-9e16-098de2b826c2","An Edible Humidity Indicator That Responds to Changes in Humidity Mechanically","Zhang, M. (TU Delft Complex Fluid Processing); Arunachalam, Abinaya (Rijksuniversiteit Groningen); Perrin, H.R.L. (TU Delft Complex Fluid Processing); Polat, S. (TU Delft Complex Fluid Processing; Marmara University); Groenewold, Jan (Universiteit Utrecht; South China Normal University); Mendes, E. (TU Delft ChemE/Advanced Soft Matter); Eral, H.B. (TU Delft Complex Fluid Processing; Universiteit Utrecht)","","2023","Elevated humidity levels in medical, food, and pharmaceutical products may reduce the products' shelf life, trigger bacterial growth, and even lead to complete spoilage. In this study, we report a humidity indicator that mechanically bends and rolls itself irreversibly upon exposure to high humidity conditions. The indicator is made of two food-grade polymer films with distinct ratios of a milk protein, casein, and a plasticizer, glycerol, that are physically attached to each other. Based on the thermogravimetric analysis and microstructural characterization, we hypothesize that the bending mechanism is a result of hygroscopic swelling and consequent counter diffusion of water and glycerol. Guided by this mechanism, we demonstrate that the rolling behavior, including response time and final curvature, can be tuned by the geometric dimensions of the indicator. As the proposed indicator is made of food-grade ingredients, it can be placed directly in contact with perishable products to report exposure to undesirable humidity inside the package, without the risk of contaminating the product or causing oral toxicity in case of accidental digestion, features that commercial inedible electronic and chemo-chromatic sensors cannot provide presently.","Best-by date; Caseinate film; Edible; Humidity indicator; Intelligent tag; Mechanical bending; Rolling","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:3ab7c488-320d-4148-9e9c-fc84ee65c7e0","http://resolver.tudelft.nl/uuid:3ab7c488-320d-4148-9e9c-fc84ee65c7e0","The 2023 terahertz science and technology roadmap","Leitenstorfer, Alfred (Universität Konstanz); Moskalenko, Andrey S. (Korea Advanced Institute of Science and Technology); Kampfrath, Tobias (Freie Universität Berlin; Fritz-Haber-Institut der Max-Planck-Gesellschaft); Kono, Junichiro (Rice University); Kim, Ki Yong (University of Maryland); Zhang, Xi Cheng (University of Rochester Institute of Optics); Walker, Christopher; Gao, J.R. (TU Delft ImPhys/Adam group); Li, Chong (University of Glasgow)","","2023","Terahertz (THz) radiation encompasses a wide spectral range within the electromagnetic spectrum that extends from microwaves to the far infrared (100 GHz-∼30 THz). Within its frequency boundaries exist a broad variety of scientific disciplines that have presented, and continue to present, technical challenges to researchers. During the past 50 years, for instance, the demands of the scientific community have substantially evolved and with a need for advanced instrumentation to support radio astronomy, Earth observation, weather forecasting, security imaging, telecommunications, non-destructive device testing and much more. Furthermore, applications have required an emergence of technology from the laboratory environment to production-scale supply and in-the-field deployments ranging from harsh ground-based locations to deep space. In addressing these requirements, the research and development community has advanced related technology and bridged the transition between electronics and photonics that high frequency operation demands. The multidisciplinary nature of THz work was our stimulus for creating the 2017 THz Science and Technology Roadmap (Dhillon et al 2017 J. Phys. D: Appl. Phys. 50 043001). As one might envisage, though, there remains much to explore both scientifically and technically and the field has continued to develop and expand rapidly. It is timely, therefore, to revise our previous roadmap and in this 2023 version we both provide an update on key developments in established technical areas that have important scientific and public benefit, and highlight new and emerging areas that show particular promise. The developments that we describe thus span from fundamental scientific research, such as THz astronomy and the emergent area of THz quantum optics, to highly applied and commercially and societally impactful subjects that include 6G THz communications, medical imaging, and climate monitoring and prediction. Our Roadmap vision draws upon the expertise and perspective of multiple international specialists that together provide an overview of past developments and the likely challenges facing the field of THz science and technology in future decades. The document is written in a form that is accessible to policy makers who wish to gain an overview of the current state of the THz art, and for the non-specialist and curious who wish to understand available technology and challenges. A such, our experts deliver a ‘snapshot’ introduction to the current status of the field and provide suggestions for exciting future technical development directions. Ultimately, we intend the Roadmap to portray the advantages and benefits of the THz domain and to stimulate further exploration of the field in support of scientific research and commercial realisation.","photonics; spectroscopy; terahertz","en","review","","","","","","","","","","","ImPhys/Adam group","","",""
"uuid:6521467f-ca63-462b-83bd-c7fdcf94123e","http://resolver.tudelft.nl/uuid:6521467f-ca63-462b-83bd-c7fdcf94123e","Reference-based cell type matching of in situ image-based spatial transcriptomics data on primary visual cortex of mouse brain","Zhang, Yun (J. Craig Venter Institute); Miller, Jeremy A. (Allen Institute for Brain Science); Park, Jeongbin (Pusan National University); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Abdelaal, T.R.M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dzyubachyk, O. (Leiden University Medical Center); Lein, Ed S. (Allen Institute for Brain Science); Scheuermann, Richard H. (J. Craig Venter Institute; La Jolla Institute for Immunology)","","2023","With the advent of multiplex fluorescence in situ hybridization (FISH) and in situ RNA sequencing technologies, spatial transcriptomics analysis is advancing rapidly, providing spatial location and gene expression information about cells in tissue sections at single cell resolution. Cell type classification of these spatially-resolved cells can be inferred by matching the spatial transcriptomics data to reference atlases derived from single cell RNA-sequencing (scRNA-seq) in which cell types are defined by differences in their gene expression profiles. However, robust cell type matching of the spatially-resolved cells to reference scRNA-seq atlases is challenging due to the intrinsic differences in resolution between the spatial and scRNA-seq data. In this study, we systematically evaluated six computational algorithms for cell type matching across four image-based spatial transcriptomics experimental protocols (MERFISH, smFISH, BaristaSeq, and ExSeq) conducted on the same mouse primary visual cortex (VISp) brain region. We find that many cells are assigned as the same type by multiple cell type matching algorithms and are present in spatial patterns previously reported from scRNA-seq studies in VISp. Furthermore, by combining the results of individual matching strategies into consensus cell type assignments, we see even greater alignment with biological expectations. We present two ensemble meta-analysis strategies used in this study and share the consensus cell type matching results in the Cytosplore Viewer (https://viewer.cytosplore.org) for interactive visualization and data exploration. The consensus matching can also guide spatial data analysis using SSAM, allowing segmentation-free cell type assignment.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:88161c48-f59a-481c-ba61-4ab5ef2d9474","http://resolver.tudelft.nl/uuid:88161c48-f59a-481c-ba61-4ab5ef2d9474","Towards deep probabilistic graph neural network for natural gas leak detection and localization without labeled anomaly data","Zhang, Xinqi (China University of Petroleum (East China)); Shi, Jihao (China University of Petroleum (East China); The Hong Kong Polytechnic University); Huang, Xinyan (The Hong Kong Polytechnic University); Xiao, Fu (The Hong Kong Polytechnic University); Yang, M. (TU Delft Safety and Security Science); Huang, Jiawei (China University of Petroleum (East China)); Yin, Xiaokang (The Hong Kong Polytechnic University); Sohail Usmani, Asif (The Hong Kong Polytechnic University); Chen, Guoming (China University of Petroleum (East China))","","2023","Deep learning has been widely applied to automated leakage detection and location of natural gas pipe networks. Prevalent deep learning approaches do not consider the spatial dependency of sensors, which limits leakage detection performance. Graph deep learning is a promising alternative to prevailing approaches as it can model spatial dependency. However, the challenge of collecting real-world anomaly data for training limits the accuracy and robustness of currently used graph deep learning approaches. This study proposes a deep probabilistic graph neural network in which attention-based graph neural network is built to model spatial sensor dependency. Variational Bayesian inference is integrated to model the posterior distribution of sensor dependency so that the leakage can be localized. An urban natural gas pipe network experiment is employed to construct the benchmark dataset, in which normal time-series data is applied to develop our proposed model while anomaly leakage data is used for performance comparison between our model and other state-of-the-art models. The results demonstrate that our model exhibits competitive detection accuracy (AUC) = 0.9484, while the additional uncertainty interval provides more comprehensive leakage detection information compared to state-of-the-art deep learning models. In addition, our model's posterior distribution enhances the leakage localization with the accuracy of positioning (PAc) = 0.8, which is higher than that of other state-of-the-art graph deep learning models. This study provides a comprehensive and robust alternative for subsequent decision-making to mitigate natural gas leakage from pipe networks.","Digital twin; Graph deep learning; Leakage detection; Leakage localization; Variation Bayesian Inference","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Safety and Security Science","","",""
"uuid:ab9002c8-475b-43a9-9455-b92727e8f965","http://resolver.tudelft.nl/uuid:ab9002c8-475b-43a9-9455-b92727e8f965","Selective Peroxygenase-Catalysed Oxidation of Toluene Derivates to Benzaldehydes","Wang, Y. (TU Delft BT/Biocatalysis; Tianjin University); Teetz, Niklas (University of Applied Sciences Mittelhessen); Holtmann, Dirk (University of Applied Sciences Mittelhessen); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); van Hengst, J.M.A. (TU Delft BT/Biocatalysis); Liu, Xiaoxiao (Chinese Academy of Sciences); Wang, Mengfan (Tianjin University); Qi, Wei (Tianjin University); Zhang, Wuyuan (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis)","","2023","Biocatalytic oxidation reactions of toluene derivates to the corresponding aldehydes are typically challenged by regio- and chemoselectivity issues. In this contribution we address both challenges by a combined reactant- and reaction engineering approach. We demonstrate that the peroxygenase-catalysed transformation of ring-substituted toluenes proceeds highly regioselectively in benzylic position. Furthermore, neat reaction conditions not only enable attractive product concentrations (up to 185 mM) but also result in highly chemoselective oxidations to the aldehyde level.","Benzaldehydes; Biocatalytic oxidation; Peroxygenase; Selective oxyfunctionalisation; Solvent-free biocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:5e66b4ac-c1b8-4408-9218-1ef58f22f537","http://resolver.tudelft.nl/uuid:5e66b4ac-c1b8-4408-9218-1ef58f22f537","Asymmetric Sites on the ZnZrOx Catalyst for Promoting Formate Formation and Transformation in CO2 Hydrogenation","Feng, Zhendong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Tang, Chizhou (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Pengfei (Chinese Academy of Sciences); Li, Kun (Chinese Academy of Sciences); Li, G. (TU Delft ChemE/Inorganic Systems Engineering); Wang, Jijie (Chinese Academy of Sciences); Feng, Zhaochi (Chinese Academy of Sciences); Li, Can (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2023","The role of formate species for CO2 hydrogenation is still under debate. Although formate has been frequently observed and commonly proposed as the possible intermediate, there is no definite evidence for the reaction of formate species for methanol production. Here, formate formation and conversion over the ZnZrOx solid solution catalyst are investigated by in situ/operando diffuse reflectance infrared Fourier transform spectroscopy-mass spectroscopy (DRIFTS-MS) coupled with density functional theory (DFT) calculations. Spectroscopic results show that bidentate carbonate formed from CO2 adsorption is hydrogenated to formate on Zn-O-Zr sites (asymmetric sites), where the Zn site is responsible for H2 activation and the Zr site is beneficial for the stabilization of reaction intermediates. The asymmetric Zn-O-Zr sites with adjacent and inequivalent features on the ZnZrOx catalyst promote not only formate formation but also its transformation. Both theoretical and experimental results demonstrate that the origin of the excellent performance of the ZnZrOx catalyst for methanol formation is associated with the H2 heterolytic cleavage promoted by the asymmetric Zn and Zr sites.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:bc824f66-ea8e-4f00-8b6c-b968b798c8ea","http://resolver.tudelft.nl/uuid:bc824f66-ea8e-4f00-8b6c-b968b798c8ea","Stretchable strain sensor based on HfSe2/LIG composite with high sensitivity and good linearity within a wide range","Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Huang, Qianming (Harbin Institute of Technology; Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Southern University of Science and Technology); Xu, Siyuan (Southern University of Science and Technology); Ye, Huaiyu (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Flexible strain sensors based on nanomaterials have sparked a lot of interest in the field of wearable smart electronics. Laser induced graphene (LIG) based sensors in particular stand out due to their straightforward fabrication procedure, three-dimensional porous structures, and exceptional electromechanical capabilities. Recent studies have focused on LIG composites, however, it is still difficult to achieve great sensitivity and excellent linearity in a wide linear working range. Herein, a strain sensor with high sensitivity and good linearity is prepared in this work, which was realized by carbonizing the polyimide film coated with HfSe2 to obtain three-dimensional porous graphene nanosheets decorated with HfSe2 (HfSe2/LIG). After being transferred to the flexible substrate of Ecoflex, it exhibits high stretchability, hydrophobicity and robustness, and obtains excellent electromechanical properties. The HfSe2/LIG strain sensor demonstrated high sensitivity (gauge factor, GF ≈ 46), a low detection limit (0.02%), good linearity (R2 = 0.99) in a large working range (up to 30%), and a quick response time (0.20 s). Additionally, it exhibits good stability and consistent behavior across a large number of strain/release test cycles (>3000 cycles). With these benefits, the sensor can be used to monitor various limb movements (including finger, wrist and neck movements) and minute artery activity, and can generate reliable signals. Therefore, the HfSe2/LIG-based sensor has enormous potential for use in wearable intelligent electronics and movement monitoring.","HfSe/LIG composite; Piezoresistive strain sensor; Wearable electronics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-13","","","Bio-Electronics","","",""
"uuid:92041b83-e69b-4074-aff6-54fd7f2ba133","http://resolver.tudelft.nl/uuid:92041b83-e69b-4074-aff6-54fd7f2ba133","Light transmittance in human atrial tissue and transthoracic illumination in rats support translatability of optogenetic cardioversion of atrial fibrillation","Nyns, Emile C.A. (Leiden University Medical Center); Portero, Vincent (Leiden University Medical Center); Deng, Shanliang (Leiden University Medical Center); Jin, T. (TU Delft Electronic Components, Technology and Materials); Harlaar, Niels (Leiden University Medical Center); Bart, Cindy I. (Leiden University Medical Center); van Brakel, Thomas J. (Leiden University Medical Center); Palmen, Meindert (Leiden University Medical Center); Hjortnaes, Jesper (Leiden University Medical Center); Ramkisoensing, Arti A. (Leiden University Medical Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Ördög, Balázs (Leiden University Medical Center); de Vries, Antoine A.F. (Leiden University Medical Center); Pijnappels, Daniël A. (Leiden University Medical Center)","","2023","Background: Optogenetics could offer a solution to the current lack of an ambulatory method for the rapid automated cardioversion of atrial fibrillation (AF), but key translational aspects remain to be studied. Objective: To investigate whether optogenetic cardioversion of AF is effective in the aged heart and whether sufficient light penetrates the human atrial wall. Methods: Atria of adult and aged rats were optogenetically modified to express light-gated ion channels (i.e., red-activatable channelrhodopsin), followed by AF induction and atrial illumination to determine the effectivity of optogenetic cardioversion. The irradiance level was determined by light transmittance measurements on human atrial tissue. Results: AF could be effectively terminated in the remodeled atria of aged rats (97%, n = 6). Subsequently, ex vivo experiments using human atrial auricles demonstrated that 565-nm light pulses at an intensity of 25 mW/mm2 achieved the complete penetration of the atrial wall. Applying such irradiation onto the chest of adult rats resulted in transthoracic atrial illumination as evidenced by the optogenetic cardioversion of AF (90%, n = 4). Conclusion: Transthoracic optogenetic cardioversion of AF is effective in the aged rat heart using irradiation levels compatible with human atrial transmural light penetration.","arrhythmia; atrial fibrillation; gene therapy; optogenetics; translational research","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:be666916-eb5f-422c-b28c-18fef9f155b9","http://resolver.tudelft.nl/uuid:be666916-eb5f-422c-b28c-18fef9f155b9","Rank classification method for cascade reservoirs considering scale, benefits, and risk consequences","Wang, Te (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University; Yellow River Engineering Consulting Co); Zhang, Yadong (Zhengzhou University); Jiao, Yutie (Zhengzhou University); Jing, Laihong (Yellow River Engineering Consulting Co); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Cascade reservoirs are essential in water resource utilization, flood control, and disaster mitigation. They have been built in several rivers worldwide. The rank classification for cascade reservoirs has significant practical implications for resource distribution decisions and risk management strategies and is also essential for ensuring the security of the basin. Internationally, reservoirs are typically classified based on project scale and dam failure consequences, whereas the risk transmission and superposition effect renders the classification method of reservoirs not directly applicable to cascade reservoirs. Therefore, to address this issue, this study proposed a rank classification method for cascade reservoirs. First, based on the scale, benefits, and risk consequences of dam failure, an index system for the rank classification of cascade reservoirs was established. Second, by constructing social risk criteria for cascade reservoirs and establishing a link among “project rank-reliability index-annual failure probability,” the allowable dam failure losses for different project ranks were determined. Thereafter, considering risk transmission and superposition, the rank classification standard and index quantification method for cascade reservoirs were proposed. Finally, five cascade reservoirs were selected for a feasibility study. The proposed method provides a supplement to the current rank classification standard of water conservancy projects in China and can also serve as a reference for risk assessment and classification management of cascade reservoirs in other countries.","Cascade reservoirs; Dam failure; Flood inundation; Risk management; Risk transmission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-24","","","Safety and Security Science","","",""
"uuid:34f87dcb-fec8-4c80-aebd-429c8401958c","http://resolver.tudelft.nl/uuid:34f87dcb-fec8-4c80-aebd-429c8401958c","Direct discharge of sewage to natural water through illicitly connected urban stormwater systems: An overlooked source of dissolved organic matter","Zhang, Ruihua (Tongji University); Xiao, Rong (Tongji University); Wang, Feifei (Shanghai University); Chu, Wenhai (Tongji University; Shanghai Institute of Pollution Control and Ecological Security); Hu, Jinglong (Tongji University); Zhang, Yu (Tongji University); Jin, Wei (Tongji University; Shanghai Institute of Pollution Control and Ecological Security); van der Hoek, J.P. (TU Delft Sanitary Engineering); Xu, Zuxin (Tongji University; Shanghai Institute of Pollution Control and Ecological Security)","","2023","The illicit connection of sewage pipes to stormwater pipes commonly occurs in urban stormwater systems. This brings problems that sewage might be directly discharges into natural water and even drinking water sources without treatment, posing risks to ecological safety. Sewage contains various unknown dissolved organic matter (DOM), which could react with disinfectants and lead to the formation of carcinogenic disinfection byproducts (DBPs). Thus, understanding the impacts of illicit connections on downstream water quality is of significance. This study firstly investigated the characteristics of DOM using fluorescence spectroscopy and the formation of DBPs after chlorination in an urban stormwater drainage system in the case of illicit connections. The results found that the concentrations of dissolved organic carbon and dissolved organic nitrogen ranged from 2.6 to 14.9 mg/L and from 1.8 to 12.6 mg/L, respectively, with the highest levels occurring at the illicit connection points. Concerning DBP precursors, pipe illicit connections introduced considerable precursors of highly toxic haloacetaldehydes and haloacetonitriles into the stormwater pipes. Furthermore, illicit connections introduced more contents of tyrosine-like and tryptophan-like aromatic proteins, which may be related to foods, nutrients, personal care products, etc. in the untreated sewage. This indicated that the urban stormwater drainage system was a significant input source of DOM and DBP precursors to natural water. The results of this study are of great significance for protecting the security of water sources and promoting the sustainability of urban water environment.","Disinfection byproducts; Dissolved organic matter; Illicit connection; Precursors; Urban stormwater system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-16","","","Sanitary Engineering","","",""
"uuid:7c6b6a99-cfbb-4c04-bd4d-d3b0145ee5fc","http://resolver.tudelft.nl/uuid:7c6b6a99-cfbb-4c04-bd4d-d3b0145ee5fc","Modeling Viscoelastic Solid Earth Deformation Due To Ice Age and Contemporary Glacial Mass Changes in ASPECT","Weerdesteijn, Maaike F.M. (Universitetet i Oslo); Naliboff, John B. (New Mexico Tech); Conrad, Clinton P. (Universitetet i Oslo); Reusen, J.M. (TU Delft Astrodynamics & Space Missions); Steffen, Rebekka (Lantmäteriet); Heister, Timo (Clemson University); Zhang, Jiaqi (Clemson University)","","2023","The redistribution of past and present ice and ocean loading on Earth's surface causes solid Earth deformation and geoid changes, known as glacial isostatic adjustment. The deformation is controlled by elastic and viscous material parameters, which are inhomogeneous in the Earth. We present a new viscoelastic solid Earth deformation model in ASPECT (Advanced Solver for Problems in Earth's ConvecTion): a modern, massively parallel, open-source finite element code originally designed to simulate convection in the Earth's mantle. We show the performance of solid Earth deformation in ASPECT and compare solutions to TABOO, a semianalytical code, and Abaqus, a commercial finite element code. The maximum deformation and deformation rates using ASPECT agree within 2.6% for the average percentage difference with TABOO and Abaqus on glacial cycle (∼100 kyr) and contemporary ice melt (∼100 years) timescales. This gives confidence in the performance of our new solid Earth deformation model. We also demonstrate the computational efficiency of using adaptively refined meshes, which is a great advantage for solid Earth deformation modeling. Furthermore, we demonstrate the model performance in the presence of lateral viscosity variations in the upper mantle and report on parallel scalability of the code. This benchmarked code can now be used to investigate regional solid Earth deformation rates from ice age and contemporary ice melt. This is especially interesting for low-viscosity regions in the upper mantle beneath Antarctica and Greenland, where it is not fully understood how ice age and contemporary ice melting contribute to geodetic measurements of solid Earth deformation.","glacial isostatic adjustment; numerical modeling; solid Earth deformation","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:2c566a02-6d2e-4d58-afd6-b7e90fb5942b","http://resolver.tudelft.nl/uuid:2c566a02-6d2e-4d58-afd6-b7e90fb5942b","Polyacrylamide-Poly(vinyl alcohol)-Sodium Alginate-Reduced Graphene Oxide/Nylon Fabrics with Multistimuli Responses","Chen, Yuanyuan (Fudan University); Dai, Hanqing (Fudan University); Yan, Yukun (Fudan University); Piao, Zhiyan (Fudan University); Lu, Hanxu (Fudan University); Hu, Zhe (Fudan University); Wei, Wei (Nanjing University of Posts and Telecommunications); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Wanlu (Fudan University); Guo, Ruiqian (Fudan University)","","2023","In recent years, various functional fabrics capable of responding to multistimuli have been widely recognized as promising wearable devices. However, the obtained composite functional fabrics have only been applied in a few scenarios, rendering the achievement of multifunctional wearable application scenarios a difficult goal. Therefore, there is an urgent need to expand the diversity of wearable applications for functional fabrics. Herein, we design hydrogel composite fabrics capable of responding to multiple stimuli, including vibration, temperature, strain, and pressure, to enable wearable multiapplication scenarios. The hydrogel composite fabrics, based on nylon fabrics (NFs), are fabricated with polyacrylamide (PAM)-poly(vinyl alcohol) (PVA)-sodium alginate (SA)-reduced graphene oxide (rGO)/NFs (PAM-PVA-SA-rGO/NFs). The PAM-PVA-SA-rGO/NFs exhibit a higher elastic stiffness coefficient (2.79 N cm-1) than the blank NFs (1.76 N cm-1), good temperature sensitivity in the range of 30-80 °C, and excellent detecting ability for urine presence with a threshold of unit area of 2.55 × 10-3 mL cm-2. The PAM-PVA-SA-rGO/NFs can not only respond to multiple stimuli but also be integrated into clothing for wearable multiapplication scenarios, such as detecting human speaking and breathing, intelligent sleeves, and diaper alarms. Additionally, the mechanisms of the above phenomena are revealed. These results indicate that the PAM-PVA-SA-rGO/NFs will provide inspiration for the development of intelligence systems, feedback devices, soft robotics, wearable devices, etc.","hydrogel composite fabrics; intelligent diaper alarms; intelligent sleeves; multistimuli responses; wearable multiapplication scenarios","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-18","","","Electronic Components, Technology and Materials","","",""
"uuid:e978f7eb-09db-4c1a-a897-48dacb1bf57d","http://resolver.tudelft.nl/uuid:e978f7eb-09db-4c1a-a897-48dacb1bf57d","Weakly-supervised Learning for Fine-grained Emotion Recognition using Physiological Signals","Zhang, T. (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Wang, Chen (Xinhua News Agency, Beijing); Hanjalic, A. (TU Delft Intelligent Systems); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2023","Instead of predicting just one emotion for one activity (e.g., video watching), fine-grained emotion recognition enables more temporally precise recognition. Previous works on fine-grained emotion recognition require segment-by-segment, fine-grained emotion labels to train the recognition algorithm. However, experiments to collect these labels are costly and time-consuming compared with only collecting one emotion label after the user watched that stimulus (i.e., the post-stimuli emotion labels). To recognize emotions at a finer granularity level when trained with only post-stimuli labels, we propose an emotion recognition algorithm based on Deep Multiple Instance Learning (EDMIL) using physiological signals. EDMIL recognizes fine-grained valence and arousal (V-A) labels by identifying which instances represent the post-stimuli V-A annotated by users after watching the videos. Instead of fully-supervised training, the instances are weakly-supervised by the post-stimuli labels in the training stage. The V-A of instances are estimated by the instance gains, which indicate the probability of instances to predict the post-stimuli labels. We tested EDMIL on three different datasets, CASE, MERCA and CEAP-360VR, collected in three different environments: desktop, mobile and HMD-based Virtual Reality, respectively. Recognition results validated with the fine-grained V-A self-reports show that for subject-independent 3-class classification (high/neutral/low), EDMIL obtains promising recognition accuracies: 75.63% and 79.73% for V-A on CASE, 70.51% and 67.62% for V-A on MERCA and 65.04% and 67.05% for V-A on CEAP-360VR. Our ablation study shows that all components of EDMIL contribute to both the classification and regression tasks. Our experiments also show that (1) compared with fully-supervised learning, weakly-supervised learning can reduce the problem of overfitting caused by the temporal mismatch between fine-grained annotations and physiological signals, (2) instance segment lengths between 1-2 s result in the highest recognition accuracies and (3) EDMIL performs best if post-stimuli annotations consist of less than 30% or more than 60% of the entire video watching.","Annotations; deep multiple instance learning; Emotion recognition; emotion recognition; Feature extraction; physiological signals; Physiology; Solid modeling; Task analysis; temporal ambiguity; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","Intelligent Systems","Multimedia Computing","","",""
"uuid:f64e46ca-e272-4532-a124-5d642f486f9a","http://resolver.tudelft.nl/uuid:f64e46ca-e272-4532-a124-5d642f486f9a","Competing magnetic interactions, structure and magnetocaloric effect in Mn3Sn1-xZnxC antiperovskite carbides","Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schaefers, W. (Student TU Delft); Thijs, M. (Student TU Delft); Dankelman, B.J.R. (TU Delft RID/TS/Technici Pool); Ojiyed, H. (External organisation); Batashev, I. (Radboud Universiteit Nijmegen); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","Structural, magnetic and magnetocaloric properties of Mn3Sn1-xZnxC antiperovskite carbides have been studied. With increasing Zn content the first-order magnetic transition (FOMT) is weakened. The Curie temperature (TC) reduces first from 273 to 197 K and when x > 0.3, TC increases, reaching its maximum of 430 K for x = 1.0. An increase in TC is accompanied by pronounced changes in magnetic behaviour and a significant rise in magnetization from 21.82(4) to 76.2(2) Am2kg−1 for x = 0.8 in the maximum applied magnetic field of 5 T. Neutron powder diffraction (NPD) was employed to study the magnetic structure of Mn3Sn1-xZnxC compounds. The refinement of the NPD data for x = 0.3 revealed a magnetic structure with propagation vector k = (½,½,0) with a decrease in the canted antiferromagnetic (AFM) moment, which results in a reduction of the negative volume change at the magnetic transition and a decrease in the magnetocaloric effect (MCE). For x = 0.4, the magnetic structure is described by a propagation vector k = (½,½,½) for the AFM moment which dominates at low temperature, with the presence of a minor ferromagnetic (FM) component with a k = (0, 0, 0) propagation vector, which confirms the presence of the ferrimagnetic (FiM) state. For a higher Zn content (x = 0.6), the magnetic moment originates mainly from the FM component found on three independent Mn positions and an additional AFM moment oriented in the a-b plane. The results presented confirm the presence of competing AFM-FM interactions in Mn3Sn1-xZnxC antiperovskite carbides.","Antiperovskites; Magnetic properties; Magnetocaloric materials; MnSnC","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:4e39c6d0-f26b-402c-8be5-2993088c5bee","http://resolver.tudelft.nl/uuid:4e39c6d0-f26b-402c-8be5-2993088c5bee","A coupled MPM-DEM method for modelling soil-rock mixtures","Li, Jianguo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, Bin (Chinese Academy of Sciences); Wang, Di (Chinese Academy of Sciences); Zhang, Pei (Westlake University, Hangzhou); Vardon, P.J. (TU Delft Geo-engineering)","","2023","Aiming at modelling the mechanical behaviour of soil-rock mixtures accurately and efficiently, a coupled MPM-DEM formulation combining the material point method (MPM) and the discrete element method (DEM) is proposed. It is solved concurrently via the contact force linking the two individual methods. Specifically, the soil is modelled with MPM as continuums to avoid handling the contacts between fine particles. The rocks are modelled by DEM to capture the contact characteristics of rocks. This method is validated with ball impacting and block sliding tests first for the contact between material points and DEM particles. Its capability in describing the mechanics of soil-rock mixtures is thereafter proved by comparing the simulation results with pure DEM simulations of binary mixtures and laboratory tests of soil-rock mixtures. It is demonstrated that MPM-DEM can reproduce the stress–strain response of soil-rock mixtures and capture the influence of rock contents and rock sizes. In addition, a coarse-graining modelling scheme is implemented, i.e., representing the soil particles with fewer material points, which significantly increases the efficiency compared with pure DEM. Our proposed method provides a novel way to model soil-rock mixtures with reasonable computational efforts, which sheds light on simulating large-scale soil-rock mixtures in nature or engineering.","Binary granular mixture; Discrete element method; Material point method; Soil-rock mixture; Triaxial test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-10","","","Geo-engineering","","",""
"uuid:3b699bac-c7ce-41c0-b8ae-acca10114ba5","http://resolver.tudelft.nl/uuid:3b699bac-c7ce-41c0-b8ae-acca10114ba5","Deconstructing Organizational Capabilities of Megaproject Owners: Dimensions and Levels","Zhang, X. (TU Delft Design & Construction Management; Tongji University); Liu, Mingqiang (Tongji University; Tenth People's Hospital Affiliated Tongji Univ. and Shanghai Tenth People's Hospital); Le, Yun (Tongji University); Wei, Jianjun (Shanghai Shenkang Hospital); Zhu, Yongsong (Tenth People's Hospital Affiliated Tongji Univ. and Shanghai Tenth People's Hospital); Li, Yongkui (Tongji University)","","2023","Given that a strong owner has been identified as an important factor in the success of megaprojects, there has been a growing recognition of the importance of megaproject owner organizational capabilities. However, the questions of what organizational capabilities do owners need to foster successful megaprojects, and whether these capabilities are the stronger the better, have yet to be addressed. To answer these questions, this study identified the dimensions of owner organizational capabilities based on literature and interviews, and developed several sets of parallel hypotheses. Based on the 229 valid questionnaire data collected, the relationships between owner organizational capabilities and megaproject success were analyzed through hierarchical multiple regression, and the results were discussed through expert interviews. The findings show that owner coordination, dynamic, and system integration capabilities have positive impacts on megaproject success, with coordination capabilities being the most significant. While the impact of motivational capabilities on megaproject success is inverted U-shaped. This study provides an actionable dimensional framework for megaproject owner capabilities, moving the field of owner organizational capabilities beyond the conceptual level. This study provides empirical evidence for the importance of megaproject owner organizational capabilities and takes a more dialectical view of a strong owner. The empirical results can provide insights and guidance on the configuration and development of megaproject owner capabilities.","Coordination capabilities; Dynamic capabilities; Megaproject success; Motivational capabilities; Owner organizational capabilities; System integration capabilities","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Design & Construction Management","","",""
"uuid:76d1528b-cb72-468b-a615-10dfc1373390","http://resolver.tudelft.nl/uuid:76d1528b-cb72-468b-a615-10dfc1373390","Air infiltration and related building energy consumption: A case study of office buildings in Changsha, China","Hu, Jie (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Ma, Guochuan (China Southwest Architectural Design and Research Institute); Zhang, Guoqiang (Hunan University); Ai, Zhengtao (Hunan University)","","2023","Past studies reveal that air infiltration through the building envelope and its impact on the indoor environment and energy consumption are significantly influenced by climate characteristics. However, little relevant information is available for buildings in southern China, where the building design traditionally follows a philosophy of being open and shaded. The present study employs both experimental measurements and numerical simulations to investigate the airtightness of buildings in Hot Summer and Cold Winter (HSCW) climate region of southern China and the associated energy consumption. The measurements and simulations are based on a typical office building in Changsha. Measurement results show that the air infiltration rate of six tested spaces at the natural pressure difference ranges from 0.10 to 0.30 h−1 with an average of 0.17 h−1 in summer, and from 0.09 to 0.32 h−1 with an average of 0.16 h−1 in winter. The operation of the air-conditioning system affects largely air infiltration, and each unit change in setpoint air temperature can result in an average of one-third or more change in air infiltration rate. Simulation results show that a decrease in air infiltration rate from 0.17 h−1 to 0.01 h−1 reduces the infiltration-related cooling energy consumption from 14.29 to 0.75 kWh/m2·year and heating energy consumption from 8.20 to 0.39 kWh/m2·year. The same change in the setpoint air temperature of air-conditioning system in summer and winter results in different infiltration-related energy consumption. The findings would contribute to an improved energy simulation and assessment of buildings in southern China.","Air-conditioning system; Airtightness; EnergyPlus; Infiltration-related energy consumption; Tracer gas method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-16","","","Design & Construction Management","","",""
"uuid:edb99a47-8d0c-4a38-a0a6-8d725503ae10","http://resolver.tudelft.nl/uuid:edb99a47-8d0c-4a38-a0a6-8d725503ae10","Engineering ketoreductases for the enantioselective synthesis of chiral alcohols","Qiao, Li (Hangzhou Normal University); Luo, Zhiyuan (Hangzhou Normal University); Chen, Haomin (Hangzhou Normal University); Zhang, Pengfei (Hangzhou Normal University); Wang, Anming (Hangzhou Normal University); Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2023","The use of engineered ketoreductases (KREDS), both as whole microbial cells and isolated enzymes, in the highly enantiospecific reduction of prochiral ketones is reviewed. The homochiral alcohol products are key intermediates in, for example, pharmaceuticals synthesis. The application of sophisticated protein engineering and enzyme immobilisation techniques to increase industrial viability are discussed.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:75e42c32-4a70-4cc3-9497-7bceabd95855","http://resolver.tudelft.nl/uuid:75e42c32-4a70-4cc3-9497-7bceabd95855","Towards weathering and corrosion resistant, self-warning and self-healing epoxy coatings with tannic acid loaded nanocontainers","Wang, Jinke (University of Science and Technology Beijing); Tan, Weimin (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Yang, Hao (University of Science and Technology Beijing); Rao, Xingxing (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Luo, Xinliang (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Ma, Lingwei (University of Science and Technology Beijing); Ren, Chenhao (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing)","","2023","In this work, an active protective epoxy coating with weathering resistant, corrosion-warning, and self-healing properties was developed by incorporating tannic acid (TA) loaded mesoporous silica (MSN-TA) nanocontainers. The introduction of MSN-TA nanocontainers could alleviate the coating degradation via scavenging the radicals generated during UV irradiation. Compared with the blank coating, the coating containing 5 wt.% MSN-TA nanocontainers exhibited much less degradation in surface morphology, wettability and glossiness, and maintained a good barrier property after 384 h of accelerated weathering. Once the coating was damaged, the released TA could react with the Fe3+ ions to form a chelate that endowed the coating scratch with a visible black coloration, i.e. triggering a self-warning capability to indicate the initial onset of corrosion. In addition, the generated chelate could inhibit extensive corrosion propagation, offering a significant self-healing effect demonstrated by the stabilized impedance modulus values during 28 days of immersion in NaCl solution.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:4cb21c0b-2460-4011-8e89-2a4734bf12b8","http://resolver.tudelft.nl/uuid:4cb21c0b-2460-4011-8e89-2a4734bf12b8","Teacher-apprentices RL (TARL): leveraging complex policy distribution through generative adversarial hypernetwork in reinforcement learning","Tang, Shi Yuan (Nanyang Technological University); Irissappane, Athirai A. (University of Washington); Oliehoek, F.A. (TU Delft Interactive Intelligence); Zhang, Jie (Nanyang Technological University)","","2023","Typically, a Reinforcement Learning (RL) algorithm focuses in learning a single deployable policy as the end product. Depending on the initialization methods and seed randomization, learning a single policy could possibly leads to convergence to different local optima across different runs, especially when the algorithm is sensitive to hyper-parameter tuning. Motivated by the capability of Generative Adversarial Networks (GANs) in learning complex data manifold, the adversarial training procedure could be utilized to learn a population of good-performing policies instead. We extend the teacher-student methodology observed in the Knowledge Distillation field in typical deep neural network prediction tasks to RL paradigm. Instead of learning a single compressed student network, an adversarially-trained generative model (hypernetwork) is learned to output network weights of a population of good-performing policy networks, representing a school of apprentices. Our proposed framework, named Teacher-Apprentices RL (TARL), is modular and could be used in conjunction with many existing RL algorithms. We illustrate the performance gain and improved robustness by combining TARL with various types of RL algorithms, including direct policy search Cross-Entropy Method, Q-learning, Actor-Critic, and policy gradient-based methods.","Generative model; Hypernetwork; Reinforcement learning; Teacher-apprentices","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Interactive Intelligence","","",""
"uuid:c1600edf-9d58-4302-9864-5702e568a44e","http://resolver.tudelft.nl/uuid:c1600edf-9d58-4302-9864-5702e568a44e","Chloride penetration resistance of engineered cementitious composite (ECC) subjected to sustained flexural loading","Wang, Chuan (Shandong Hi-Speed Group); Sun, Renjuan (Shandong University); Hu, Xinlei (Chongqing Luneng Development Group Co, Ltd.); Guan, Yanhua (Shandong University); Yang, Yingzi (Harbin Institute of Technology); Lu, Wei (Shandong University); Tian, Jun (Shandong Hi-Speed Group); Zhang, Hongzhi (Shandong University); Ge, Zhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","This paper presents a research on the chloride penetration behavior of engineered cementitious composites (ECC) under sustained flexural loads. Three load levels, i.e. 30 %, 60 % and 75 % of the ultimate flexural load were used. Chloride diffusion depth and concentration profile were measured 30, 60 and 150 days after the specimen was exposed to NaCl solution and compared with pre-loaded specimens. Influence of the sustained local bending stress and microcracks were investigated. It shows that under sustained loads, the relationship between the surface chloride content and maximum normal tensile stress can be described using an exponential equation. A binary model was developed to explain the correlation among the chloride ion diffusion coefficient, maximum normal tensile stress and exposure time. Changes of capillary pore structure and phase compositions were measured using mercury intrusion porosimeter and X-ray diffraction, respectively. Unlike mortar, the fiber bridging of ECC helps with limiting crack width and thus the diffusion process, and the measured results were used to explain the observed penetration behavior of ECC. It is believed that the current study provides theoretical foundation for the durable design of the ECC/concrete composite structure.","Chloride penetration behavior; Engineered cementitious composites; Maximum normal tensile stress; Microcracks; Sustained flexural load","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-26","","","Materials and Environment","","",""
"uuid:68b6aff9-74b7-4373-8089-ed0e2e0c8c56","http://resolver.tudelft.nl/uuid:68b6aff9-74b7-4373-8089-ed0e2e0c8c56","A Strategy Inspired by the Cicada Shedding Its Skin for Synthesizing the Natural Material NaFe3S5·2H2O","Dai, Hanqing (Fudan University); Dai, Wenqing (Shanghai Jiao Tong University); Chen, Yuanyuan (Fudan University); Yan, Yukun (Fudan University); Zuo, Guangzheng (Fudan University); Hu, Zhe (Fudan University); Wei, Jinxin (Fudan University); Zhou, Wenjie (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Sulfide minerals hold significant importance in both fundamental science and industrial advancement. However, certain natural sulfide minerals, such as NaFe3S5·2H2O (NFS), pose great challenges for exploitation and synthesis due to their high susceptibility to oxidation. To date, no successful precedent exists for synthesizing NFS. Here, a novel approach to synthesizing low-cost and pollution-free NFS with high stability using the high-pressure hydrothermal method based solely on knowledge of its chemical formula is presented. Moreover, an innovative strategy inspired by the cicada's molting process to develop unstable natural materials is proposed. The mechanical, thermal, optical, electrochemical, and magnetic properties of the NFS are thoroughly investigated. The storage of lithium, sodium, and potassium ions is primarily concentrated in the gap between (0 0 1) crystal planes. Additionally, as a catalyst for hydrogen evolution reaction (HER) at 10 mA cm−2, micron-sized NFS exhibits an excellent overpotential of 6.5 mV at 90 °C, surpassing those of reported HER catalysts of similar size. This research bridges the gap in the sulfide mineral family, overcomes limitations of the high-pressure hydrothermal method, and paves the way for future synthesis of natural minerals, lunar minerals, and Martian minerals.","catalyze; energy storage; NaFeS·2HO; sulfide mineral","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8646dc40-473d-4213-83d1-4f50a7921e91","http://resolver.tudelft.nl/uuid:8646dc40-473d-4213-83d1-4f50a7921e91","Theoretical investigation on the effect of volume fraction in the optical trapping of gold nanoparticles","Zhu, Z. (TU Delft ImPhys/Urbach group; Shenzhen University); Zhang, Yu Quan (Shenzhen University); Adam, A.J.L. (TU Delft ImPhys/Adam group); Min, Changjun (Shenzhen University); Urbach, Paul (TU Delft ImPhys/Urbach group); Yuan, Xiaocong (Shenzhen University)","","2023","Stable optical trapping of gold nanoparticles is essential and desirable because of its wide applications in nanotechnology. While several factors have been proposed to affect optical trapping stability, the sample's volume fraction during optical trapping has often been neglected. To address this, by utilizing the effective medium theory, we analyze the stability of optical trapping of a gold nanoparticle in human serum albumin solutions, HIV-1,virus solutions, and gold nanoparticle solutions in this article. Our comparative analysis of the optical force and potential on a single gold nanoparticle in solutions of varying volume fractions reveals that both parameters decrease with increasing volume fraction. This finding can aid in more effective control of gold nanoparticles in various applications.","Gold nanoparticle; Optical force; Optical potential well; Optical tweezer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","ImPhys/Urbach group","","",""
"uuid:16547a07-b17b-4690-881a-ce5fd280a91e","http://resolver.tudelft.nl/uuid:16547a07-b17b-4690-881a-ce5fd280a91e","Study on the controllability of the fabrication of single-crystal silicon nanopores/nanoslits with a fast-stop ionic current-monitored TSWE method","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Lei, Xin (Beihang University); Chen, Haiyun (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2023","The application of single-crystal silicon (SCS) nanopore structures in single-molecule-based analytical devices is an emerging approach for the separation and analysis of nanoparticles. The key challenge is to fabricate individual SCS nanopores with precise sizes in a controllable and reproducible way. This paper introduces a fast-stop ionic current-monitored three-step wet etching (TSWE) method for the controllable fabrication of SCS nanopores. Since the nanopore size has a quantitative relationship with the corresponding ionic current, it can be regulated by controlling the ionic current. Thanks to the precise current-monitored and self-stop system, an array of nanoslits with a feature size of only 3 nm was obtained, which is the smallest size ever reported using the TSWE method. Furthermore, by selecting different current jump ratios, individual nanopores of specific sizes were controllably prepared, and the smallest deviation from the theoretical value was 1.4 nm. DNA translocation measurement results revealed that the prepared SCS nanopores possessed the excellent potential to be applied in DNA sequencing. [Figure not available: see fulltext.]","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:29d9ea82-d723-44b1-94bb-f64c95631b6b","http://resolver.tudelft.nl/uuid:29d9ea82-d723-44b1-94bb-f64c95631b6b","Bio-inspired combinable self-powered soft device operating during the disintegration and reconstruction for next-generation artificial electric organs","Chen, Yuanyuan (Fudan University); Dai, Hanqing (Fudan University); Hu, Zhe (Fudan University); Wei, Jinxin (Fudan University); Zhou, Wenjie (Fudan University); Duan, Zhongtao (Fudan University); Cui, Zhongjie (Fudan University); Wei, Wei (Nanjing University of Posts and Telecommunications); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Hydrogel materials have biocompatibility, flexibility, transparency, self-healing ability, adhesion with various substrates, anti-freeze ability, and high-temperature resistance. However, the existing hydrogel devices cannot continue to operate in the case of damage, and they cannot work during the repair period, which brings great challenges and threats to life safety. Herein, we have designed a bio-inspired combinable low-power device by imitating the generation of nerve signals whose components can be disassembled and can continue to operate during the period of reconstruction. And the mechanism and determinants of the above phenomena are revealed. The results indicate that this device can establish some information interaction relationships with the body or its surroundings to reflect and identify certain changes, implying that it will possess promising potential in feedback systems, power transformers, intelligence systems, soft robotics, wearable devices, implanted electronics with flexible characteristics matching biological tissues, etc.","Combinable ability; Disintegration; Hydrogel sensor array; Programmable intelligent identification model; Reconstruction; Self-powered sensors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Electronic Components, Technology and Materials","","",""
"uuid:c2eab784-af95-4bca-bac7-ccd275c62159","http://resolver.tudelft.nl/uuid:c2eab784-af95-4bca-bac7-ccd275c62159","Refined nonlinear fractional derivative model of vehicle-track coupling dynamics","Yang, Fan (Tongji University; Shanghai Jiao Tong University); Zhang, P. (TU Delft Railway Engineering); Wang, Yuan (Southern University of Science and Technology); Wei, Kai (Southwest Jiaotong University; Ministry of Education); Dong, Liwei (Tongji University); Wang, Ping (Southwest Jiaotong University; Ministry of Education)","","2023","The coupled vehicle-track system (CVTS) dynamics have been extensively investigated for decades. However, the calculation accuracy of prevailing vehicle-track coupling models needs to be improved in the high frequency range due to the inappropriate model simplification and neglect of material nonlinearity. In this study, we propose a refined numerical model of the CVTS that considers the nonlinear properties of the railpads and primary suspension using the fraction derivative Zener model. Furthermore, we more realistically simulate the wheelset, rail and railpad configuration with the elastic axle, solid finite element and surface-support models, respectively, and improve the computation efficiency by employing the mode superposition method. The results demonstrate that the refined CVTS model is more accurate than the classical model in simulating vehicle-track coupling dynamics above 2 kHz. In particular, there are significant differences in the dynamic response of the elastic wheelset model compared to the rigid model over a broad frequency range, with an 11% difference in the bogie acceleration response at the first dominant frequency. When the railpads are modeled using the surface-support model, the rail acceleration differences exceed 41% near 1 kHz and 44% near 2650 Hz, compared to the point-support model. Additionally, the rail response at various locations across the rail cross section can be calculated using the finite element method in this refined model. Overall. the proposed CVTS model provides high accuracy and efficiency for random vibration analysis, especially in the high frequency domain.","Elastic wheelset; Finite element method; High frequency; Nonlinear fractional derivative model; Vehicle-track coupled dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-17","","","Railway Engineering","","",""
"uuid:91097b58-6918-46a1-b10c-8d5d645d33d1","http://resolver.tudelft.nl/uuid:91097b58-6918-46a1-b10c-8d5d645d33d1","Optical Switching of Hole Transfer in Double-Perovskite/Graphene Heterostructure","Zhang, Heng (Max Planck Institute for Polymer Research); Debroye, Elke (Katholieke Universiteit Leuven); Fu, Shuai (Max Planck Institute for Polymer Research); González, Miriam C.Rodriguez (Katholieke Universiteit Leuven); du Fossé, I. (TU Delft ChemE/Opto-electronic Materials); Geuchies, Jaco J. (Max Planck Institute for Polymer Research); Gao, Lei (Max Planck Institute for Polymer Research; Southeast University, Nanjing); Yu, Xiaoqing (Max Planck Institute for Polymer Research); Houtepen, A.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","Synergically combining their respective ultrahigh charge mobility and strong light absorption, graphene (Gr)/semiconductor heterostructures are promising building blocks for efficient optoelectronics, particularly photodetectors. Charge transfer (CT) across the heterostructure interface crucially determines device efficiency and functionality. Here, it is reported that hole-transfer processes dominate the ultrafast CT across strongly coupled double-perovskite Cs2AgBiBr6/graphene (DP/Gr) heterostructures following optical excitation. While holes are the primary charges flowing across interfaces, their transfer direction, as well as efficiency, show a remarkable dependence on the excitation wavelength. For excitation with photon energies below the bandgap of DPs, the photoexcited hot holes in Gr can compete with the thermalization process and inject into in-gap defect states in DPs. In contrast, above-bandgap excitation of DP reverses the hole-transfer direction, leading to hole transfer from the valence band of DPs to Gr. Experimental evidence that increasing the excitation photon energy enhances CT efficiency for both below- and above-bandgap photoexcitation regimes is further provided, unveiling the positive role of excess energy in enhancing interfacial CT. The possibility of switching the hole-transfer direction and thus the interfacial photogating field by tuning the excitation wavelength, provides a novel way to control the interfacial charge flow across a DP/Gr heterojunction.","charge transfer; double perovskites; graphene; terahertz spectroscopy","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:36e24067-2b75-496a-93a2-532dc45d5115","http://resolver.tudelft.nl/uuid:36e24067-2b75-496a-93a2-532dc45d5115","Cobalt immobilization performance and mechanism analysis of low carbon belite calcium sulfoaluminate cement","Chi, Lin (University of Shanghai for Science and Technology); Li, Mengxuan (University of Shanghai for Science and Technology); Zhang, Qianrui (University of Shanghai for Science and Technology); Liang, X. (TU Delft Materials and Environment); Huang, Chendong (University of Shanghai for Science and Technology); Peng, Bin (University of Shanghai for Science and Technology); Sun, Haisheng (University of Shanghai for Science and Technology)","","2023","Cementitious materials are well acknowledged as one of the most adaptable materials for immobilizing heavy metals. Belite calcium sulfoaluminate cement (BCSA), one of the low-carbon alternative binders to cement with superior properties regarding chemical resistance and mechanical properties, is found with a desirable capability for waste immobilization. In this study, BCSA was used for Co(II) immobilization with a dosage of up to 2.5% by weight of BCSA. The results showed that Co(II) could promote the hydration of BCSA pastes, specifically accelerated the hydration of ye'elimite. More hydration products could be generated in the Co(II)-doped BCSA pastes, leading to the construction of a denser microstructure. The compressive strength of BCSA pastes would be slightly improved when BCSA was used for Co(II) immobilization, and the electrical resistivity would decrease. In terms of Co(II) immobilization, BCSA cement exhibited a desirable capacity for Co(II) immobilization. The majority of the Co(II) could be immobilized within the first 100 min of mixing BCSA with Co(II) solutions. The immobilization degrees of Co(II) in hardened BCSA pastes could approach about 99.99% after 7d. The acquired results indicated that BCSA cement is effective for Co(II) immobilization. Therefore, BCSA has a low-carbon advantage with superior strength development over time and prospective capacity of heavy metals immobilization.","Belite calcium sulfoaluminate cement; Cement hydration; Cobalt immobilization; Hazardous waste","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f63eedfa-b572-4ed8-ba67-baf1dfbb35b2","http://resolver.tudelft.nl/uuid:f63eedfa-b572-4ed8-ba67-baf1dfbb35b2","Assessment of Vigilance Level during Work: Fitting a Hidden Markov Model to Heart Rate Variability","Wang, Hanyu (Northwestern Polytechnical University); Chen, Dengkai (Northwestern Polytechnical University); Huang, Y. (TU Delft Design Conceptualization and Communication; Northwestern Polytechnical University); Zhang, Yahan (Shanghai Jiao Tong University); Qiao, Yidan (Northwestern Polytechnical University); Xiao, Jianghao (Northwestern Polytechnical University); Xie, Ning (Northwestern Polytechnical University); Fan, Hao (Zhejiang University)","","2023","This study aimed to enhance the real-time performance and accuracy of vigilance assessment by developing a hidden Markov model (HMM). Electrocardiogram (ECG) signals were collected and processed to remove noise and baseline drift. A group of 20 volunteers participated in the study. Their heart rate variability (HRV) was measured to train parameters of the modified hidden Markov model for a vigilance assessment. The data were collected to train the model using the Baum–Welch algorithm and to obtain the state transition probability matrix (Formula presented.) and the observation probability matrix (Formula presented.). Finally, the data of three volunteers with different transition patterns of mental state were selected randomly and the Viterbi algorithm was used to find the optimal state, which was compared with the actual state. The constructed vigilance assessment model had a high accuracy rate, and the accuracy rate of data prediction for these three volunteers exceeded 80%. Our approach can be used in wearable products to improve their vigilance level assessment functionality or in other fields that have key positions with high concentration requirements and monotonous repetitive work.","heart rate variability; hidden Markov model; psychomotor vigilance task; vigilance; visual search task; wearable device","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:df59064f-0753-4886-8976-595c06e2469b","http://resolver.tudelft.nl/uuid:df59064f-0753-4886-8976-595c06e2469b","Managing connected and automated vehicles with flexible routing at “lane-allocation-free” intersections","Hao, R. (TU Delft Transport and Planning; Tongji University); Zhang, Yuxiao (Tongji University); Ma, Wanjing (Tongji University); Yu, Chunhui (Tongji University); Sun, Tuo (Tongji University); van Arem, B. (TU Delft Transport and Planning)","","2023","With the development of internet of vehicles and automated driving, individual-based trajectory control at intersections becomes possible. Trajectory planning and coordination for connected and automated vehicles (CAVs) have been studied at isolated “signal-free” intersections and in “signal-free” corridors under the fully CAV environment in the literature. Most existing studies are based on the definition of approaching and exit lanes. The route a vehicle takes to pass through an intersection is determined by its movement. That is, only the origin and destination arms are included. This study proposes a mixed-integer linear programming (MILP) model to optimize vehicle trajectories at an isolated “signal-free” intersection without lane allocation, denoted as “lane-allocation-free” (LAF) control. Each lane can be used as both approaching and exit lanes for all vehicle movements including left-turn, through, and right-turn. A vehicle can take a flexible route by way of multiple arms to pass through the intersection. In this way, the spatial–temporal resources are expected to be fully utilized. The interactions between vehicle trajectories are modeled explicitly at the microscopic level. Vehicle routes and trajectories (i.e., car-following and lane-changing behaviors) at the intersection are optimized in one unified framework for system optimality in terms of total vehicle delay. Considering varying traffic conditions, the planning horizon is adaptively adjusted in the implementation of the proposed model to make a balance between solution feasibility and computational burden. Numerical studies validate the advantages of the LAF control in terms of both vehicle delay and throughput with different demand structures and temporal safety gaps.","Connected and automated vehicle; Flexible routing; Isolated intersection; Lane-allocation-free; Signal-free","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-10","","","Transport and Planning","","",""
"uuid:5f985331-9a98-45bc-b18e-4fa93a39a33e","http://resolver.tudelft.nl/uuid:5f985331-9a98-45bc-b18e-4fa93a39a33e","Self-healing cementitious composites with a hollow vascular network created using 3D-printed sacrificial templates","Wan, Z. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","Additively manufactured vascular networks have great potential for use in autonomous self-healing of cementitious composites as they potentially allow multiple healing events to take place. However, the existence of a vascular tube wall may impede with the healing efficiency if it does not rupture timely to release the healing agent. The issue of vascular material design has therefore been a major topic of research. To overcome this, dissolvable Polyvinyl Alcohol (PVA) filament is adopted in this study to fabricate the vascular networks. Fabricated networks are coated with wax, placed in cementitious mortar and removed upon hardening, thereby leaving a network of hollow channels. Different printing directions were expected to affect the dissolvability of printed structures and were therefore fabricated and tested. Different shapes (i.e., 2D and 3D) of vascular networks were printed and embedded in the cementitious mortar. Four-point bending tests and permeability tests were performed to investigate the healing efficiency. Multiple healing cycles were applied in the cracked specimens. The results show that the vertically printed PVA tubes with wax coating have good dissolution behaviour. As expected, the existence of vascular networks decreases the initial flexural strength of the specimens. In terms of healing efficiency, excellent mechanical and water tightness recovery were achieved when using epoxy resin as the healing agent. The mechanical recovery after the first healing process is higher than the following healing process. The watertightness of the cracked samples keeps decreasing with the increase of healing cycles. Specimens embedded with 3D vascular networks have higher healing potential than those utilizing 2D vascular networks.","3D printing; Healing efficiency; Polyvinyl alcohol; Self-healing concrete; Vascular network","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:59197d24-8211-43da-b74b-37db18ad43d1","http://resolver.tudelft.nl/uuid:59197d24-8211-43da-b74b-37db18ad43d1","ConceptEVA: Concept-Based Interactive Exploration and Customization of Document Summaries","Zhang, Xiaoyu (University of California); Li, Jianping (University of California); Chi, Po Wei (None); Chandrasegaran, R.S.K. (TU Delft Methodologie en Organisatie van Design); Ma, Kwan Liu (University of California)","","2023","With the most advanced natural language processing and artificial intelligence approaches, effective summarization of long and multi-topic documents - such as academic papers - for readers from different domains still remains a challenge. To address this, we introduce ConceptEVA, a mixed-initiative approach to generate, evaluate, and customize summaries for long and multi-topic documents. ConceptEVA incorporates a custom multi-task longformer encoder decoder to summarize longer documents. Interactive visualizations of document concepts as a network reflecting both semantic relatedness and co-occurrence help users focus on concepts of interest. The user can select these concepts and automatically update the summary to emphasize them. We present two iterations of ConceptEVA evaluated through an expert review and a within-subjects study. We find that participants' satisfaction with customized summaries through ConceptEVA is higher than their own manually-generated summary, while incorporating critique into the summaries proved challenging. Based on our findings, we make recommendations for designing summarization systems incorporating mixed-initiative interactions.","Document Summarization; Interactive Visual Analytics; Knowledge Graph; Mixed-Initiative Interfaces","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:72aa4611-086b-4fa6-83e6-317a03ddfa10","http://resolver.tudelft.nl/uuid:72aa4611-086b-4fa6-83e6-317a03ddfa10","Effect of white mud on properties of alkali activated slag","Sun, Renjuan (Shandong University); Bu, Linglai (Shandong University); Zhang, Hongzhi (Shandong University); Guan, Yanhua (Shandong University); Ma, Chuanyi (Shandong Hi-Speed Group); Ge, Zhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","White mud is a solid waste from the papermaking industry, composed mainly of CaCO3 and residual alkali metal ions (such as Na+, Mg2+). In the current study, the feasibility of using white mud as partial replacement of slag in alkali activated materials is explored. The fluidity, setting time, autogenous shrinkage, mechanical properties, hydration products and microstructure of alkali activated slag containing different amount of white mud are studied. The results show that adding white mud reduces the fluidity of freshly mixed paste, setting time and autogenous shrinkage. The ions released from the white mud participate in the polymerization reaction, accelerate the hydration reaction in the early stage, and promotes the precipitation of Mg-Al and the formation of hydrotalcite. However, excessive quantities of white mud (above 15% of the binder) leads to the reduction of compressive strength. As the content of white mud is enhanced, the Ca/(Si + Al) ratio of the gel increases and the degree of polymerization is reduced. It has been shown that white mud has potential reactivity and can partially replace slag to prepare new alkali activated materials.","Alkali activated slag; Hydration products; Microstructure; White mud","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Materials and Environment","","",""
"uuid:0ce18f67-262f-4cc5-a917-ed09244f5c50","http://resolver.tudelft.nl/uuid:0ce18f67-262f-4cc5-a917-ed09244f5c50","Hybrid Design of Multiplicative Watermarking for Defense Against Malicious Parameter Identification","Zhang, J. (Student TU Delft); Gallo, A.J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2023","Multiplicative watermarking (MWM) is an active diagnosis technique for the detection of highly sophisticated attacks, but is vulnerable to malicious agents that use eaves-dropped data to identify and then remove or replicate the watermark. In this work, we propose a scheme to protect the parameters of MWM, by proposing a design strategy based on piecewise affine (PWA) hybrid dynamical systems, called hybrid multiplicative watermarking (HMWM). Due to the design decision to make certain states of the HMWM systems unobservable, we show that parameter reconstruction by an eavesdropper is infeasible, from both a computational and a system-theoretic perspective, while not altering the system's closed-loop performance.","Attack Detection; Cyber-Physical Security; Resilient Control Systems","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-19","","","Team Riccardo Ferrari","","",""
"uuid:8dc0413a-fd1b-4fb4-b7c0-7a3377fd7e7d","http://resolver.tudelft.nl/uuid:8dc0413a-fd1b-4fb4-b7c0-7a3377fd7e7d","A novel diagnostic tool to identify atrial endo-epicardial asynchrony using signal fingerprinting","Zhang, Lu (Erasmus MC); van Schie, Mathijs S. (Erasmus MC); Knops, Paul (Erasmus MC); Taverne, Yannick J.H.J. (Erasmus MC); de Groot, N.M.S. (TU Delft Signal Processing Systems; Erasmus MC)","","2023","Objective: Patients with persistent atrial fibrillation (AF) have more electrical endo-epicardial asynchrony (EEA) during sinus rhythm (SR) than patients without AF. Prior mapping studies indicated that particularly unipolar, endo- and/or epicardial electrogram (EGM) morphology may be indicators of EEA. This study aim to develop a novel method for estimating the degree of EEA by using unipolar EGM characteristics recorded from either the endo- and/or epicardium. Methods: Simultaneous endo-epicardial mapping during sinus rhythm was performed in 86 patients. EGM characteristics, including unipolar voltages, low-voltage areas (LVAs), potential types (single, short/long double and fractionated potentials: SP, SDP, LDP and FP) and fractionation duration (FD) of double potentials (DP) and FP were compared between EEA and non-EEA areas. Asynchrony Fingerprinting Scores (AFS) containing quantified EGM characteristics were constructed to estimate the degree of EEA. Results: Endo- and epicardial sites of EEA areas are characterized by lower unipolar voltages, a higher number of LDPs and FPs and longer DP and FP durations. Patients with AF have lower potential voltages in EEA areas, along with alterations in the potential types. The EE-AFS, containing the proportion of endocardial LVAs and FD of epicardial DPs, had the highest predictive value for determining the degree of EEA (AUC: 0.913). Endo- and epi-AFS separately also showed good predictive values (AUC: 0.901 and 0.830 respectively). Conclusions: EGM characteristics can be used to identify EEA areas. AFS can be utilized as a novel diagnostic tool for accurately estimating the degree of EEA. These characteristics potentially indicate AF related arrhythmogenic substrates.","Asynchrony Fingerprinting Score; Endo-epicardial asynchrony; Fractionation; Low-voltage areas; unipolar electrograms","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8c5aedab-7017-4fa9-89a7-5842e43e1e9e","http://resolver.tudelft.nl/uuid:8c5aedab-7017-4fa9-89a7-5842e43e1e9e","Focal Plane Array of Shaped Quartz Lenses for Wide Field-of-view Sub-millimeter Imaging Systems","Zhang, H. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","Large format focal plane arrays (FPAs) of dielectric lenses are promising candidates for wide field-of-view submillimeter imagers. In this work, we optimize the scanning gain of such imagers via shaping lens surfaces. We develop an optimization procedure using a field correlation technique between the fields generated by a reflector on the top of the lenses and those generated by the lens feeds. Based on this procedure, an FPA of quartz lens antennas combined with leaky-wave feeds is designed to efficiently illuminate the reflector, achieving a directivity of 50.5 dBi up to scanning 20.3°. The obtained scanning gain loss of 2.6 dB is much lower than that associated with the direct fields coming from the reflector (about 6 dB). The proposed FPA is validated by full-wave simulations with excellent agreement. We have fabricated and measured an example shaped quartz lens optimized for the scanning angle of 20.3° at 180 GHz. The comparison between the simulations and the measurements also shows excellent agreement.","Field correlation; focal plane arrays (FPAs); leaky-wave antennas (LWAs); lens antennas; lens shaping; quartz lenses; sub-millimeter imaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Tera-Hertz Sensing","","",""
"uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","http://resolver.tudelft.nl/uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","Laser-Induced Graphene Formation on Chitosan Derivatives toward Ecofriendly Electronics","Huang, Qian Ming (Harbin Institute of Technology; Southern University of Science and Technology); Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Luo, Anxin (Southern University of Science and Technology); Xu, Siyuan (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","Laser-induced graphene (LIG) has aroused a wide range of research interests ranging from micro-nano energy devices to the Internet of Things (IoT). Nevertheless, the non-degradability of most-used synthetic polymer carbon sources poses a serious threat to the environment. In this work, ecofriendly chitosan-based derivatives, including carboxymethyl chitosan (CMCS), chitosan oligosaccharide, and chitosan hydrochloride, are successfully converted into LIGs for the first time via a convenient one-step CO2 laser engraving at ambient air. The obtained LIGs are characterized by a three-dimensional hierarchical porous structure and exhibit good sheet conductivity. The consecutive carbonization and graphitization mechanism of target precursors induced by laser heat accumulation is also deeply discussed. Besides, based on a mechanically reliable LIG/CMCS composite film and tribo-negative acrylic/polyimide anti-layers, two contact-separation mode triboelectric nanogenerators are built and their power densities range from 1.44 to 2.48 mW cm-2. These devices with long cycle life can be used for low-frequency mechanical energy harvesting and commercial capacitance charging, which could be potentially applied in the wireless sensor network nodes. Such a family of chitosan derivatives paves a new route for LIG synthesis and provides new ideas for ecofriendly LIG electronics.","carbon precursor; chitosan derivatives; ecofriendly and biodegradability; laser-induced graphene; triboelectric nanogenerator","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:fe0d9c9a-bad5-4ad2-a12e-056bc9fe4a11","http://resolver.tudelft.nl/uuid:fe0d9c9a-bad5-4ad2-a12e-056bc9fe4a11","Spatial and temporal reconstruction of unsteady rotating forces through an inverse acoustic method","Xu, Y. (Hefei University of Technology); Zhang, Xiao Zheng (Hefei University of Technology); Casalino, D. (TU Delft Wind Energy); Bi, Chuan Xing (Hefei University of Technology)","","2023","An inverse acoustic method is presented in this work, which allows to determine the spatial and temporal distribution of unsteady rotating forces from microphone array measurements. The method is based on the usage of a space–time regularization with a mixed norm. The proposed method can take advantage of a prior knowledge of the space–time characteristics of the unsteady rotating forces to ensure an accurate force reconstruction in real-time, using a smaller number of input signals compared to more conventional inverse methods. Different properties of the proposed method are initially investigated by using synthetic acoustic signals radiated from rotating point sources and computed via an acoustic analogy formulation. Finally, the method is validated by using experimental acoustic signals radiated from the rotor of an unmanned aerial vehicle.","Space-time regularization; Time-domain inverse method; Unsteady rotating force","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","","Wind Energy","","",""
"uuid:7e8b4c24-adca-44d1-8eab-7a4f3cc5bca6","http://resolver.tudelft.nl/uuid:7e8b4c24-adca-44d1-8eab-7a4f3cc5bca6","Topology optimization of geometrically nonlinear structures using reduced-order modeling","Zhang, L. (TU Delft Computational Design and Mechanics); Zhang, Y. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Mechanical, Maritime and Materials Engineering)","","2023","High computational costs are encountered in topology optimization problems of geometrically nonlinear structures since intensive use has to be made of incremental-iterative finite element simulations. To alleviate this computational intensity, reduced-order models (ROMs) are explored in this paper. The proposed method targets ROM bases consisting of a relatively small set of base vectors while accuracy is still guaranteed. For this, several fully automated update and maintenance techniques for the ROM basis are investigated and combined. In order to remain effective for flexible structures, path derivatives are added to the ROM basis. The corresponding sensitivity analysis (SA) strategies are presented and the accuracy and efficiency are examined. Various geometrically nonlinear examples involving both solid as well as shell elements are studied to test the proposed ROM techniques. Test cases demonstrates that the set of degrees of freedom appearing in the nonlinear equilibrium equation typically reduces to several tenth. Test cases show a reduction of up to 6 times fewer full system updates.","Compliant mechanisms; Geometric nonlinearity; Reduced-order modelings; Shells; Topology optimization","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Computational Design and Mechanics","","",""
"uuid:de111788-28af-4da8-a99e-fc9b2587b753","http://resolver.tudelft.nl/uuid:de111788-28af-4da8-a99e-fc9b2587b753","High-resolution imaging of two radio quasars at the end of the reionization epoch","Perger, Krisztina (Konkoly Observatory Hungarian Academy of Sciences); Zhang, Yingkang (Chinese Academy of Sciences); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); An, Tao (Chinese Academy of Sciences); Gabányi, Krisztina E. (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Hwang, Chorng Yuan (National Central University Taiwan); Koptelova, Ekaterina (National Central University Taiwan); Paragi, Zsolt (Joint Institute for VLBI ERIC); Wang, Ailing (Chinese Academy of Sciences)","","2023","There are approximately 250 quasars discovered at redshift z ≥ 6, of which only a handful were detected in radio bands, and even fewer were imaged with the highest resolution very long baseline interferometry (VLBI) technique. Here we report the results of our dual-frequency observations with the Very Long Baseline Array (VLBA) of two such recently discovered quasars, VIKING J231818.35-311346.3 at z = 6.44 and FIRST J233153.20+112952.11 at z = 6.57. Both extremely distant sources were imaged with VLBI for the first time. The radio properties of the former are consistent with those of quasars with young radio jets. The latter has an UV/optical spectrum characteristic of BL Lac objects, of which no others have been found beyond redshift 4 so far. Our VLBA observations revealed a flat-spectrum compact radio source.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:7289a4ad-77c2-49eb-9766-7b30df63cb7e","http://resolver.tudelft.nl/uuid:7289a4ad-77c2-49eb-9766-7b30df63cb7e","Exploring the negative thermal expansion and magnetocaloric effect in Fe2(Hf,Ti) Laves phase materials","Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy; Radboud Universiteit Nijmegen); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ojiyed, Hamutu (External organisation); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The transition-metal based Laves phase materials represent an extended family of alloys with rich and fascinating physical properties. In this work, we have investigated the negative thermal expansion and magnetocaloric effect in arc-melted and melt-spun Fe2Hf1-xTix (x = 0.15, 0.27, 0.30, 0.33, 0.36, 0.40) alloys. For x = 0.30–0.40, two hexagonal phases with different compositions share the same P63/mmc lattice symmetry, but have slightly different lattice parameters. The saturation magnetization and Curie temperature both follow a decreasing trend with the average unit-cell volume. For Fe2Hf0.6Ti0.4 melt spinning improves the saturation magnetization from 48.7 to 59.6 Am2/kg and the magnetic entropy change from 0.46 to 0.54 J/kgK at a magnetic field change of 2 T. These enhanced values are attributed to an improved homogeneity caused by a suppression of phase segregation during rapid solidification. We have utilized neutron powder diffraction and Mössbauer spectroscopy to illustrate the correlation between the magnetic order and the negative thermal expansion in single-phase Fe2Hf0.85Ti0.15. The magnetic moments of Fe align below 400 K in the a-b plane and a moment change for the Fe atoms is responsible for the large volumetric coefficient of thermal expansion of −25 × 10−6 K−1 over a wide temperature range of 300–400 K.","Magnetocaloric effect; Negative thermal expansion; Neutron diffraction; Second-order phase transition","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:373ece62-e8bc-4da2-aa96-5db63f70df4c","http://resolver.tudelft.nl/uuid:373ece62-e8bc-4da2-aa96-5db63f70df4c","Effect of particle size distribution on the pre-hydration, hydration kinetics, and mechanical properties of calcium sulfoaluminate cement","Lv, Leyang (Shenzhen University); Luo, Shitao (Shenzhen University); Šavija, B. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Li, Lin (Saint-Gobain Research (Shanghai) Co. Ltd.); Ueda, Tamon (Shenzhen University); Xing, Feng (Shenzhen University)","","2023","The particle size distribution (PSD) has a significant influence on the fresh and final properties of cement and its derived products. In this paper, CSA cements with three different mean diameters (D50), ranging from 6.04 to 26.62 μm were prepared by milling. The pre-hydration behavior was quantitatively analyzed, including the degree of pre-hydration, the dynamic change of mineral assemblage and the morphology of the prepared CSA cement exposed to 57%, 75% and 97% relative humidities (RHs) for up to 90 days. Hydration kinetics, porosity, and compressive strength of the cement paste made with fresh and pre-hydrated CSA cement with different PSD were also characterized. The results show that pre-hydration of CSA cement at RH higher than 75% has detrimental effects on the hydraulic activity and strength gain of CSA cement. However, coarser CSA cement (D50 = 26.62 μm) does not only show better resistance to pre-hydration, but also higher compressive strength and lower porosity.","Calcium sulfoaluminate cement; Particle size distribution; Pre-hydration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","","Materials and Environment","","",""
"uuid:4434dcaa-0121-4c96-976f-3f9f44e0708c","http://resolver.tudelft.nl/uuid:4434dcaa-0121-4c96-976f-3f9f44e0708c","Improving structural build-up of limestone-calcined clay-cement pastes by using inorganic additives","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","In 3D concrete printing, fast structuration is a prerequisite for ideal buildability. This paper aims to study the impact of inorganic additives, i.e., CaCl2 and gypsum, on structural build-up and very early-age hydration of limestone-calcined clay-cement (LC3) pastes within the first 70–80 min. Results show that, increasing the dosage of CaCl2 or gypsum can accelerate storage modulus G' and static yield stress evolution with time, as well as increase chemically bound water (H) content and total specific surface area (SSAtotal). Furthermore, good correlations were found between G' and H content, as well as static yield stress and the ratio of free water content to SSAtotal. The acceleration by CaCl2 can be attributed to stimulating C3S and C3A hydration and promoting crystal formation, i.e., ettringite, portlandite, and Friedel's salt. Additionally, the increase in gypsum percentage led to a large amount of unreacted gypsum in the system, resulting in an increase in SSAtotal.","3D concrete printing; CaCl; Gypsum; Limestone-calcined clay-cement; Rheology; Structural build-up; Very early-age hydration","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:002940af-eea2-4c43-85b0-84a20dff8b10","http://resolver.tudelft.nl/uuid:002940af-eea2-4c43-85b0-84a20dff8b10","Building water quality deterioration during water supply restoration after interruption: Influences of premise plumbing configuration","Yao, Mingchen (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Yue (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Dai, Zihan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ren, Anran (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Fang, Jiaxing (Chinese Academy of Sciences; University of Twente); Li, Xiaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Meer, Walter (University of Twente; Oasen); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); Rose, Joan B. (Michigan State University); Liu, Gang (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2023","Premise plumbing plays an essential role in determining the final quality of drinking water consumed by customers. However, little is known about the influences of plumbing configuration on water quality changes. This study selected parallel premise plumbing in the same building with different configurations, i.e., laboratory and toilet plumbing. Water quality deteriorations induced by premise plumbing under regular and interrupted water supply were investigated. The results showed that most of the water quality parameters did not vary under regular supply, except Zn, which was significantly increased by laboratory plumbing (78.2 to 260.7 µg/l). For the bacterial community, the Chao1 index was significantly increased by both plumbing types to a similar level (52 to 104). Laboratory plumbing significantly changed the bacterial community, but toilet plumbing did not. Remarkably, water supply interruption/restoration led to serious water quality deterioration in both plumbing types but resulted in different changes. Physiochemically, discoloration was observed only in laboratory plumbing, along with sharp increases in Mn and Zn. Microbiologically, the increase in ATP was sharper in toilet plumbing than in laboratory plumbing. Some opportunistic pathogen-containing genera, e.g., Legionella spp. and Pseudomonas spp., were present in both plumbing types but only in disturbed samples. This study highlighted the esthetic, chemical, and microbiological risks associated with premise plumbing, for which system configuration plays an important role. Attention should be given to optimizing premise plumbing design for managing building water quality.","Discoloration; Premise plumbing; System configuration; Water quality deterioration; Water supply restoration","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:f3b63afa-0f18-4455-991e-b573abe3295f","http://resolver.tudelft.nl/uuid:f3b63afa-0f18-4455-991e-b573abe3295f","Monitoring seasonal deformation behavior of an immersed tunnel with distributed optical fiber sensors","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","","2023","Seasonal joint deformations within an immersed tunnel are important indicators to assess structural behavior and therefore should be monitored in detail. In this study, distributed optical fiber sensors (DOFS) are applied to precisely measure the seasonal joint deformations in an immersed tunnel for the first time. Measurements over a one-year period specifically reveal the impact of seasonal temperature variations on the joint opening and uneven settlement deformation. Field monitoring shows that the variation in joint opening exhibits a cyclic behavior and is strongly correlated with temperature change. The immersion joints generally show a larger range of seasonal opening (with a maximum of about 6 mm) than dilation joints, but at several dilation joints significant opening also occurs. The uneven or differential settlement at most joints stays below 1 mm, except at a few joints where the range is above 1 mm, which are indications of underlying structural defects in the tunnel. The observed joint uneven settlement also shows a seasonal variation, but the correlation with temperature is weak. The impacts of seasonal deformation on the structural integrity and watertightness of the tunnel are assessed, and further suggestions on tunnel maintenance and inspection are made.","Distributed optical fiber sensor (DOFS); Immersed tunnel; Joint deformation; Seasonal deformation; Tunnel safety","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:bf61c624-c0ad-48d0-80ee-78f56b88b7c8","http://resolver.tudelft.nl/uuid:bf61c624-c0ad-48d0-80ee-78f56b88b7c8","Incentive initiatives on energy-efficient renovation of existing buildings towards carbon–neutral blueprints in China: Advancements, challenges and prospects","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Yu, Chenxi (Hunan University); Qian, QK (TU Delft Design & Construction Management); Huang, R. (TU Delft Design & Construction Management; Chongqing University); You, K. (TU Delft Design & Construction Management; Chongqing University); Visscher, H.J. (TU Delft Design & Construction Management); Zhang, Guoqiang (Hunan University)","","2023","Under China's national strategy of carbon neutrality by 2060, it is urgently necessary and challenging for the governments to proactively explore policy tools to facilitate energy-efficient renovation of existing buildings. Currently, a considerable number of studies have been conducted on building energy-efficient renovation and its derivative topics, however, a comprehensive overview on incentive initiatives related to existing renovation practices in China is still scarce, such as a lack of critical correlation analysis between national and local initiatives, a lack of the synthesis and critique towards the latest policies and related achievements, and inadequate generalization of the diverse and multi-layered barriers and challenges in building energy-efficient renovation practices. To address these issues, this paper adopts a diversified policy segmentation approach to deeply analyze the dynamic evolution of the incentive initiatives from both national and local level perspectives, as well as to establish the related network of policy linkages between national to local, and between different localities. In addition, this paper presents a critical analysis on representative initiatives in two batches of pilot cities, and proposes good practices and valuable experiences for building energy-efficient renovation. Finally, this paper further summarizes and discusses the barriers to building energy-efficient renovation from four perspectives: governments, householders, enterprises and research institutions, and proposes a series of targeted and feasible pathways and strategies. This study can provide theoretical guidance and targeted recommendations for the formulation of policies, standards and regulations for building energy-efficient renovation in China.","Carbon-neutral; Energy-efficient renovation; Existing buildings; Incentive initiatives; National and local policies; Sustainable development","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","Design & Construction Management","","",""
"uuid:6ef78897-e046-4139-a145-8c9e1f056247","http://resolver.tudelft.nl/uuid:6ef78897-e046-4139-a145-8c9e1f056247","A non-unit line protection method for MMC-HVDC grids based on the curvatures of backward traveling waves","Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Hao, Zhiguo (Xi’an Jiaotong University); Ye, Dongmeng (Xi’an Jiaotong University); Yang, Songhao (Xi’an Jiaotong University); Li, Chuanxi (XJ Electric); Dai, Guoan (XJ Electric); Zhang, Baohui (Xi’an Jiaotong University); Wang, Ting (School of Electrical and Electronic Engineering)","","2023","The existing protection techniques for high-voltage direct-current (HVDC) grids suffer from several shortcomings such as high sampling frequency, poor robustness, and reliance on simulation for threshold setting. To solve these problems, this paper proposes a non-unit protection method for modular multilevel converter (MMC)-based HVDC grids using the curvatures of backward traveling waves. To this end, the propagation characteristics of traveling waves and the boundary characteristics of DC lines are first studied, then the analytical expressions of backward traveling waves are derived. Moreover, the curvatures of backward traveling waves are analyzed. On this basis, a non-unit protection method is proposed, including zone selection, disturbance identification, and pole selection. At last, with a protection platform and a real-time digital simulator (RTDS) platform of the MMC-HVDC grid, the accuracy and the robustness of the proposed protection method are verified. The results show that the protection method can correctly identify faults with different distances and resistance in 1 ms and has strong robustness against transition resistance, sampling frequency, boundary value, noise, system topology, and line parameters.","Curvatures; HVDC grids; Modular multilevel converters; Non-unit protection; Traveling waves","en","journal article","","","","","","","","2024-01-08","","","Intelligent Electrical Power Grids","","",""
"uuid:4974ab34-8a3a-437e-9881-4c8726c119c5","http://resolver.tudelft.nl/uuid:4974ab34-8a3a-437e-9881-4c8726c119c5","Synchronization of Coupled Phase Oscillators with Stochastic Disturbances and the Cycle Space of the Graph","Xi, Kaihua (Shandong University); Wang, Zhen (Shandong University); Cheng, Aijie (Shandong University); Lin, H.X. (TU Delft Mathematical Physics); van Schuppen, J.H. (TU Delft Mathematical Physics); Zhang, Chenghui (Shandong University)","","2023","The synchronization stability of a complex network system of coupled phase oscillators is discussed. In case the network is affected by disturbances, a stochastic linearized system of the coupled phase oscillators may be used to determine the fluctuations of phase differences in the lines between the nodes and to identify the vulnerable lines that may lead to desynchronization. The main result is the derivation of the asymptotic variance matrices of the phase differences which characterizes the severity of the fluctuations. It is found that the cycle space of the graph of the system plays a role in this characterization. With theory of the cycle space, the effect of forming small cycles on the fluctuations is evaluated. It is proven that adding a new line or increasing the coupling strength of a line affects the fluctuations in the lines in any cycle including this line, while it does not affect the fluctuations in the other lines. In particular, if the phase differences at the synchronous state are not changed by these actions, then the affected fluctuations reduce.","asymptotic variance; cycle space of graphs; invariant probability distribution; Lyapunov equation; networked system; stochastic Gaussian system; synchronization stability","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:d2b8fd57-4911-4d06-b950-d080d259275d","http://resolver.tudelft.nl/uuid:d2b8fd57-4911-4d06-b950-d080d259275d","On the Evolution of (Hateful) Memes by Means of Multimodal Contrastive Learning","Qu, Yiting (CISPA Helmholtz Center for Information Security); He, Xinlei (CISPA Helmholtz Center for Information Security); Pierson, Shannon (London School of Economics and Political Science); Backes, Michael (CISPA Helmholtz Center for Information Security); Zhang, Y. (CISPA Helmholtz Center for Information Security); Zannettou, S. (TU Delft Organisation & Governance)","","2023","The dissemination of hateful memes online has adverse effects on social media platforms and the real world. Detecting hateful memes is challenging, one of the reasons being the evolutionary nature of memes; new hateful memes can emerge by fusing hateful connotations with other cultural ideas or symbols. In this paper, we propose a framework that leverages multimodal contrastive learning models, in particular OpenAI's CLIP, to identify targets of hateful content and systematically investigate the evolution of hateful memes. We find that semantic regularities exist in CLIP-generated embeddings that describe semantic relationships within the same modality (images) or across modalities (images and text). Leveraging this property, we study how hateful memes are created by combining visual elements from multiple images or fusing textual information with a hateful image. We demonstrate the capabilities of our framework for analyzing the evolution of hateful memes by focusing on antisemitic memes, particularly the Happy Merchant meme. Using our framework on a dataset extracted from 4chan, we find 3.3K variants of the Happy Merchant meme, with some linked to specific countries, persons, or organizations. We envision that our framework can be used to aid human moderators by flagging new variants of hateful memes so that moderators can manually verify them and mitigate the problem of hateful content online.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Organisation & Governance","","",""
"uuid:dcd0c533-b7e4-42cd-b570-67b3af6cd20b","http://resolver.tudelft.nl/uuid:dcd0c533-b7e4-42cd-b570-67b3af6cd20b","Data-driven distributionally robust timetabling and dynamic-capacity allocation for automated bus systems with modular vehicles","Xia, D. (Beijing Jiaotong University); Ma, Jihui (Beijing Jiaotong University); Sharif Azadeh, S. (TU Delft Transport and Planning); Zhang, Wenyi (Beijing Jiaotong University)","","2023","The collaborative design of the timetable and dynamic-capacity allocation plan of emerging modular vehicles (MVs) is a promising solution to the mismatch between supply and demand in public transportation studies; however, such efforts are subject to high-level dynamics and uncertainty inherent in operating environments. In this study, we focus on the timetabling and dynamic-capacity allocation problem of MVs within the context of distributionally robust optimization under time-dependent demand uncertainty. The dynamic capacity refers to the number of modular units (MUs) comprising an MV can be potentially changed at different times and stops. A Wasserstein distance-based ambiguity set with a time-dependent and station-wise perturbation parameter is adopted to incorporate all potential distributions within a 1-Wasserstein distance for addressing the uncertainty of passenger demand. Further, a data-driven distributionally robust optimization model that considers time-varying capacity is formulated to minimize passenger waiting costs and dispatching costs of operators over all possible demand distributions within the ambiguity set. Subsequently, an expansion that allows for flexible formations of MVs assigned to each trip at each stop is proposed, and this results in more customized operational plans driven by the passenger demand. To improve the computational efficiency of realistic problems, we design a customized integer L-shaped method to exactly solve the models, which incorporates a class of valid equalities to further speed up the computation. The effectiveness of the proposed approaches in reducing the costs for both passengers and operators compared with the practical fixed-capacity operations is verified by real-world case studies based on the operating data of Beijing Bus Line 468. Furthermore, the superiority of the distributionally robust optimization method in comparison to the stochastic programming and the robust optimization approaches is demonstrated.","Bus timetabling; Distributionally robust optimization; Integer L-shaped method; Modular vehicles; Uncertainty and time-dependency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Transport and Planning","","",""
"uuid:367cb49a-c08f-439e-a51c-b859e9e5e0dd","http://resolver.tudelft.nl/uuid:367cb49a-c08f-439e-a51c-b859e9e5e0dd","Variables in Practice. An Observation of Teaching Variables in Introductory Programming MOOCs","van der Werf, V. (TU Delft Web Information Systems; Universiteit Leiden); Zhang, Min Yi (Universiteit Leiden); Aivaloglou, E.A. (TU Delft Web Information Systems); Hermans, Felienne (Vrije Universiteit Amsterdam); Specht, M.M. (TU Delft Web Information Systems)","","2023","Motivation. Many people interested in learning a programming language choose online courses to develop their skills. The concept of variables is one of the most foundational ones to learn, but can be hard to grasp for novices. Variables are researched, but to our knowledge, few empirical observations on how the concept is taught in practice exist. Objective. We investigate how the concept of variables, and the respective naming practices, are taught in introductory Massive Open Online Courses (MOOCs) teaching programming languages. Methods. We gathered qualitative data related to variables and their naming from 17 MOOCs. Collected data include connections to other programming concepts, formal definitions, used analogies, and presented names. Results. We found that variables are often taught in close connection to data types, expressions, and program execution and are often explained using the 'variable as a box' analogy. The latter finding represents a stronger focus on 'storing values', than on naming, memory, and flexibility. Furthermore, MOOCs are inconsistent when teaching naming practices. Conclusions. We recommend teachers and researchers to pay deliberate attention to the definitions and analogies used to explain the concept of variables as well as to naming practices, and in particular to variable name meaning.","analogies; naming practices; programming education; variables","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:698559a3-faaf-467d-a8e1-9d010b5c6cba","http://resolver.tudelft.nl/uuid:698559a3-faaf-467d-a8e1-9d010b5c6cba","High temperature viscoplastic deformation behavior of sintered nanocopper paste used in power electronics packaging: Insights from constitutive and multi-scale modelling","Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, X. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Sun, Zhongchao (Aalborg University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","As a promising technology for high-power and high-temperature power electronics packaging, nanocopper (nanoCu) paste sintering has recently received increasing attention as a die-attachment. The high-temperature deformation of sintered nanoCu paste and its underlying mechanisms challenge the reliability of high-power electronics packaging. In this study, the tensile deformation behaviors of sintered nanoCu paste were firstly characterized by high-temperature tensile tests performed at various temperatures and strain rates ranging from 180 °C to 360 °C, 1 × 10−4 s−1 to 1 × 10−3 s−1 respectively. It was found that the elastic modulus and tensile strength decreased at the higher tensile temperature while the ductility increased accordingly. The highest elastic modulus and tensile strength results were 12.15 GPa and 46.97 MPa, respectively. Second, failure analysis was conducted based on the fracture surface after tensile testing. Recrystallization was revealed as the main factor for ductility improvement. Subsequently, an Anand model was fitted by stress-strain curves to describe the tensile constitutive behavior of the sintered nanoCu paste. Multi-scale modelling techniques also investigated the impact of tensile temperature and strain rate on the tensile response. Molecular dynamics simulation was implemented using a hemispherical Cu nanoparticle model to reveal the properties from an atomistic perspective. In addition, a two-dimensional equivalent model was further established by using a stochastically distributed void morphology. The multi-scale modelling techniques successfully describe the evolution of tensile response to the different tensile temperatures and strain rates. Besides, the equivalent model with random void morphology was demonstrated as the finite element simulation results were highly consistent with the high-temperature tensile experiments.","Constitutive modelling; Copper nanoparticles sintering; High-temperature tensile test; Molecular dynamics simulation stochastically equivalent finite element; Random void morphology","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:80afdb88-bda1-4613-90e2-42a7113acb76","http://resolver.tudelft.nl/uuid:80afdb88-bda1-4613-90e2-42a7113acb76","Deep Bayesian survival analysis of rail useful lifetime","Zeng, Cheng (The University of Newcastle, Australia); Huang, Jinsong (The University of Newcastle, Australia); Wang, H. (TU Delft Railway Engineering); Xie, Jiawei (The University of Newcastle, Australia); Zhang, Yuting (The University of Newcastle, Australia)","","2023","Reliable estimation of rail useful lifetime can provide valuable information for predictive maintenance in railway systems. However, in most cases, lifetime data is incomplete because not all pieces of rail experience failure by the end of the study horizon, a problem known as censoring. Ignoring or otherwise mistreating the censored cases might lead to false conclusions. Survival approach is particularly designed to handle censored data for analysing the expected duration of time until one event occurs, which is rail failure in this paper. This paper proposes a deep Bayesian survival approach named BNN-Surv to properly handle censored data for rail useful lifetime modelling. The proposed BNN-Surv model applies the deep neural network in the survival approach to capture the non-linear relationship between covariates and rail useful lifetime. To consider and quantify uncertainty in the model, Monte Carlo dropout, regarded as the approximate Bayesian inference, is incorporated into the deep neural network to provide the confidence interval of the estimated lifetime. The proposed approach is implemented on a four-year dataset including track geometry monitoring data, track characteristics data, various types of defect data, and maintenance and replacement (M&R) data collected from a section of railway tracks in Australia. Through extensive evaluation, including Concordance index (C-index) and root mean square error (RMSE) for evaluating model performance, as well as a proposed CW-index for evaluating uncertainty estimations, the effectiveness of the proposed approach is confirmed. The results show that, compared with other commonly used models, the proposed approach can achieve the best concordance index (C-index) of 0.80, and the estimated rail useful lifetimes are closer to real lifetimes. In addition, the proposed approach can provide the confidence interval of the estimated lifetime, with a correct coverage of 81% of the actual lifetime when the confidence interval is 1.38, which is more useful than point estimates in decision-making and maintenance planning of railroad systems.","Bayesian inference; Deep neural networks; Rail useful lifetime; Survival analysis","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:73006d56-c470-4390-8c12-f2725d5ecbf9","http://resolver.tudelft.nl/uuid:73006d56-c470-4390-8c12-f2725d5ecbf9","MERLIon CCS Challenge: A English-Mandarin code-switching child-directed speech corpus for language identification and diarization","Chua, Victoria Y.H. (Nanyang Technological University); Liu, Hexin (School of Electrical and Electronic Engineering); Perera, Leibny Paola Garcia (Johns Hopkins University); Woon, Fei Ting (Nanyang Technological University); Wong, Jinyi (Nanyang Technological University); Zhang, X. (TU Delft Biomaterials & Tissue Biomechanics; Johns Hopkins University); Khudanpur, Sanjeev (Johns Hopkins University); Khong, Andy W.H. (School of Electrical and Electronic Engineering); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Styles, Suzy J. (Nanyang Technological University)","","2023","To enhance the reliability and robustness of language identification (LID) and language diarization (LD) systems for heterogeneous populations and scenarios, there is a need for speech processing models to be trained on datasets that feature diverse language registers and speech patterns. We present the MERLIon CCS challenge, featuring a first-of-its-kind Zoom video call dataset of parent-child shared book reading, of over 30 hours with over 300 recordings, annotated by multilingual transcribers using a high-fidelity linguistic transcription protocol. The audio corpus features spontaneous and in-the-wild English-Mandarin code-switching, child-directed speech in non-standard accents with diverse language-mixing patterns recorded in a variety of home environments. This report describes the corpus, as well as LID and LD results for our baseline and several systems submitted to the MERLIon CCS challenge using the corpus.","child-directed speech; code-switching; language diarization; language identification","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:8018883f-afe8-41dd-8caf-a4198e830f2b","http://resolver.tudelft.nl/uuid:8018883f-afe8-41dd-8caf-a4198e830f2b","Investigation of concrete crack kinematics through probability density field of the location of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","Monitoring or identifying structural cracks is crucial for assessing the health of existing concrete structures. Key information about structural cracking encompasses the location of the crack and its kinematics, which include movements perpendicular and parallel to the crack face. Acoustic emission (AE) is a sensitive technique for detecting the location of internal concrete cracking. However, the state-of-the-art AE monitoring methods offer limited information on crack kinematics, restricting the use of AE in crack assessment. To bridge this gap, this paper uses a recently proposed AE data analysis method that quantifies the spatial distribution of AE events along a crack probabilistically. This method uses a parameter referred to as the probability density of AE events (pdAE). By combining pdAE and crack kinematics measured by digital image correlation in a series of real-scale concrete beam tests, this paper investigates the relationship between AE events and crack kinematics. The analysed cracks are generated by a combination of bending moment and shear forces, as commonly observed in real structural concrete members. We find that the amount of AE events is not only related to crack width (the crack movement perpendicular to the crack face), as most literature suggests, but also to the complete crack kinematics throughout the loading history of the member. We then provide a physical explanation for the observed relationships between concrete crack kinematics and the quantity of AE events.","Concrete structures; Crack kinematics; Digital image correlation; Probability density of acoustic emission events; Source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:b08fd89d-8e9c-48ef-9959-f9cdbbd10b6a","http://resolver.tudelft.nl/uuid:b08fd89d-8e9c-48ef-9959-f9cdbbd10b6a","Data-driven corrosion inhibition efficiency prediction model incorporating 2D–3D molecular graphs and inhibitor concentration","Ma, Jinbo (University of Science and Technology Beijing); Dai, Jiaxin (University of Science and Technology Beijing); Guo, Xin (University of Science and Technology Beijing); Fu, Dongmei (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Keil, Patrick (BASF SE); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2023","Following the construction of a dataset of cross-category corrosion inhibitors at different concentrations based on 1241 data from 184 research papers, a performance prediction model incorporating 2D–3D molecular graph representation and corrosion inhibitor concentration information was established. This model was shown to effectively predict the inhibition efficiency (IE) of different categories of corrosion inhibitors for carbon steel in 1 mol/L HCl solution. The model was also able to predict IEs of corrosion inhibitors at different concentrations. The results demonstrated that 3D features of corrosion inhibitors, especially those of large molecules, had a significant impact on the prediction precision of IEs.","Corrosion inhibition; Corrosion prediction; Machine learning; Molecular graph","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-25","","","Team Arjan Mol","","",""
"uuid:3183763a-3b4c-4786-a6d7-163649fff402","http://resolver.tudelft.nl/uuid:3183763a-3b4c-4786-a6d7-163649fff402","Controllable Fabrication and Rectification of Bipolar Nanofluid Diodes in Funnel-Shaped Si3N4 Nanopores","Lei, Xin (Beihang University; Tsinghua University); Zhang, Jiayan (Beihang University); Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Liu, Zewen (Tsinghua University); Jiang, Lei (Chinese Academy of Sciences; University of Chinese Academy of Science)","","2023","Solid-state nanopores attract widespread interest, owning to outstanding robustness, extensive material availability, as well as capability for flexible manufacturing. Bioinspired solid-state nanopores further emerge as potential nanofluidic diodes for mimicking the rectification progress of unidirectional ionic transport in biological K+ channels. However, challenges that remain in rectification are over-reliance on complicated surface modifications and limited control accuracy in size and morphology. In this study, suspended Si3N4 films of only 100 nm thickness are used as substrate and funnel-shaped nanopores are controllably etched on that with single-nanometer precision, by focused ion beam (FIB) equipped with a flexibly programmable ion dose at any position. A small diameter 7 nm nanopore can be accurately and efficiently fabricated in only 20 ms and verified by a self-designed mathematical model. Without additional modification, funnel-shaped Si3N4 nanopores functioned as bipolar nanofluidic diodes achieve high rectification by simply filling each side with acidic and basic solution, respectively. Main factors are finely tuned experimentally and simulatively to enhance the controllability. Moreover, nanopore arrays are efficiently prepared to further improve rectification performance, which has great potential for high-throughput practical applications such as extended release of drugs, nanofluidic logic systems, and sensing for environmental monitoring and clinical diagnosis.","bipolar nanofluid diodes; current rectification; focus ion beam; SiN nanopores; solid-state nanopores","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-07","","","Electronic Components, Technology and Materials","","",""
"uuid:e97f4676-cd58-4168-9c68-5e1f807f3144","http://resolver.tudelft.nl/uuid:e97f4676-cd58-4168-9c68-5e1f807f3144","Strong magnetoelastic coupling in MnCoSi compounds studied in pulsed magnetic fields","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Bykov, Eduard (Technische Universität Dresden; Helmholtz Zentrum Dresden Rossendorf); Gottschall, Tino (Institute of Radiation Physics); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The orthorhombic MnCoSi compounds have been found to present a large magnetoelastic coupling, which is regarded as the source for the magnetocaloric effect (MCE) and the magnetostrictive effect. As a result, these compounds are potential materials for caloric applications such as solid-state refrigeration. In the present study, we offer fundamental insights in the magnetoelastic coupling in these compounds based on their structural, metamagnetic, and MCE behavior. The directly measured adiabatic temperature change (ΔTad) in different initial temperatures (down to 18 K) and pulsed magnetic fields (up to 40 T) presents a moderate MCE performance (the maximum ΔTad=-3.1K for a field change of 13 T), which results from the metamagnetic behavior of these compounds. Furthermore, the magnetization measurements in pulsed (and static) magnetic fields indicate that the magnetoelastic coupling is significantly enhanced for increasing fields resulting in an improved saturation magnetization. The metamagnetic transition is continuously pushed to lower temperatures in higher fields. The phase diagram constructed from the experimental transition temperatures Tt and the critical magnetic fields μ0Hcr indicate that the transition is terminated below 18 K and that ferromagnetism is stabilized for fields above 22.3 T. Our results provide unique insights into the strong magnetoelastic coupling under high pulsed magnetic fields, providing guidelines for the design of giant magnetocaloric materials for future caloric applications.","","en","journal article","","","","","","F","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:f37fa8db-4662-46ce-80c7-6469d81c9290","http://resolver.tudelft.nl/uuid:f37fa8db-4662-46ce-80c7-6469d81c9290","Electromigration-induced local dewetting in Cu films","Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Mo, J. (TU Delft Electronic Components, Technology and Materials); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The continuous downscaling of microelectronics has introduced many reliability issues on interconnect. Electromigration and dewetting are major reliability concerns in high-temperature micro- and nanoscale devices. In this paper, the local dewetting of copper thin film during the electromigration test was first found and investigated. When the high current was applied, the dewetted copper forming around the edge was observed at the cathode of the conductor. Furthermore, the effect of temperature and conductor size on local dewetting was investigated. Our proposed mechanism for local dewetting is in good agreement with experimental findings.","Copper; Dewetting; Electromigration; Thin film","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-22","","","Electronic Components, Technology and Materials","","",""
"uuid:43849dd3-7559-4af9-a9df-c7e6f723dd0e","http://resolver.tudelft.nl/uuid:43849dd3-7559-4af9-a9df-c7e6f723dd0e","Nonlinear optical trapping effect with reverse saturable absorption","Zhu, Z. (TU Delft ImPhys/Adam group; Shenzhen University; Zhejiang Lab); Zhang, Y. (TU Delft ImPhys/Optics); Zhang, Shuoshuo (Shenzhen University); Adam, A.J.L. (TU Delft ImPhys/Adam group); Min, Changjun (Shenzhen University); Urbach, Paul (TU Delft ImPhys/Stallinga group); Yuan, Xiaocong (Shenzhen University; Zhejiang Lab)","","2023","Nonlinear responses of nanoparticles induce enlightening phenomena in optical tweezers. With the gradual increase in optical intensity, effects from saturable absorption (SA) and reverse SA (RSA) arise in sequence and thereby modulate the nonlinear properties of materials. In current nonlinear optical traps, however, the underlying physical mechanism is mainly confined within the SA regime because threshold values required to excite the RSA regime are extremely high. Herein, we demonstrate, both in theory and experiment, nonlinear optical tweezing within the RSA regime, proving that a fascinating composite trapping state is achievable at ultrahigh intensities through an optical force reversal induced through nonlinear absorption. Integrated results help in perfecting the nonlinear optical trapping system, thereby providing beneficial guidance for wider applications of nonlinear optics.","nonlinear optical tweezers; optical force; reverse saturable absorption","en","journal article","","","","","","","","","","","ImPhys/Adam group","","",""
"uuid:e70a7df9-9492-4785-8283-33534a622a0d","http://resolver.tudelft.nl/uuid:e70a7df9-9492-4785-8283-33534a622a0d","A critical review on the vertical stiffness irregularity of railway ballasted track","Shi, Can (Shenzhen University); Zhou, Yu (Shenzhen University); Xu, Lei (Central South University China); Zhang, Xu (Guangdong University of Technology); Guo, Y. (TU Delft Railway Engineering)","","2023","The dynamic performance of a railway track subjected to moving trains depends strongly on track support conditions. In reality, even for the well-constructed and well-maintained tracks, sleeper support stiffness and global track stiffness vary substantially along the track, which affects the train-track dynamic interactions, causing rapid track geometry degradation as well as the riding comfort and safety issues. Consequently, track stiffness irregularity (TSI, the spatial variation of track stiffness along the track) is important for railway construction and maintenance in addition to track geometry irregularities. So far, extensive research has been published on the TSI whereas the relevant issues have not been paid sufficient attention. In this paper, a summary and comments have been made in the field of TSI about the current research status and future trends from a critical point of view. Novel concepts of the critical values of TSIs and the integrated management of the track geometry and stiffness irregularities are proposed. The review presented in this work is valuable to advance the research on TSI and can help guide the design, construction and maintenance of railway tracks.","Measurement results; Optimal track stiffness; Track stiffness irregularity; Train-track performance","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Railway Engineering","","",""
"uuid:b67f7842-5981-4b9e-b995-26b5722ef492","http://resolver.tudelft.nl/uuid:b67f7842-5981-4b9e-b995-26b5722ef492","On the chemo-mechanical evolution process of high-volume slag cement paste","Liang, M. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","This study investigated the evolution process of high-volume slag cement (HVSC) paste from a chemo-mechanical standpoint. HVSC specimens with a 70 w.t. % slag replacement rate were studied at various ages. Evolution of phase assemblage, microstructure development, and micromechanical properties were analyzed using TGA/XRD/MIP/SEM-EDS and nano-/micro-indentation techniques. A two-scale micromechanical model was built to predict the effective elastic modulus based on the nanoindentation results. Key findings include: 1) Between 7 and 28 days, the formation of calcium silicate hydrate (C-S-H) gel phase improves the effective elastic modulus by filling capillary pores; 2) From 28 to 90 days, the phase assemblage and microstructure remain stable, with a transition from low-density to high-density C-S-H; 3) Between 90 days and 2 years, slag rims produced by slag grains result in increased elastic modulus; 4) The two-scale micromechanical model, combined with nanoindentation data, accurately predicts the effective modulus of HVSC composites, although the unhydrated slag grains-hydrated cement matrix interface may cause an overestimation at an early age. With longer curing time, this interface disappears owing to the continuous hydration of large slag particles and therefore a good match is found between the modelling and experimental results.","Chemo-mechanical properties; Evolution; High volume slag replacement; Low-carbon cement","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:b4fa45a1-9c9e-4938-8504-3c6b19ce28fa","http://resolver.tudelft.nl/uuid:b4fa45a1-9c9e-4938-8504-3c6b19ce28fa","基于注意力机制的城市轨道交通网络级多步短时客流时空综合预测模型","Zhang, Jinlei (Beijing Jiaotong University); Chen, Yijie (Beijing Jiaotong University); Krishnakumari, P.K. (TU Delft Transport and Planning); Jin, Guangyin (National University of Defense Technology); Wang, Chengcheng; Yang, Lixing (Beijing Jiaotong University)","","2023","Accurate and reliable short- term passenger flow prediction can support operations and decision-making of the URT system from multiple perspectives. In this paper, we propose a URT multi- step short- term passenger flow prediction model at the network level based on a Transformer-based LSTM network, Depth-wise Attention Block, and CNN network, named as Spatial- Temporal Integrated Prediction Model (STIPM). The STIPM comprises three branches. The first branch takes time- series inflow data as input, and a Transformer-based LSTM network is selected to extract the temporal correlations. The second one takes timestep- based OD data as input, and many spatial and temporal features are captured using Depth- wise Attention Blocks. Meanwhile, timestep- based OD data can better include inter- station relations and global information. The third branch takes Point of Interest data (POI) as input and CNN network is utilized for spatiotemporal features extraction, which can also become the bridge between spatial and temporal features. Moreover, the“Multi-inputmulti- output Strategy”for multi- step prediction is used to obtain a longer prediction period and more detailed information under a relatively high forecasting accuracy. The STIPM is applied to two large- scale real- world datasets from the URT system, and the obtained prediction results are compared with ten baselines and four variants from itself, in which STIPM model achieves highest prediction accuracy indicated by RMSE, MAE, and WMAPE evaluations, which demonstrates the superiority and robustness of the STIPM.","deep learning; features fusion; multi-step forecasting; short-term passenger forecasting; spatiotemporal features mining; traffic big-data; urban Rail Transit","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Transport and Planning","","",""
"uuid:046880f9-39e1-44e3-8138-ffe45babfb8c","http://resolver.tudelft.nl/uuid:046880f9-39e1-44e3-8138-ffe45babfb8c","Solvent modulation, microstructure evaluation, process optimization, and nanoindentation analysis of micro-Cu@Ag core–shell sintering paste for power electronics packaging","Chen, Haixue (Fudan University); Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo)","","2023","With the development of electronic technology towards high power, miniaturization, and system integration, power electronic packaging is facing increasing challenges, especially for die attachment. This research aims to explore silver-coated copper (Cu@Ag) paste with sufficient mechanical properties and high-temperature reliability, as an alternative solution for silver sintering with lower cost. Firstly, micro-Cu@Ag sintering pastes were investigated under four kinds of polyol-based solvent systems and two types of particle morphologies, which included sphere-type (SCu@Ag) and flake-type (FCu@Ag). Sintering performance and microstructural evolution were compared and analyzed. Notably, sintered joints employing the terpineol–polyethylene glycol solvent system and flake-type morphology displayed a denser microstructure in comparison to SCu@Ag joints. Its bonding strength reached 36.15 MPa, which was approximately 20% higher than SCu@Ag joints. Subsequently, the influence of key sintering process parameters on Cu@Ag joints was analyzed, including sintering temperature, pressure and time. Additionally, high-temperature aging and thermal cycling tests were conducted on the optimized Cu@Ag joints to assess their reliability. Finally, the micromechanical properties of Cu@Ag joints before and after high-temperature aging were further evaluated by nanoindentation including creep properties. The elastoplastic constitutive models of Cu@Ag sintered materials with different particle morphologies were constructed, providing valuable insights for reliability evaluation. The results indicated that FCu@Ag joints exhibited satisfactory creep resistance and high-temperature reliability. In conclusion, the FCu@Ag micro-paste based on the terpineol–polyethylene glycol solvent system proposed in this study demonstrated sufficient bonding strength, high reliability, and adequate mechanical properties as an attractive solution for high-temperature power electronics packaging.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Electronic Components, Technology and Materials","","",""
"uuid:288d1928-4fba-4db7-b292-c5feacdc08a9","http://resolver.tudelft.nl/uuid:288d1928-4fba-4db7-b292-c5feacdc08a9","Advanced controls on energy reliability, flexibility and occupant-centric control for smart and energy-efficient buildings","Liu, Zhengxuan (TU Delft Design & Construction Management); Zhang, Xiang (University of Pennsylvania); Sun, Ying (Qingdao University of Technology); Zhou, Yuekuan (The Hong Kong University of Science and Technology)","","2023","Advanced controls have attracted increasing interests due to the high requirement on smart and energy-efficient (SEE) buildings and decarbonization in the building industry with optimal tradeoff strategies between energy consumption and thermal comfort of built environment. However, a state-of-the-art review is lacking on advanced controls for SEE buildings, especially considering advanced building energy systems, machine learning based advanced controls, and advanced occupant-centric controls (OCC). This study presents a comprehensive review on the latest advancement of advanced controls for SEE buildings, which covers recent research on data collection through smart metering and sensors, big data and building automation, energy digitization, and building energy simulation. Machine learning based advanced controls are comprehensively reviewed, including supervised, unsupervised and reinforcement learning, together with their roles and underlying mechanisms. In addition, advanced controls for energy security, reliability, robustness, flexibility, and resilience are further reviewed for energy-efficient and low-carbon buildings, with respect to fault detection and diagnosis, fire alarming and building energy safety, and climate change adaptation. Moreover, this study explores the advanced OCC systems and their applications in SEE buildings. Last but not the least, this study emphasizes the challenges and future prospects of the trade-off between complexity and predictive/control performance, AI-based controllers and climate change adaptation, OCC in thermal comfort and energy saving for the SEE buildings. This study offers valuable insights into the latest research progress concerning the underlying mechanisms, algorithms and applications of advanced controls for SEE buildings, paving the path for sustainable and low-carbon transition in building sectors.","Energy-efficient building; Intelligent control; Machine learning; Occupant-centric control; Smart building","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Design & Construction Management","","",""
"uuid:470fccaf-0068-4468-bf6d-d9a26b1c7a02","http://resolver.tudelft.nl/uuid:470fccaf-0068-4468-bf6d-d9a26b1c7a02","Changes in glacier albedo and the driving factors in the Western Nyainqentanglha Mountains from 2001 to 2020","Ren, Shaoting (Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Zhang, Jing (Chinese Academy of Sciences)","","2023","Glacier surface albedo dominates glacier energy balance, thus strongly affecting the glacier mass balance. Glaciers in the Western Nyainqentanglha Mountains (WNM) experienced large mass losses in the past two decades, but long-term changes of glacier albedo and its drivers are less understood. In this study, we retrieved glacier albedo with MODIS reflectance data to characterize the spatiotemporal variability of albedo from 2001 to 2020. Air temperature, rainfall, snowfall and deposition of light-absorbing impurities (LAIs) were evaluated as potential drivers of the observed variability in glacier albedo. The results showed that: (1) the glacier albedo experienced large inter-annual fluctuations, with the mean albedo being 0.552 ± 0.002 and a clear decreasing trend of 0.0443 ± 2 × 10-4 dec-1 in the WNM. The fastest decline was observed in autumn and in the vicinity of the equilibrium line altitude, indicating an extended melt season and an expansion of the ablation region to higher elevation; (2) local meteorology and LAIs deposition are the main drivers of glacier albedo change, but their effects on seasonal albedos are different due to different glacier processes. Both air temperature and the balance between liquid and solid precipitation affect summer and autumn albedos due to glacier ablation. Air temperature is the main driver of spring and winter albedos due to sublimation and metamorphism of snow, while snowfall carried by westerlies has limited influence on these two seasonal albedos due to less snowfall. LAIs mainly affect spring albedo due to high concentration coupled with the southerly wind in spring. These findings highlight the significance of changes in glacier albedo and the key role of local meteorology and LAIs deposition in determining such changes, which play an important role in glaciological and cryosphere processes.","energy balance; glacier albedo; mountain glaciers; remote sensing","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:ca97ff1a-d015-4965-88fa-fb57f3d1ad13","http://resolver.tudelft.nl/uuid:ca97ff1a-d015-4965-88fa-fb57f3d1ad13","Low Temperature Fine Pitch All-Copper Interconnects Combining Photopatternable Underfill Films","Ji, X. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); Jiao, Weiping (Student TU Delft); He, S. (TU Delft Materials and Environment); Du, L. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials)","","2023","The trend to 3D and heterogeneous integration enable driving multi-functional blocks in one package. Flip-chip integration is currently playing an important role and is based on solder joints. To overcome the limitations of solder joints, all-copper interconnects have been investigated to meet electrical, thermal, and reliability demands in 3D integration. The underfill process is widely applied in flip-chip encapsulation technology. We propose a novel wafer-scale all-Cu interconnect method combining epoxy-based photo-patternable polymer as self-aligned underfill layer with the patterned copper nanoparticles interconnects. The resulting test wafers were able to pattern 20 µm pitch copper nanoparticle-paste interconnects on both substrates with and without photoimageable polymer. The Cu paste was applied to form the interconnects and was sintered after bonding process. Free-standing nanocopper is sintered to obtain mechanical properties with a Young's modulus of 112 GPa. All-Cu interconnects with diameter of 50 µm and 100 µm were measured to achieve the specific contact resistance, ranging from 1.4 × 10-5O· cm2 to 1.0 × 10-5O· cm2 at different sintering temperature when epoxy-based underfill existing. And its resistivity was 4.54× 10-4 O· cm, compared to 5.86× 10-4O· cn for the all-Cu interconnects without underfill.","all-Cu interconnects; copper nanoparticles; epoxy-based photoresist; flip chip; underfill","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:58e36a5c-feaf-4098-abd5-028efdf97c02","http://resolver.tudelft.nl/uuid:58e36a5c-feaf-4098-abd5-028efdf97c02","High-temperature creep properties of a novel solder material and its thermal fatigue properties under potting material","Du, L. (TU Delft Electronic Components, Technology and Materials); Zhao, Xiujuan (Signify); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","SnBiAgCu solder alloy is an attractive soldering material for temperature-sensitive electronic devices due to its excellent creep properties. This study firstly reports the creep properties of SnBiAgCu solder alloy under different temperatures. Results show that the addition of Bi resulted in better creep resistance compared with that of commercial SAC305 (Sn-3.0Ag-0.5Cu). Secondly, dynamic mechanical analyses were performed to get the storage modulus and glass transition temperature of potting compounds. Finally, a finite element modeling based analysis were used to figure out the different failure mechanism due to the presence of potting materials. The accurate simulation data offers an optimization reference for the selection of solder and potting materials.","creep; potting material; solder; thermal fatigue","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:6a374133-c1fd-42b7-a63d-151ceaadd48a","http://resolver.tudelft.nl/uuid:6a374133-c1fd-42b7-a63d-151ceaadd48a","Impact of Temperature Cycling Conditions on Board Level Vibration for Automotive Applications","Thukral, V. (NXP Semiconductors); Bacquet, Irene (NXP Semiconductors); Van Soestbergen, Michiel (NXP Semiconductors); Zaal, Jeroen (NXP Semiconductors); Roucou, Romuald (NXP Semiconductors); Rongen, Rene (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Board level vibration testing is a commonly used method to predict the solder joint reliability of surface-mounted components seated onto printed circuit boards (PCB). Current board level vibration test methods are mainly developed from a solely mechanical stress application standpoint. This makes such stress tests one dimensional in nature and translation from experimentally obtained test results to the field life of components experiencing combined stress environments become ambiguous. This investigation provides insights to develop a highly accelerated vibration test approach to cover simultaneous vibration and temperature loading situations in the field. In this paper, test board layouts from the board level drop test method, JESD22-B111 (rectangular PCB), and JESD22-B111A (square PCB), prescribed by the Joint Electronic Device Engineering Council (JEDEC), are used to understand the combined stress applied to the solder interconnects. The evaluation process is carried out by means of simulations, supported by targeted experiments on ball grid array (BGA) packages with dimensions sizing from 12x12mm to 15x15mm. The results on rectangular test board assembly show reduced characteristic lifetime of solder joints when stressed under combined temperature-vibration test conditions. On the other hand, the square-shaped board type exhibits a different acceleration factor with a longer solder fatigue lifetime than that of the rectangular-shaped PCB type. Finite element simulation results complement well with this finding.","Ball grid Array package; Board Level Reliability; Highly Accelerated Lifetime Testing; PCB dynamic response; Temperature Coupled Vibration Test Method","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:f5264de0-105d-4940-9416-604252f62af6","http://resolver.tudelft.nl/uuid:f5264de0-105d-4940-9416-604252f62af6","A dynamic condition assessment model of aging subsea pipelines subject to corrosion-fatigue degradation","Han, Ziyue (Xi'an University of Architecture and Technology); Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Renren (Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science); Seghier, Mohamed El Amine Ben (OsloMet – storbyuniversitetet)","","2023","Extension of operating lifetime of aging subsea pipelines is of great interest to oil and gas sectors. Corrosion and fatigue are the main causations of the condition degradation of subsea pipelines. A dynamic probabilistic condition assessment model based on continuous dynamic Bayesian network (DBN) is developed to support the life extension decision-making of aging subsea pipeline subjected to the corrosion-fatigue degradation. The methodology is built based on equivalent initial flaw size (EIFS) concept and a time-dependent prediction model implemented using DBN. The complex corrosion-fatigue degradation process is simplified by EIFS concept, and the crack propagation due to corrosion-fatigue is modelled using fracture mechanics model and DBN. A limit state function (LSF) is used to express the failure condition of subsea pipeline due to crack propagation. The dynamic reliability of subsea pipeline is estimated using Monte Carlo (MC) method with the probability distributions of the predicted crack sizes at different time slices. The estimated reliability is compared with the acceptable threshold to decide whether any measures are required to extend the life of subsea pipeline. The methodology is tested by a case study, and it is observed that it can be a useful tool to support life extension decision-making of aging subsea pipelines.","Ageing subsea pipelines; Condition assessment; Corrosion-fatigue; EIFS; Life extension","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Safety and Security Science","","",""
"uuid:127508d1-5446-40da-acc1-b60be44f9dd1","http://resolver.tudelft.nl/uuid:127508d1-5446-40da-acc1-b60be44f9dd1","Thermal conductivity of binary ceramic composites made of insulating and conducting materials comprising full composition range – Applied to yttria partially stabilized zirconia and molybdenum disilicide","Cernuschi, Federico (RSE S.p.a.); Kulczyk-Malecka, Justyna (The University of Manchester; Manchester Metropolitan University); Zhang, Xun (The University of Manchester); Nozahic, Franck (Ecole Nationale Supérieure des Ingénieurs en Arts Chimiques et Technologiques); Estournès, Claude (Ecole Nationale Supérieure des Ingénieurs en Arts Chimiques et Technologiques); Sloof, W.G. (TU Delft Team Joris Dik)","","2023","The thermal diffusivity and conductivity of dense and porous binary composites having an insulating and conducting phase were studied across its entire composition range. Experimental evaluation has been performed with MoSi2 particles embedded into yttria partially stabilized zirconia (YPSZ) as prepared by spark plasma sintering (SPS). The thermal diffusivity of the composites was measured with Flash Thermography (FT) and Laser Flash Analysis (LFA) techniques. Subsequently, the thermal conductivity was determined with the measured heat capacity and density of the composites. The actual volume fraction of the conducting phase of the composites was determined with image analysis of X-ray maps recorded with scanning electron microscopy (SEM). The phases present and their density were determined with X-ray diffractometry (XRD) using Rietveld refinement. The thermal diffusivity increases with increasing volume fraction of MoSi2. Porosity reduces the thermal diffusivity, but the effect diminishes with high volume fractions MoSi2. The thermal diffusivity as a function of the MoSi2 volume fraction of the YPSZ composites is captured by modelling, which includes the porosity effect and the high conductivity paths due to the percolation of the conductive phase.","Composites; Percolation; Spark Plasma Sintering; Thermal Barrier; Thermal conductivity; Thermal diffusivity","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:7c05309d-821e-4ed8-a765-656ecdc2a954","http://resolver.tudelft.nl/uuid:7c05309d-821e-4ed8-a765-656ecdc2a954","Simulation of CO2 Storage Using a Parameterization Method for Essential Trapping Physics: FluidFlower Benchmark Study","Wang, Y. (TU Delft Numerical Analysis; China University of Geosciences, Wuhan); Zhang, Z. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics); Hajibeygi, H. (TU Delft Reservoir Engineering)","","2023","An efficient compositional framework is developed for simulation of CO 2 storage in saline aquifers during a full-cycle injection, migration and post-migration processes. Essential trapping mechanisms, including structural, dissolution, and residual trapping, which operate at different time scales, are accurately captured in the presented unified framework. In particular, a parameterization method is proposed to efficiently describe the relevant physical processes. The proposed framework is validated by comparing the dynamics of gravity-induced convective transport with that reported in the literature. Results show good agreement for both the characteristics of descending fingers and the associated dissolution rate. The developed simulator is then applied to study the FluidFlower benchmark model. An experimental setup with heterogeneous geological layers is discretized into a two-dimensional computational domain where numerical simulation is performed. Impacts of hysteresis and the diffusion of CO 2 in liquid phase on the migration and trapping of CO 2 plume are investigated. Inclusion of the hysteresis effect does not affect plume migration in this benchmark model, whereas diffusion plays an important role in promoting convective mixing. This work casts a promising approach to predict the migration of the CO 2 plume, and to assess the amount of trapping from different mechanisms for long-term CO 2 storage.","Compositional simulation; Convective mixing; Geological CO storage; Hysteresis; Porous media","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","http://resolver.tudelft.nl/uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","Combined CNN and RNN Neural Networks for GPR Detection of Railway Subgrade Diseases","Liu, Huan (China Academy of Railway Sciences; China University of Geosciences); Wang, Shilei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Yu, Ziye (China Earthquake Administration); Yang, Jin (China University of Geosciences); Zhang, Yong (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2023","Vehicle-mounted ground-penetrating radar (GPR) has been used to non-destructively inspect and evaluate railway subgrade conditions. However, existing GPR data processing and interpretation methods mostly rely on time-consuming manual interpretation, and limited studies have applied machine learning methods. GPR data are complex, high-dimensional, and redundant, in particular with non-negligible noises, for which traditional machine learning methods are not effective when applied to GPR data processing and interpretation. To solve this problem, deep learning is more suitable to process large amounts of training data, as well as to perform better data interpretation. In this study, we proposed a novel deep learning method to process GPR data, the CRNN network, which combines convolutional neural networks (CNN) and recurrent neural networks (RNN). The CNN processes raw GPR waveform data from signal channels, and the RNN processes features from multiple channels. The results show that the CRNN network achieves a higher precision at 83.4%, with a recall of 77.3%. Compared to the traditional machine learning method, the CRNN is 5.2 times faster and has a smaller size of 2.6 MB (traditional machine learning method: 104.0 MB). Our research output has demonstrated that the developed deep learning method improves the efficiency and accuracy of railway subgrade condition evaluation.","CNN; GPR; ground-penetrating radar; RNN; subgrade anomalies","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:2a798bd8-0c01-4cbb-89c3-6536eb83d433","http://resolver.tudelft.nl/uuid:2a798bd8-0c01-4cbb-89c3-6536eb83d433","Revealing Active Sites and Reaction Pathways in Methane Non-Oxidative Coupling over Iron-Containing Zeolites","Zhang, Hao (Eindhoven University of Technology); Bolshakov, Aleksei (Eindhoven University of Technology); Meena, Raghavendra (Wageningen University & Research); Garcia, Gustavo A. (L'Orme les Merisiers Saint-Aubin); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); Parastaev, Alexander (Eindhoven University of Technology); Li, Guanna (Wageningen University & Research); Hensen, Emiel J.M. (Eindhoven University of Technology); Kosinov, Nikolay (Eindhoven University of Technology)","","2023","Non-oxidative coupling of methane is a promising route to obtain ethylene directly from natural gas. We synthesized siliceous [Fe]zeolites with MFI and CHA topologies and found that they display high selectivity (>90 % for MFI and >99 % for CHA) to ethylene and ethane among gas-phase products. Deactivated [Fe]zeolites can be regenerated by burning coke in air. In situ X-ray absorption spectroscopy demonstrates that the isolated Fe3+ centers in zeolite framework of fresh catalysts are reduced during the reaction to the active sites, including Fe2+ species and Fe (oxy)carbides dispersed in zeolite pores. Photoelectron photoion coincidence spectroscopy results show that methyl radicals are the reaction intermediates formed upon methane activation. Ethane is formed by methyl radical coupling, followed by its dehydrogenation to ethylene. Based on the observation of intermediates including allene, vinylacetylene, 1,3-butadiene, 2-butyne, and cyclopentadiene over [Fe]MFI, a reaction network is proposed leading to polyaromatic species. Such reaction intermediates are not observed over the small-pore [Fe]CHA, where ethylene and ethane are the only gas-phase products.","C−C Coupling; Iron; Methane; Non-Oxidative; Zeolites","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:3e98f1e9-10ab-4573-857b-8e72d285a37a","http://resolver.tudelft.nl/uuid:3e98f1e9-10ab-4573-857b-8e72d285a37a","Release behavior of soluble salts in MSWI bottom ash used as road basement materials under continuous rainfall conditions","Zhao, Lingqin (Zhejiang University); Zhang, Dongming (Zhejiang Zheneng Xingyuan Energy Saving Technology Co. Ltd); Hu, Yanjun (Zhejiang University); Guo, Qianqian (Zhejiang University); Jiao, Long (Zhejiang University); Zhou, Nan (Zhejiang University); Di Maio, F. (TU Delft Resources & Recycling)","","2023","The bottom ash is increasingly used as a substitute aggregate material in road construction in China, and road salting is the major salt source in groundwater. Continuous rainfall releases soluble salts from the bottom ash subgrade into the surrounding soil and groundwater, resulting in potential hazards. Different methods were employed to simulate and collect runoff water during rainfall events, including batch leaching test, dynamic leaching test and constant head test, to assess environmental impact of bottom ash as road basement materials under continuous rainfall conditions. This study simulated the seepage of bottom ash backfill roads under different rainfall intensities, rainfall times, and rainfall pH values. A comprehensive sampling and laboratory testing program was undertaken to characterize the environmental impact of soluble salts from bottom ash. The obtained results reveal that the leaching concentrations of Cl− and SO42− exceed the limit specified in the class V standard of surface water, which are 2.06–2.17 times and 1.08–1.25 times, respectively. By examining the long-term environmental influence under the condition of continuous rainfall, the leaching of Cl− mainly occurs in the early leaching stage, and the maximum leaching concentration reaches 19,700 mg/L. The release concentration of Cl− begins to be lower than the class V standard of surface water when continuous rainfall approaches the total rainfall for 13 months. The cumulative release of Cl− in the bottom ash is 2.8–5.4 mg/g. Both rainfall intensity and rain pH affect the release of Cl−. The obtained results derived from the constant head tests indicate that stagnant water caused by rainfall deteriorates the release of soluble salt into the groundwater in only 1 day, especially at the early stage of 12 h. This work provides some basic information about how to minimize damage to the surrounding environment caused by the leaching of salt in bottom ash.","Continuous rainfall; Incineration bottom ash; Leaching; Municipal solid waste; Salts","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Resources & Recycling","","",""
"uuid:f61cb722-e87f-4cc2-bc03-247e1e6f1df1","http://resolver.tudelft.nl/uuid:f61cb722-e87f-4cc2-bc03-247e1e6f1df1","Designing the transition to operations in large inter-organizational projects: Strategy, structure, process, and people","Zhang, X. (TU Delft Design & Construction Management; Tongji University); Denicol, Juliano (University College London (UCL)); Chan, P.W.C. (TU Delft Design & Construction Management); Le, Yun (Tongji University)","","2023","The transition from projects to operations requires a spanning from more temporary, goal-oriented, and evolving organizational forms to more permanent, routine, and ongoing organizational forms. A question of practical and theoretical significance is how to organize the transition to operations in large inter-organizational projects. To answer this question, we conducted a longitudinal case study of Beijing Daxing International Airport, which is the largest transportation hub in China to date, and provides rich evidence for successfully managing the transition to operations. By analyzing the organizational design strategy, structures, processes, and management of people in the transition, we provide a synthetic framework for designing the transition to operations in large inter-organizational projects. The framework provides a set of considerations to design organizational boundaries that build connections, emphasize coordination, and achieve continuity between projects and operations. This study contributes to the nexus of operations management and project management and the organizational design of large inter-organizational projects.","large infrastructure projects; organizational design; owners and operators; project transitions; temporary-permanent organizing","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","http://resolver.tudelft.nl/uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","Effect of epoxy resin addition on properties and corrosion behavior of sintered joints in power modules serviced offshore","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Wang, Boya (Fudan University); Chen, Wei (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University); Liu, P. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","Power modules applied in offshore applications are facing risks of corrosion failures on die-attach materials due to high humidity and H2S exposure. To investigate such corrosion behavior for sintered die-attach materials, we conducted a study with four groups of samples fabricated using copper and silver metal particles under different solvent systems. Such samples were firstly subjected to high-humidity-H2S conditions for 168 h to simulate the harsh offshore environment. After undergoing corrosion, the primary compounds formed were CuO/Cu2O and Ag2S through SEM, XRD, and XPS analysis. Notably, the incorporation of epoxy resin into sintered copper joints resulted in a remarkable reduction in corrosion and a substantial improvement in electrical conductivity after the reaction. In contrast, while the addition of epoxy did not evidently reduce corrosion in silver joints, it did lead to a significant increase in shear strength. Furthermore, to gain further insights into the effect of epoxy resin on corrosion behavior, electrochemical analysis, and molecular dynamics simulations were conducted. Finally, the mechanical reliability of the corroded copper and silver joints was evaluated through thermal shock tests. In summary, sintered copper joints exhibited better anti-corrosion behaviors than sintered silver under high humidity and H2S exposure, especially with the addition of epoxy resin. However, the corrosion products of sintered copper suffered from a sharp decrease in shear strength after thermal shock tests than sintered silver, which is probably due to the coefficient of thermal expansion mismatch.","Die-attach; Electrochemical analysis; Gas corrosion; Molecular dynamics simulation; Power module packaging; Sintered joint","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:229f9f45-c876-4b78-8243-226f9557f406","http://resolver.tudelft.nl/uuid:229f9f45-c876-4b78-8243-226f9557f406","Water Adsorption in MOFs: Structures and Applications","Zhang, Bo (Tianjin University); Zhu, Zerui (Nanjing Tech University); Wang, Xuerui (Nanjing Tech University); Liu, X. (TU Delft ChemE/Catalysis Engineering; Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2023","Metal–organic frameworks (MOFs) are superior sorbents for water adsorption-based applications. The unique step-like water isotherm at a MOF-specific relative pressure allows easy loading and regeneration over a small range of temperature and pressure conditions. With good hydrothermal stability and cyclic durability, it stands out over classical sorbents used in applications for humidity control, water harvesting, and adsorption-based heating and cooling. These are easily regenerated at moderate temperatures using “waste” heat or solar heating. The isotherm thermodynamics and adsorption mechanisms are described, and the presence of MOFs in the water–air system is explained. Based on six selection criteria ≈40 reported MOFs and one COF are identified for potential application. Trends and approaches in further synthesis optimization and production scale-up are highlighted. No-MOF-fits-all, each MOF has its own specific step location matching only with a certain application type. Most applications are technically feasible and demonstrated on the bench-scale or small pilot. Their maturity is benchmarked by their technology readiness level. Retrofitting existing applications with MOFs replacing classical desiccants may lead to rapid demonstration. Studies on techno-economic analysis and life cycle analysis are required for a rational evaluation of the feasibility of promising applications.","adsorption desalination; humidity control; MOF formulation; water adsorption isotherm; water harvesting","en","review","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","http://resolver.tudelft.nl/uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","FedKNOW: Federated Continual Learning with Signature Task Knowledge Integration at Edge","Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID data, and the limited scalability on the tasks and edge devices. In this paper, we propose FedKNOW, an accurate and scalable federated continual learning framework, via a novel concept of signature task knowledge. FedKNOW is a client side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedKNOW is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients' current tasks through the global model. We implement FedKNOW in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedKNOW improves model accuracy by 63.24% without increasing model training time, reduces communication cost by 34.28%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex networks.","communication; continual learning; deep neural networks; Federated learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:49124128-422f-4f6e-8ef2-45142046fe5d","http://resolver.tudelft.nl/uuid:49124128-422f-4f6e-8ef2-45142046fe5d","EdgeVisionBench: A Benchmark of Evolving Input Domains for Vision Applications at Edge","Zhang, Qinglong (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Vision applications powered by deep neural networks (DNNs) are widely deployed on edge devices and solve the learning tasks of incoming data streams whose class label and input feature continuously evolve, known as domain shift. Despite its prominent presence in real-world edge scenarios, existing benchmarks used by domain adaptation methods overlook evolving domains and under represent their shifts in label and feature distributions. To address this gap, we present EdgeVisionBench, a benchmark seeking to generate evolving domains of various types and reflect their realistic label and feature shifts encountered by edge-based vision applications. To facilitate evaluating domain adaptation methods on edge devices, we provide an open-source package that automates workload generation, contains popular DNN models and compression techniques, and standardizes evaluations with interactive interfaces. Code and datasets are available at https://github.com/LINC-BIT/EdgeVisionBench.","benchmark; Edge computing; evolving domains; vision applications","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:b9025aad-e658-4879-ae06-c146059b33fe","http://resolver.tudelft.nl/uuid:b9025aad-e658-4879-ae06-c146059b33fe","The FluidFlower Validation Benchmark Study for the Storage of CO 2","Flemisch, Bernd (University of Stuttgart); Nordbotten, Jan M. (University of Bergen; Norwegian Research Center); Geiger, S. (TU Delft Applied Geology; Heriot-Watt University); Hajibeygi, H. (TU Delft Reservoir Engineering); Tian, X. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering); Wang, Y. (TU Delft Numerical Analysis); Wapperom, M.B. (TU Delft Reservoir Engineering); Zhang, Z. (TU Delft Numerical Analysis)","","2023","Successful deployment of geological carbon storage (GCS) requires an extensive use of reservoir simulators for screening, ranking and optimization of storage sites. However, the time scales of GCS are such that no sufficient long-term data is available yet to validate the simulators against. As a consequence, there is currently no solid basis for assessing the quality with which the dynamics of large-scale GCS operations can be forecasted. To meet this knowledge gap, we have conducted a major GCS validation benchmark study. To achieve reasonable time scales, a laboratory-size geological storage formation was constructed (the “FluidFlower”), forming the basis for both the experimental and computational work. A validation experiment consisting of repeated GCS operations was conducted in the FluidFlower, providing what we define as the true physical dynamics for this system. Nine different research groups from around the world provided forecasts, both individually and collaboratively, based on a detailed physical and petrophysical characterization of the FluidFlower sands. The major contribution of this paper is a report and discussion of the results of the validation benchmark study, complemented by a description of the benchmarking process and the participating computational models. The forecasts from the participating groups are compared to each other and to the experimental data by means of various indicative qualitative and quantitative measures. By this, we provide a detailed assessment of the capabilities of reservoir simulators and their users to capture both the injection and post-injection dynamics of the GCS operations.","Geological carbon storage; Model intercomparison; Validation benchmark; Validation experiment","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:e0c7f043-631e-4add-af40-34877c89396b","http://resolver.tudelft.nl/uuid:e0c7f043-631e-4add-af40-34877c89396b","Rapid Fabrication of High-Performance Flexible Pressure Sensors Using Laser Pyrolysis Direct Writing","Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Zong, Qihang (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","The fabrication of flexible pressure sensors with low cost, high scalability, and easy fabrication is an essential driving force in developing flexible electronics, especially for high-performance sensors that require precise surface microstructures. However, optimizing complex fabrication processes and expensive microfabrication methods remains a significant challenge. In this study, we introduce a laser pyrolysis direct writing technology that enables rapid and efficient fabrication of high-performance flexible pressure sensors with a micro-truncated pyramid array. The pressure sensor demonstrates exceptional sensitivities, with the values of 3132.0, 322.5, and 27.8 kPa-1 in the pressure ranges of 0-0.5, 0.5-3.5, and 3.5-10 kPa, respectively. Furthermore, the sensor exhibits rapid response times (loading: 22 ms, unloading: 18 ms) and exceptional reliability, enduring over 3000 pressure loading and unloading cycles. Moreover, the pressure sensor can be easily integrated into a sensor array for spatial pressure distribution detection. The laser pyrolysis direct writing technology introduced in this study presents a highly efficient and promising approach to designing and fabricating high-performance flexible pressure sensors utilizing micro-structured polymer substrates.","continuous laser pyrolysis; flexible pressure sensor; laser direct writing; micro-truncated pyramid; PDMS; UV laser","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:4ef066aa-5dae-47f2-85d4-010082407d0a","http://resolver.tudelft.nl/uuid:4ef066aa-5dae-47f2-85d4-010082407d0a","Thermal-mechanical-electrical Co-design of Fan-Out Panel-Level SiC MOSFET Packaging with a Multi-objective Optimization Algorithm","Chen, Wei (Fudan University); Yan, Xuyang (Fudan University); Ibrahim, Mesfin S. (Centre for Advances in Reliability and Safety); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","As the next generation of semiconductor devices, SiC MOSFETs have demonstrated significant performance improvements in switching loss, switching frequency, and high-temperature operation compared to Si-based MOSFETs. However, the long-term reliability of such devices and their packaging continues to be a major concern. Towards addressing this challenge, this study proposes a multi-objective optimization design method for parasitic inductance (L), thermal strain (?), and thermal resistance (R) of SiC MOSFETs with Fan-Out Panel-Level Packaging (FOPLP). First, the orthogonal experimental design was employed to investigate the thickness effects of baseplate, solder, die and redistribution layer (RDL) on L, e, and R. Then, the multi-objective optimization was developed to simultaneously reduce L, G, and R. Finally the fatigue lifetimes of the optimized and initial SiC MOSFET FOPLP structures were compared to verify the optimization's accuracy. Study findings include: (1) Solder thickness was the most significant influence factor for L, e and R of SiC MOSFET FOPLP, L and R increased, and e decreased with increasing solder thickness; (2) The proposed multi-objective optimization method coupled with a genetic algorithm achieved 14.79, 8.96, and 9.28% reduction of L, e, and R, respectively; (3) The fatigue lifetime of solder (SAC305) was evaluated using the Coffin-Manson model, with predicted lifetimes before and after optimization being 6786 and 7085 cycles, respectively, demonstrating that the proposed approach significantly enhanced the designed SiC MOSFET FOPLP's long-term thermal cycling reliability.","FOPLP; Genetic algorithms; Orthogonal experimental design; Reliability optimization; SiC MOSFET","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","http://resolver.tudelft.nl/uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","Simulation, Prediction, and Verification of the Corrosion Behavior of Cu-Ag Composite Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","With the popularization of wide band-gap power modules in offshore wind power systems and water surface photovoltaic power stations, packaging materials face challenges of corrosion by salt, blended with high humidity. Copper-silver (Cu-Ag) composite sintered paste was proposed by researchers as a novel die-attach material for a lower cost and anti-electro migration ability. However, the potential difference between copper and silver forms galvanic corrosion in a high-humidity environment, resulting in accelerated failure combined with salt mist. To further promote the application of composite sintered materials, a copper-silver double-sphere galvanic corrosion model based on finite element simulation was proposed in this paper. The relationship between corrosion rate and time of different Cu-Ag particle size combinations under different sintering degrees was predicted by initial exchange current density. Through the electrochemical characterization of the sintered samples, the optimal combination of materials was further discussed. The accuracy of the model was also verified. The conclusions obtained from both the experiments and simulation work provide guidance for future anti-corrosion analysis, as well as the reliability improvement of novel composite sintered materials.","die-attach material; FEM simulation; galvanic corrosion; power electronic packaging","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:311c668f-8cb7-4201-8105-c19ab87aa888","http://resolver.tudelft.nl/uuid:311c668f-8cb7-4201-8105-c19ab87aa888","Benchmarking Robustness and Generalization in Multi-Agent Systems: A Case Study on Neural MMO","Chen, Yangkun (Tsinghua University; Parametrix.ai); Yu, Chenghui (Tsinghua University; Parametrix.ai); Zhu, Hengman (Parametrix.ai); Liu, Shuai (Bilibili); Zhang, Yibing (Chengdu Goldwin Electronics Technology); Suarez, Joseph (Massachusetts Institute of Technology); Zhao, Liang (International Digital Economy Academy); He, J. (TU Delft Interactive Intelligence); Chen, Jiaxin (Parametrix.ai)","","2023","We present the results of the second Neural MMO challenge, hosted at IJCAI 2022, which received 1600+ submissions. This competition targets robustness and generalization in multi-agent systems: participants train teams of agents to complete a multi-task objective against opponents not seen during training. We summarize the competition design and results and suggest that, considering our work as a case study, competitions are an effective approach to solving hard problems and establishing a solid benchmark for algorithms. We will open-source our benchmark including the environment wrapper, baselines, a visualization tool, and selected policies for further research.","Benchmark; Competition; Multi-agent Reinforcement Learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Interactive Intelligence","","",""
"uuid:9e066479-d288-4a8a-b962-5a312e56f337","http://resolver.tudelft.nl/uuid:9e066479-d288-4a8a-b962-5a312e56f337","Experimental investigation of wave attenuation by mangrove forests with submerged canopies","Zhang, R. (Hohai University; State Environmental Protection Key Laboratory of Marine Ecosystem Restoration); Chen, Y. (Hohai University); Lei, Jiaxin (Hohai University); Zhou, Xin (Hohai University; Ministry of Land and Resources of China); Yao, P. (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering)","","2023","Mangroves can function as a ‘bio-shield’ to protect coastal communities from harsh environments because of their strong ability to attenuate wave energy. However, as mangroves are usually oversimplified as rigid cylinders in antecedent studies, the effects of complex mangrove morphology on wave attenuation have not been well researched. Although increasing attention has been paid to the wave dissipation induced by varying mangrove morphologies, most of them focus on the bottom trunk and root components of mature mangrove trees. There are few investigations about the contributions of the canopies of young saplings and/or short species to wave attenuation. To bridge this knowledge gap, a series of laboratory experiments under regular waves were conducted to examine the hydrodynamic variations affected by varying mangrove morphology configurations. Three water depths were considered to explore the influences of the vertical-varying submerged volume of mangroves when the artificial mangrove models are submerged, nearly emergent, and fully emergent. The mangrove forest model is 2 m long at a 1:10 scale. Three mangrove configurations, i.e. with no canopy, sparse canopy, and dense canopy were applied and compared to isolate the wave attenuation contributed by mangrove canopies. The results highlight the wave energy attenuation attributed to the canopy density. A linear correlation is found between the wave damping factor and a new variable named hydraulic submerged volume index (HSVI). The bulk drag coefficient, including canopy effects, was calculated to characterize mangrove-induced wave attenuation when the mangrove canopy is submerged. The relationships between the bulk drag coefficient CD and the characteristic hydraulic numbers (i.e., Reynolds number, Keulegan–Carpenter number, Ursell number) are discussed in detail. Consequently, new generic formulas of CD were deduced considering the effects of the submerged canopy. The employment of new CD formulas improves the reliability of the prediction of the wave attenuation ability by mangroves since the canopy effects are incorporated.","Drag coefficient; Experimental analysis; Submerged mangrove canopies; Wave attenuation; Wave damping factor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-23","","","Coastal Engineering","","",""
"uuid:033879ad-0a88-4f88-a6c4-2486ff6d4f84","http://resolver.tudelft.nl/uuid:033879ad-0a88-4f88-a6c4-2486ff6d4f84","DFL: High-Performance Blockchain-Based Federated Learning","Tian, Yongding (Student TU Delft); Guo, Zhuoran (Student TU Delft); Zhang, Jiaxuan (Student TU Delft); Al-Ars, Z. (TU Delft Computer Engineering)","","2023","Many researchers have proposed replacing the aggregation server in federated learning with a blockchain system to improve privacy, robustness, and scalability. In this approach, clients would upload their updated models to the blockchain ledger and use a smart contract to perform model averaging. However, the significant delay and limited computational capabilities of blockchain systems make it inefficient to support machine learning applications on the blockchain.In this article, we propose a new public blockchain architecture called DFL, which is specially optimized for distributed federated machine learning. Our architecture inherits the merits of traditional blockchain systems while achieving low latency and low resource consumption by waiving global consensus. To evaluate the performance and robustness of our architecture, we implemented a prototype and tested it on a physical four-node network, and also developed a simulator to simulate larger networks and more complex situations. Our experiments show that the DFL architecture can reach over 90% accuracy for non-I.I.D. datasets, even in the presence of model poisoning attacks, while ensuring that the blockchain part consumes less than 5% of hardware resources.","Federated machine learning; blockchain; partial consensus; reputation","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:b2f7db77-5ab3-4681-a89d-599fa216d1cd","http://resolver.tudelft.nl/uuid:b2f7db77-5ab3-4681-a89d-599fa216d1cd","Learning-based NLOS Detection and Uncertainty Prediction of GNSS Observations with Transformer-Enhanced LSTM Network","Zhang, Haoming (Rheinisch-Westfälische Technische Hochschule); Wang, Zhanxin (Rheinisch-Westfälische Technische Hochschule); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule)","","2023","The global navigation satellite systems (GNSS) play a vital role in transport systems for accurate and consistent vehicle localization. However, GNSS observations can be distorted due to multipath effects and non-line-of-sight (NLOS) receptions in challenging environments such as urban canyons. In such cases, traditional methods to classify and exclude faulty GNSS observations may fail, leading to unreliable state estimation and unsafe system operations. This work proposes a deep-learning-based method to detect NLOS receptions and predict GNSS pseudorange errors by analyzing GNSS observations as a spatio-temporal modeling problem. Compared to previous works, we construct a transformer-like attention mechanism to enhance the long short-term memory (LSTM) networks, improving model performance and generalization. For the training and evaluation of the proposed network, we used labeled datasets from the cities of Hong Kong and Aachen. We also introduce a dataset generation process to label the GNSS observations using lidar maps. In experimental studies, we compare the proposed network with a deep-learning-based model and classical machine-learning models. Furthermore, we conduct ablation studies of our network components and integrate the NLOS detection with data out-of-distribution in a state estimator. As a result, our network presents improved precision and recall ratios compared to other models. Additionally, we show that the proposed method avoids trajectory divergence in real-world vehicle localization by classifying and excluding NLOS observations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Biomechatronics & Human-Machine Control","","",""
"uuid:d076ce95-c521-4007-b4a1-6b40b6019a2d","http://resolver.tudelft.nl/uuid:d076ce95-c521-4007-b4a1-6b40b6019a2d","Nanoindentation characterization of sintered porous Cu nanoparticles used in power electronics packaging – A molecular dynamic simulation study","Hu, D. (TU Delft Electronic Components, Technology and Materials); Li, Z. (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Shanghai Engineering Technology Research Center; Research Institute of Fudan University, Ningbo); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","As a critical part of speeding up industrial electrification, power electronics, and its packaging technology are undergoing rapid development. Cu nanoparticle sintering technology has therefore received extensive attention for its excellent performance in the die-attachment layer, where the mechanical properties are essential to be known for design for reliability. Both sintering and subsequent nanoindentation were studied by simulation. The effect of porosity on the nanoindentation response was investigated by setting different initial packing densities. In addition, the impact of indenter size and indentation positions on the nanoindentation response were discussed. The nanoindentation behaviors were studied by extracting loading-displacement (P-h) curves and calculating elastic modulus and hardness. In addition, the microstructure evolution was adopted using atomic configuration to study the nanoindentation mechanism. This work offers valuable insights into the Cu sinter paste preparation phase for sintering technology.","Nanoindentation; Sintering technology; Cu nanoparticles; molecular dynamics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Electronic Components, Technology and Materials","","",""
"uuid:6f7a1a07-a318-4f1c-9fee-842a93a65f49","http://resolver.tudelft.nl/uuid:6f7a1a07-a318-4f1c-9fee-842a93a65f49","Design and Manufacturing of an In-Package Relative Humidity Sensor with Multi-Width Interdigital Electrodes Towards Enhanced Sensitivity for Characterization of Packaging Encapsulation Materials","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This study presents a novel manufacturing process and design towards an enhanced sensitivity of an in-package relative humidity sensor. The device comprises multi-width interdigital electrodes which make oxide pillars appear during wet chemical etching in the fabrication process. Those oxide pillars appear only in wider areas while completely etched away in narrower areas providing semi-floating metal fingers. Therefore, after wafer molding, the packaging encapsulation material such as the epoxy molding compound covers larger area around the electrodes and increases the sensitivity by confining more of the electrical field lines. The results confirm the enhanced sensitivity of the proposed humidity sensor for characterization and monitoring of the aging properties of packaging encapsulation materials.","Encapsulation; Electrodes; Sensitivity; Manufacturing processes; Fingers; Humidity; Reliability engineering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Electronic Components, Technology and Materials","","",""
"uuid:d92845de-588c-4d74-b61a-d3d8e14f547d","http://resolver.tudelft.nl/uuid:d92845de-588c-4d74-b61a-d3d8e14f547d","Digital Twin-Based Hybrid PHM Framework for Monitoring Package-Level Degradation","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","Brito, Mario P. (editor); Aven, Terje (editor); Baraldi, Piero (editor); Cepin, Marko (editor); Zio, Enrico (editor)","2023","Digital Twin can be broadly described as a continuously updated virtual representation of an object, system, or process which replicates all phases in the lifecycle of its physical counterpart. Originally conceptualized in 2003 [1], the term `Digital Twin' came into existence after it first appeared in NASA's roadmap in 2010 [2]. The concept initially evolved within the framework of aerospace and manufacturing applications and has picked up a lot of traction in the past five years. Digital Twin is now commonly used in the context of products, processes, businesses, etc., and it has been embraced by many other industries such as healthcare and electronics.
The adoption of electronic devices and components in various applications has shown steep growth in last ten years, where some of the applications require them to withstand harsh environments. Thus, prognostics and health management (PHM) of microelectronics has gained importance more than ever. So far, the concept of Digital Twin has been implemented by contextualizing it for the respective use-case; and thus, it does not have a single fit-for-all definition or a standardized workflow. Therefore, it is crucial to clearly define a framework to implement a Digital Twin system for PHM of microelectronics. This presentation introduces such a framework adapted from a five-dimensional model [3] of Digital Twin.
First, physics-based and data-driven approaches of modelling and lifetime-prognosis are described, and their limitations on an individual basis are discussed. Then, a hybrid approach, which utilizes both of the aforementioned approaches as building blocks, is introduced along with its additional requirements such as the `physics-of-degradation' models. Fundamental differences between a model and a Digital Twin of a product have been addressed, and three different complexity-levels (weak, cloud, and edge) of connections to the physical entity are discussed. The conflict of using edge and cloud-based computing for data-driven models, as well as the advantages of utilizing both of them together is also briefly touched upon. Lastly, an example of implementing the hybrid approach for monitoring temperature and humidity induced package-level degradation is presented.","","en","conference paper","Research Publishing","","","","","","","2024-03-25","","","Electronic Components, Technology and Materials","","",""
"uuid:d8098ce5-c6b1-4431-b90d-b42843cab503","http://resolver.tudelft.nl/uuid:d8098ce5-c6b1-4431-b90d-b42843cab503","Exploring Schedule Risks in Large Airport Operational Readiness: Risk Identification and the Systematic Model","Xue, Yutong (Tongji University); Le, Yun (Tongji University); Zhang, X. (TU Delft Design & Construction Management; Tongji University); Jiang, Kaiwen (Tongji University)","","2023","Large airport operational readiness (LAOR) is a critical factor that directly impacts the opening of airports to the public. However, limited research exists on the risks affecting LAOR schedules. This article explores the risk breakdown structure and risk interactions model of LAOR schedule risk. An empirical investigation was conducted on four large hub airports from 2009 to 2021 using grounded theory procedures. The study identified 21 risk factors categorized into management (highest frequency), technical, process, participant, and environmental risks (lowest frequency), which implied that risks primarily existed within a project rather than in the external environment. A systematic model incorporating risks and their interactions revealed that the primary risk transfer path was from the subject subsystem (participant risk) to the object subsystem (technical and process risk). The findings expand the knowledge domain of infrastructure risk and provide pragmatic risk evaluation and response guidelines.","Grounded theory; Large airport; Operational readiness; Risk identification; Schedule risk","en","journal article","","","","","","reen Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Design & Construction Management","","",""
"uuid:de1099de-e9d5-40fa-bef3-275e88b8afce","http://resolver.tudelft.nl/uuid:de1099de-e9d5-40fa-bef3-275e88b8afce","Copper Nanoparticle Sintering Enabled Hermetic Packaging With Fine Sealing Ring for MEMS Application","Hu, D. (TU Delft Electronic Components, Technology and Materials); Shah, M.B. (TU Delft Electrical Engineering, Mathematics and Computer Science); Fan, J. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Driving by the increased demand for hermetic packaging in the more than Moore (MtM) roadmap, a Cu nanoparticle sintering-enabled hermetic sealing solution was developed with a small-size sealing ring. The developed technology simplifies microfabrication and requires less surface roughness using a sinterable Cu nanoparticle paste. A 50μm size Cu paste sealing ring was achieved using a lithography patterned photoresist as a stencil mask. A groove-structured chip was used to amplify localized stress. The Cu nanoparticle paste was fully sintered at 300 °C under pressure ranging from 10 to 40 MPa resulting in a robust bonding with a maximum shear strength of 280 MPa and implementing hermetic packaging. The deflection of the Si diaphragms estimated a vacuum level of 7 kPa. Vacuum sealing was maintained for over six months, and the lowest leak rate was calculated as 8.4× 10 -13Pa·m 3/s. The developed technology that comprises small-size patterning and pressure-assisted sintering offers the potential for a simple, cost-effective, but robust solution for hermetic packaging.","Bonding; Cu nanoparticle; hermetic packaging; leak rate; Packaging; Periodic structures; pressure-assisted sintering; Resists; Silicon; Sintering; Temperature sensors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","Electrical Engineering, Mathematics and Computer Science","","Electronic Components, Technology and Materials","","",""
"uuid:266ac5de-2ed6-46ff-b751-267f1fae97d1","http://resolver.tudelft.nl/uuid:266ac5de-2ed6-46ff-b751-267f1fae97d1","Drug Trafficking in Relation to Global Shipping Network","Leibbrandt, Louise (Student TU Delft); Zhang, S. (TU Delft Multimedia Computing); Roelvink, M.A.T. (TU Delft Support Delft Institute of Applied Mathematics); Bergkamp, Stan (Student TU Delft); Li, Xinqi (Student TU Delft); Bisschop, Lieselot (Erasmus Universiteit Rotterdam); Wingerde, Karin van (Erasmus Universiteit Rotterdam); Wang, H. (TU Delft Multimedia Computing)","Cherifi, Hocine (editor); Mantegna, Rosario Nunzio (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Micciche, Salvatore (editor)","2023","This paper aims to understand to what extent the amount of drug (e.g., cocaine) trafficking per country can be explained and predicted using the global shipping network. We propose three distinct network approaches, based on topological centrality metrics, Susceptible-Infected-Susceptible spreading process and a flow optimization model of drug trafficking on the shipping network, respectively. These approaches derive centrality metrics, infection probability, and inflow of drug traffic per country respectively, to estimate the amount of drug trafficking. We use the amount of drug seizure as an approximation of the amount of drug trafficking per country to evaluate our methods. Specifically, we investigate to what extent different methods could predict the ranking of countries in drug seizure (amount). Furthermore, these three approaches are integrated by a linear regression method in which we combine the nodal properties derived by each method to build a comprehensive model for the cocaine seizure data. Our analysis finds that the unweighted eigenvector centrality metric combined with the inflow derived by the flow optimization method best identifies the countries with a large amount of drug seizure (e.g., rank correlation 0.45 with the drug seizure). Extending this regression model with two extra features, the distance of a country from the source of cocaine production and a country’s income group, increases further the prediction quality (e.g., rank correlation 0.79). This final model provides insights into network derived properties and complementary country features that are explanatory for the amount of cocaine seized. The model can also be used to identify countries that have no drug seizure data but are possibly susceptible to cocaine trafficking.","Drug seizure; Drug trafficking; Network method; Shipping network","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","","Multimedia Computing","","",""
"uuid:69dc8546-2de9-4228-9b15-6c1de41828f3","http://resolver.tudelft.nl/uuid:69dc8546-2de9-4228-9b15-6c1de41828f3","An Efficient Game-Theoretic Planner for Automated Lane Merging with Multi-Modal Behavior Understanding","Zhang, L. (TU Delft Team Sergio Grammatico); Han, S. (Student TU Delft); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","In this paper, we propose a novel behavior planner that combines game theory with search-based planning for automated lane merging. Specifically, inspired by human drivers, we model the interaction between vehicles as a gap selection process. To overcome the challenge of multi-modal behavior exhibited by the surrounding vehicles, we formulate the trajectory selection as a matrix game and compute an equilibrium. Next, we validate our proposed planner in the high-fidelity simulator CARLA and demonstrate its effectiveness in handling interactions in dense traffic scenarios.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Team Sergio Grammatico","","",""
"uuid:ad7f7996-0f02-4655-8a34-a9022995ac94","http://resolver.tudelft.nl/uuid:ad7f7996-0f02-4655-8a34-a9022995ac94","Predicting the Yield Stress of Geomaterials from Their Microstructure","Lesueur, M. (TU Delft Applied Mechanics); Zhang, X. (TU Delft Civil Engineering & Geosciences); Poulet, Thomas (CSIRO, Mineral Resources); Veveakis, Manolis (Duke University)","Pasternak, Elena (editor); Dyskin, Arcady (editor)","2023","The seminal work of Gurson (J Eng Mater Technol 99:2–5, 1977) on a simplified pore structure, a single spherical pore, first provided a theoretical relationship between the yield stress and the porosity. This contribution extends the approach to determine the macroscopic yield of a porous material by taking explicitly into account its internal structure. As the yielding of a porous material is controlled by the geometry of its internal structure, we postulate that it is nearly independent of the constitutive plastic behaviour of the material. Here, we show that the influence of that internal structure on the yield could be retrieved from a finite element computation with just an elastoplastic ideal (J2) material equivalent of the skeleton’s. With some basic knowledge about the skeleton’s mechanical properties, this process allows the determination of the yield stress without requiring the experimental compression of the material. We showcase the predictive power of the method against experimental testing, initially for a unit cell following Gurson, i.e., unique cylindrical void in a 3D printed cylinder sample. Eventually, the applicability of the method is demonstrated on a complex 3D printed rock microstructure, reconstructed from a sandpack’s CT-scan.","3D printing; Microstructure; Yield stress","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Civil Engineering & Geosciences","","Applied Mechanics","","",""
"uuid:c4721bbd-466b-4f2c-9dc0-5cb8441597f3","http://resolver.tudelft.nl/uuid:c4721bbd-466b-4f2c-9dc0-5cb8441597f3","Effects of Carbonate Distribution Inhomogeneity on the Improvement Level of Bio-cemented Sands: A DEM Study","Zhang, A. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering)","Barla, Marco (editor); Insana, Alessandra (editor); Di Donna, Alice (editor); Sterpi, Donatella (editor)","2023","Microbially induced carbonate precipitation (MICP) involves bacteria to drive calcite precipitation and naturally cement soils, thereby improving soils performance. Experimental studies have shown that bio-cemented specimen can suffer from severe spatial inhomogeneity of the calcite content, leading to large uncertainty in treatment efficiency prediction. To evaluate the effect of inhomogeneity on the mechanical behaviour of bio-cemented soils, the discrete element method (DEM) is used to model bio-cemented samples with a single carbonate distribution pattern (i.e. either bridging or contact cementing) but different characteristics of inhomogeneity. Both drained triaxial compression and triaxial extension simulations are carried out to evaluate the impact of inhomogeneity along different loading paths. The results indicate that inhomogeneity has different effects on bio-cemented samples depending on the carbonate distribution patterns and the loading path. Specifically, the shear strength in compression of samples exhibiting bridging cementation is largely affected by inhomogeneity, while the effect on shear strength in extension is negligible. On the other hand, samples with contact cementing show limited sensitivity to the variation of inhomogeneity under both triaxial compression and triaxial extension tests.","Bio-cemented soil; DEM; Inhomogeneity; MICP; Shear strength","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:1ed43c9e-5cda-4d8f-a13c-1d82ab3af91e","http://resolver.tudelft.nl/uuid:1ed43c9e-5cda-4d8f-a13c-1d82ab3af91e","Transient thermal measurement on nano-metallic sintered die-attach joints using a thermal test chip","Sattari, R. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The rapid development of power electronics has challenged the thermal integrity of semiconductor packaging. Further developments in this domain can be supported significantly by utilizing fast and flexible thermal characteristic evaluation. This study employs the transient dual interface method (TDIM) to characterize and compare the thermal resistance of Ag- and Cu-sintered die-attach joints using an in-house developed thermal test chip (TTC). The proposed TTC with 82.5% active area achieves a temperature sensitivity of 12 Ω/K and maximum power of 360 W per cell, which are 50% and 44% higher than the state-of-the-art, respectively. The uniformity of the temperature distribution (1 °C at 68 W) is verified by infrared thermography. The cost-effective manufacturing process allows the design to be applied to any substrate, such as SiC or GaN. Ag and Cu sintering is performed to bond the TTC on a Cu substrate, and the junction-to-case thermal resistance of the sintered structures is extracted. The lowest junction-to-case thermal resistance of 0.144 K/W is measured for the device sintered using Ag paste. Meanwhile, the Cu sintered structure exhibits a comparable value of 0.158 K/W. The proposed TTC in combination with TDIM accelerates the introduction of novel and cost-effective materials such as Cu.","Power electronics; Sintered die-attach joints; Transient dual interface method; Thermal test chip; Junction-to-case thermal resistance; Infrared thermography","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Electronic Components, Technology and Materials","","",""
"uuid:31864b30-7708-4aec-8ef8-17814c10b38f","http://resolver.tudelft.nl/uuid:31864b30-7708-4aec-8ef8-17814c10b38f","Synchronization of power systems under stochastic disturbances","Wang, Zhen (Shandong University); Xi, Kaihua (Shandong University); Cheng, Aijie (Shandong University); Lin, H.X. (TU Delft Mathematical Physics; Universiteit Leiden); Ran, André C.M. (Vrije Universiteit Amsterdam; North-West University); van Schuppen, J.H. (TU Delft Mathematical Physics); Zhang, Chenghui (Shandong University)","","2023","The synchronization of power generators is an important condition for the proper functioning of a power system, in which the fluctuations in frequency and the phase angle differences between the generators are sufficiently small when subjected to stochastic disturbances. Serious fluctuations can prompt desynchronization, which may lead to widespread power outages. Here, we model the stochastic disturbance by a Brownian motion process in the linearized system of the non-linear power systems and characterize the fluctuations by the variances of the frequency and the phase angle differences in the invariant probability distribution. We propose a method to calculate the variances of the frequency and the phase angle differences. For the system with uniform disturbance-damping ratio, we derive explicit formulas for the variance matrices of the frequency and the phase angle differences. It is shown that the fluctuation of the frequency at a node depends on the disturbance-damping ratio and the inertia at this node only, and the fluctuations of the phase angle differences in the lines are independent of the inertia. In particular, the synchronization stability is related to the cycle space of the network. We reveal the influences of constructing new lines and increasing capacities of lines on the fluctuations in the phase angle differences in the existing lines. The results are illustrated for the transmission system of Shandong Province of China. For the system with non-uniform disturbance-damping ratio, we further obtain bounds of the variance matrices.","Invariant probability distribution; Variances; Network topology; Graph theory; System stability; Cycle space","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-21","","","Mathematical Physics","","",""
"uuid:475b63e5-c303-4817-b382-fc8b9d2a925c","http://resolver.tudelft.nl/uuid:475b63e5-c303-4817-b382-fc8b9d2a925c","Degradation of silicone-based sealing materials used in microelectronics","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); Hajipour, Pejman (University of Alberta); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Cooremans, Thierry (Dow Silicones); De Buyl, Francois (Dow Silicones); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Adhesive bonding is a key joining technology in many industrial applications, including automotive, aerospace industries, biomedical devices, and microelectronic components. Adhesive bonding is gaining more and more attention due to the increasing demand for joining similar or dissimilar components, mostly within the framework of designing lightweight structures. Silicone sealant is widely used in engineering application due to its thermal stability, excellent energy absorption, and good damping characteristics. In those applications, sealant usually exposed to various environment stress, such as, high temperature, mechanical stress, humidity, light radiation, and chemical attack. Long-term stability and durability of sealant is crucial to the performance of the associated application. The main degrading factors for silicone in microelectronic applications are temperature, humidity, alkali, and mechanical loading. The focus in the present paper is to understand different failure mechanisms in silicone sealants and adhesives and to study how different environmental, mechanical, and service-related stresses attribute to the kinetics and extent of degradation in silicone sealants and adhesives. The impact of different failure mechanisms on the lifetime and reliability of microelectronic devices will be methodically investigated.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:6bc5af25-aaf5-41bc-9708-db555b3933bb","http://resolver.tudelft.nl/uuid:6bc5af25-aaf5-41bc-9708-db555b3933bb","Opto-electronic feedback control of membrane potential for real-time control of action potentials","Ördög, Balázs (Leiden University Medical Center); De Coster, Tim (Leiden University Medical Center); Dekker, Sven O. (Leiden University Medical Center); Bart, Cindy I. (Leiden University Medical Center); Zhang, Juan (Leiden University Medical Center); Boink, Gerard J.J. (Universiteit van Amsterdam); Bax, Wilhelmina H. (Leiden University Medical Center); Deng, Shanliang (TU Delft Electronic Components, Technology and Materials; Leiden University Medical Center); den Ouden, B.L. (TU Delft Electronic Components, Technology and Materials); de Vries, Antoine A.F. (Leiden University Medical Center); Pijnappels, Daniël A. (Leiden University Medical Center)","","2023","To unlock new research possibilities by acquiring control of action potential (AP) morphologies in excitable cells, we developed an opto-electronic feedback loop-based system integrating cellular electrophysiology, real-time computing, and optogenetic approaches and applied it to monolayers of heart muscle cells. This allowed accurate restoration and preservation of cardiac AP morphologies in the presence of electrical perturbations of different origin in an unsupervised, self-regulatory manner, without any prior knowledge of the disturbance. Moreover, arbitrary AP waveforms could be enforced onto these cells. Collectively, these results set the stage for the refinement and application of opto-electronic control systems to enable in-depth investigation into the regulatory role of membrane potential in health and disease.","action potential; membrane potential; cellular electrophysiology; feedback loop; real-time computing; optogenetics; Closed-loop control; system integration; heart muscle cells","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:d1e5f7b8-c634-4af1-a778-0ae6052bcca5","http://resolver.tudelft.nl/uuid:d1e5f7b8-c634-4af1-a778-0ae6052bcca5","Integrated multimodal freight service network design and pricing with a competing service integrator and heterogeneous shipper classes","Wang, Z. (TU Delft Transport and Logistics; Central South University China); Zhang, Dezhi (Central South University China); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Fazi, S. (TU Delft Transport and Logistics)","","2023","In recent years, the highly fragmented multimodal transport offer in several countries has required the integration of these services by logistics service integrators (LSIs). The challenge for LSIs is to set up multimodal transport corridors that can respond efficiently to the heterogeneous demand of shippers and that are cost- and price-competitive against other transport solutions. We develop a bi-level programming model to assess the corridor's pricing and the service network design simultaneously. In the upper level, the model maximizes the profit of the LSI by designing the service network and implementing shipment-based pricing for paths adapted to the heterogeneous demand for transport services. In the lower level, the total cost of shippers in the network who choose services according to their preferences is minimized. We solve the model using reformulation and linearization techniques. Computational experiments based on the real-world case of the New Western Land-Sea Corridor in China are conducted to demonstrate the proposed model and to draw managerial insights. The results show that the shipment-based pricing strategy is beneficial for the LSI to obtain profit increases when considering the shippers’ heterogeneous preferences on time and reliability. The results also revealed that the service design and pricing decisions of the LSI are not only related to operational costs but also depend on the competitors’ offers in the market. Moreover, the impact of the level of frequency discretization, waiting time cost, the penalty cost for not fully utilized services, and the generalized cost of the no-purchase option on the decisions of the LSI are also investigated in the sensitivity analysis.","Heterogeneous preferences; Leader-follower game; Multimodal transport; Service network design; Service pricing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-29","","","Transport and Logistics","","",""
"uuid:6732d452-5d85-4f06-a816-37d4e43f749b","http://resolver.tudelft.nl/uuid:6732d452-5d85-4f06-a816-37d4e43f749b","The effect of blast furnace slag chemistry on carbonation characteristics of cement-slag systems","Zhang, Y. (TU Delft Materials and Environment)","Copuroglu, Oguzhan (promotor); Schlangen, E. (promotor); Delft University of Technology (degree granting institution)","2022","In order to compensate the limited availability of raw material resources and meet the growing demand for decreasing CO2 emissions during cement and concrete productions, a practical method is to decrease the clinker content in cement. This strategy mainly consists of substituting a part of the clinker with supplementary cementitious materials (SCMs) at the cement and concrete production levels. As a mature addition in cement industry, blast furnace slag is a high-performance alternative that has been widely used in Europe and North America, as a SCM. Cement blended with slag is known to exhibit a high resistance to many chemical deteriorations such as alkali silica reaction, sulfate attack, and chloride ingress. An exception is carbonation, which renders a poor microstructure at the skin area of slag-rich concrete. The main aim of the thesis was to investigate the connection among slag chemistry, reactivity, and carbonation resistance of slag-rich cement paste. For this reason, the variation of slag composition was firstly identified through (1) literature review (Chapter 2) and (2) examining unhydrated slag grains existing in old slag concrete structures with different service life. Therefore, Chapter 3 studied the feasibility of using EDS microanalysis as a tool for quantitative measurement of the compositions of unhydrated slags in existing field concretes. The results revealed the variation trend of slag composition with time in the Netherlands. Then, synthetic slags covering the mentioned composition variation were produced in the laboratory, to eliminate the potential interferences and focus on slag chemistry only. The effect of slag composition on reactivity and carbonation resistance of slag-rich cement paste were investigated systematically. In Chapter 4, synthetic slags based on CaO-SiO2-Al2O3-MgOsystem and commercial slags were considered to estimate the correlation between slag chemistry and reactivity. It was found that higher MgO and/or Al2O3 contents of slag led to a higher reactivity. Chapter 5 observed the carbonation products in the slag-rich cementitious systems (mainly CEM III/B) upon three different exposure conditions, namely, long term exposure in the field, indoor natural exposure, and accelerated carbonation testing. Emphasis was laid on the carbonation of monosulfate and hydrotal cite-like phase in particular. The author believed that there was enough evidence indicating these two phases being the key components towards formulating blast furnace slag systems resistant to carbonation. Chapter 6 revealed the correlation between slag chemistry and CO2 binding capacity of the blended system. To simplify the composition of mixture, model paste containing only C3S, synthetic slags and gypsum was employed. In Chapter 7 and 8, the effect of MgO and Al2O3 contents of slag on the carbonation characteristics of cement-slag system was explored, respectively. Accelerated carbonation testing was performed on slag cement paste. The evolution of phase assemblage, microstructure, and micro-mechanical properties of each mixture before and after carbonation testing was evaluated. Finally, the connection among slag chemistry, reactivity, and carbonation resistance was discussed comprehensively. It was noted that the classification employed for slag reactivity cannot be extended to characterize carbonation resistance of cement-slag system directly. The main challenge occurred for slag with high alumina content. Al2O3-rich slag was reactive as a blended cement component but it did not contribute to carbonation resistance. Considering the effect of slag chemistry on reactivity and carbonation resistance together, slag, with a CaO/SiO2 ratio ≈ 1 and presenting high MgO (> 10 wt.%) and moderate Al2O3 (10-15 wt.%) contents, was recommended to design slag rich concrete structure with improved hydration performance and carbonation resistance.","Slag-rich cement paste; Slag chemistry; Slag reactivity; Carbonation; Al2O3 and MgO; Calcium monosulfoaluminate; Hydrotalcite-like phase","en","doctoral thesis","","978-94-6421-974-6","","","","","","","","","Materials and Environment","","",""
"uuid:1da4c818-dc1a-44d7-a89d-a4047184d854","http://resolver.tudelft.nl/uuid:1da4c818-dc1a-44d7-a89d-a4047184d854","Mechanisms and mitigation of short pitch rail corrugation","Zhang, P. (TU Delft Railway Engineering)","Li, Z. (promotor); Nunez, Alfredo (copromotor); Delft University of Technology (degree granting institution)","2022","Short pitch corrugation is a (quasi-) periodic rail surface defect with shiny crests and dark valleys. It primarily occurs on tangent tracks or gentle curves with a typical wavelength in the range of 20-80 mm. Short pitch corrugation excites high-frequency wheel-rail dynamic contact forces and generates a high level of noise, which is a nuisance to both the passengers and the residents near the railway lines. The resulting large dynamic forces accelerate the degradation of the track components and may induce other rail defects (such as, squats), which increase the maintenance cost. The goal of this dissertation is to better understand the formation mechanism of short pitch corrugation and develop the root-cause solutions to mitigate it. Three steps are taken to achieve this goal: 1) identification and control of rail vibration modes which are crucial to short pitch corrugation formation; 2) design of a new rail constraint to mitigate short pitch corrugation; 3) experimental study of short pitch corrugation using an innovative V-Track test rig.
Step 1 focuses on the identification and control of rail vibration modes. First, the vibration modes and dispersive waves of a free rail are simulated employing a finite element (FE) approach. The modal behaviors, wavenumber-frequency dispersion relations, and phase and group velocities of six types of propagative waves are derived and discussed in detail in 0-5 kHz. The operating deflection shape (ODS) approach distinguishes different types of rail vibration modes experimentally. A synchronized multiple-acceleration wavelet (SMAW) approach is proposed to experimentally study the propagation and dispersion characteristics of these waves. Both the laboratory and in-situ experimental results demonstrate the effectiveness of the ODS measurement for coupled rail mode identification and the SMAW approach for wave dispersion analysis. Afterward, the ODS and SMAW approaches are further applied to investigate rail vibration modes and wave propagation under fastening constraint. A three-dimensional (3D) FE rail-fastening model is also developed and validated against the ODS and SMAW measurement results. Subsequently, a sensitivity analysis of fastening parameters using this FE model is performed to gain insights into the control of rail vibrations. The results indicate that under fastening constraint, ODS measurement identifies vertical bending modes, longitudinal compression modes and lateral bending modes with shifted frequencies and significantly reduced vibration amplitude compared to free rail. Fastenings constrain the rail longitudinal vibrations less strongly compared to the vertical and lateral directions. The variation of fastening parameters can control rail mode frequencies and their vibration amplitudes, and influence the wave propagation velocities and attenuation along the rail.
Step 2 proposes a methodology to design a new rail constraint to mitigate short pitch corrugation. First, a parametric investigation of fastenings is conducted to understand the corrugation development mechanism and gain insight for a new rail constraint design for corrugation mitigation. A 3D FE vehicle-track dynamic interaction model is employed, which considers the coupling between the structural dynamics and the contact mechanics, and the damage mechanism is assumed to be differential wear. Various fastening models with different configurations, boundary conditions, and dynamic parameters are built up and analyzed. The results indicate that the fastening longitudinal constraint to the rail is the major factor determining the corrugation development. The fastening vertical and lateral constraints influence corrugation features in terms of spatial distribution and wavelength components. The increase of fastening constraint in the longitudinal dimension helps to mitigate corrugation, and the inner fastening constraint in the lateral dimension is necessary for corrugation alleviation. Based on these insights, a methodology is proposed to mitigate short pitch corrugation by rail constraint design. First, short pitch corrugation is numerically reproduced employing a 3D FE vehicle-track interaction model. Then, the corrugation initiation mechanism is identified by examining the ODSs of rail longitudinal compression modes. Afterward, different rail constraints are designed, and their effects on longitudinal compression modes are analyzed. Models of these rail constraints are also built and validated. Finally, the rail constraint models are applied to the 3D FE vehicle-track interaction model, and their validity on short pitch corrugation mitigation is evaluated. It is found that a relative rigid constraint can completely suppress rail longitudinal compression modes and significantly reduce the fluctuation amplitude of the longitudinal contact force to mitigate corrugation. A direction is pointed out for corrugation mitigation in the field by strengthening the rail longitudinal constraint.
Step 3 performs an experimental investigation of short pitch corrugation using the downscale V-Track test rig. First, a force measurement system named dynamometer is developed in the V-Track to measure the wheel-rail contact forces for short pitch corrugation experiments. The dynamometer consists of four 3-component piezo-electric force sensors and is mounted between the wheel assembly and the steel frame, enabling it to measure the forces transmitted from the wheel-rail interface to the frame. Static tests are first carried out to calibrate the dynamometer in three directions. Then, several tests are performed in the V-Track to examine the reliability and validity of the dynamometer for measuring the wheel-rail contact forces under running conditions. Experimental results show that the dynamometer is capable of reliably and accurately measuring these forces. Utilizing the measurement results from the dynamometer, the control of the wheel-rail contact forces in V-Track has also been achieved. Afterward, the V-Track test rig is used to investigate the development mechanism of short pitch corrugation experimentally. The loading conditions of the V-Track are designed to simulate the vehicle-track interaction on tangent tracks where short pitch corrugation mainly occurs in the field. Short pitch corrugation is successfully reproduced in the V-Track, and its spatial distribution, wavelength components, and hardness variation are captured by the 3D HandyScan and the hardness tests. Based on the measurement results of wheel-rail contact forces and track dynamic behaviors and observations, the development mechanism of short pitch corrugation is identified. It is found that rail longitudinal and lateral vibration modes contribute to the consistent development of short pitch corrugation.
Overall, the major contribution of this dissertation is threefold: 1) a better understanding of vibration modes and wave propagation of the rail in free condition and under fastening constraint is obtained by ODS and SMAW measurement, which is essential to understand and mitigate short pitch corrugation; 2) a new rail constraint is designed which can effectively suppress rail longitudinal compression modes and mitigate short pitch corrugation; 3) experimental evidence is provided to demonstrate that initial excitation and longitudinal compression modes play a significant role in the consistent growth of short pitch corrugation.
AAMs are inherently brittle. The low ductility of AAMs makes them prone to cracking and corresponding performance degradation, which is detrimental to their durability. Based on the concept of strain-hardening cementitious composite (SHCC), one solution relates to a family of fiber-reinforced composites that have high tensile ductility and multiple-cracking characteristics, i.e., strain-hardening geopolymer composite (SHGC). While much effort has been taken to develop conventional SHCC, scientific and technical knowledge of SHGC is still in the very early stage of development. This PhD project deals with the development of a cement-free strain-hardening geopolymer composite (SHGC) as a high-performance construction material using industrial wastes and by-products through alkaline activation technology:
The fracture properties and other mechanical properties of the alkali-activated slag/fly ash (AASF) paste as the matrix for SHGC were experimentally tested. The microstructure and chemistry of the reaction products were investigated to understand the fracture mechanism. It was found that the fracture properties of pastes are strongly related to the chemical composition (Ca/Si ratio) of the main reaction product, i.e., C-(N-)A-S-H gel. The fracture properties were also found to be dominated by a cohesion/adhesion-based mechanism. Furthermore, the compressive strength of AASF paste is primarily determined by its capillary porosity.
The fiber/matrix properties, including chemical bonding energy, initial frictional bond, and slip-hardening behavior of fiber during the pullout process were also experimentally studied. The chemistry and microstructure of the reaction product in the fiber/matrix interfacial transition zone (ITZ) were characterized. Their influence on the interface bonding properties was also investigated. It is found that the chemical bonding between PVA fiber and AASF matrix increases with increasing Ca/Si and Ca/(Si+Al) ratio of C-(N-)A-S-H gel. Hence, changing the slag content and the alkali activator Ms appears to be an effective way to modify chemical bonding. Unlike the formation of portlandite near the PVA fiber surface in conventional SHCC, a high-Ca C-(N-)A-S-H phase was formed in the fiber-matrix ITZ of SHGC. This explains the higher chemical bonding energy found in SHGC compared to that in conventional SHCCs. Furthermore, the adhesion mechanism of the PVA molecule in reaction products was studied using MD simulation. The study suggests that the adhesion between PVA fiber and C-(N-)A-S-H gel is primarily due to electrostatic interactions rather than van der Waals interactions.
Based on the result of fracture properties of the matrix and fiber/matrix interface properties, the SHGC is then systematically developed following a micromechanics-based design approach. The experimentally-attained matrix and interface properties served as input for the numerical micromechanics model to simulate the crack bridging behavior. Through the micromechanical modeling, the optimal fiber length and volume were selected and the behavior of mixtures with different fiber/matrix combinations was predicted. With this approach, researchers and materials engineers can design and tailor future SHGC more efficiently than by using the commonly used trial-and-error method.
Finally, the environmental impact of the SHGC with the most promising performance was also evaluated. This evaluation was conducted using a cradle-to-gate life-cycle assessment (LCA) of SHGC compared to that of conventional SHCC materials. The developed SHGC demonstrates a very promising environmental profile. It has a significant reduction of the global warming potential (GWP) and a lower or similar total environmental impact compared to conventional SHCC materials. In addition, the results also provide recommendations for further improvements in mixture design for the future development of SHGC.
This study successfully developed a sustainable slag/fly ash-based SHGC with a lower carbon footprint than conventional SHCC. It is considered a good example to utilize industrial by-products as secondary resources and at the same time contribute to a circular economy. Furthermore, this study helps to understand the fracture properties of AAMs. It also clarifies the adhesion mechanism of PVA fiber in AAMs. All of these give promising guidance for researchers and engineers to design fiber-reinforced AAMs with required fracture properties and interface bonding properties. In particular, it contributes to the design and tailoring strategies for high-performance composite, for instance, SHGC, through proper mixture design.
Multiple scattering is a significant wave phenomenon when seismic waves propagate through the earth. Its corresponding energy, i.e., seismic multiples, are usually unwanted due to the interference with primary reflections. The traditional seismic surface-related multiple estimation and removal method is limited by both the unrecorded data reconstruction (e.g., the missing near offsets and the data gap between the crosslines) and the subsequent multiple adaptive subtraction performance. These issues become even more severe for the shallow-water environment, which is typically defined as being around 50-200 m within the exploration seismic frequency range (i.e., 2-120 Hz) in this thesis. Shallow water creates highly curved seismic reflection events with strong lateral amplitude variations, and complex overlap between primaries and surface-related multiples. Conventional data reconstruction methods fail to tackle the missing data in shallow water, and are even more problematic in 3D. In addition, the dilemma between primary damage and surface multiple leakage during the adaptive subtraction is very much present for shallow-water data.
An integrated closed-loop surface-related multiple estimation (CL-SRME) and full-wavefield migration (FWM) framework for better primary and surface-related multiple estimation, which is able to support CL-SRME with good-quality near offsets in order to avoid primary estimation failure that typically occurs in shallow-water environments, is proposed to attack the unrecorded data reconstruction issue. We suggest to use multiples to provide information on the missing near-offset data by using FWM, where primaries and surface multiples together create an image of the shallow subsurface. Taking advantage of FWM - with its closed-loop simultaneous primaries and multiples imaging approach - as the data reconstruction method and feeding the reconstructed near offsets to CL-SRME are the most important components to tackle the shallow-water issues in a physically consistent manner. This new integrated framework will have its main impact on a full 3D implementation with coarse sampling. Therefore, a similar cascaded framework for 3D surface-related multiple estimation in shallow-water scenarios, which consists of a data reconstruction step via 3D FWM and a surface multiple estimation step via a 3D SRME-type method, is also introduced in the thesis. Improvements on estimating surface multiples and primaries, due to good data reconstruction via FWM, have been proved on both 2D and 3D synthetic data. Despite of lacking an accurate subsurface velocity model for 2D field data, the FWM reconstructed near-offset water-bottom reflection still improves the quality of the estimated surface multiples and primaries.
In order to mitigate the surface-related multiple adaptive subtraction dilemma, we have also introduced a two-step framework for surface multiple leakage extraction in this thesis, and thus extended our seismic multiple processing toolbox. The aforementioned two-step framework based on local primary-and-multiple orthogonalization (LPMO) is both versatile and efficient for leaked multiple extraction, therefore, primaries can be better preserved without leaving much multiple energy. The initial estimation step usually prefers SRME with a conservative adaptive subtraction or any conservative multiple estimation method, and LPMO is followed to compensate the initial estimated primaries and multiples. Promising multiple leakage extraction has been achieved on both synthetic and field data sets. Although effective compared to standard subtraction, LPMO is slow and computationally intensive. Therefore, a fast LPMO (FLPMO) using a scaled point-by-point division, rather than the time-consuming shaping regularization-based iterative inversion, is further introduced to accelerate the whole process. Results on two different field data sets display a very similar multiple leakage extraction performance compared to LPMO, while indicating that the scaled point-by-point division in FLPMO is approximately 40 times faster than the shaping regularization-based inversion in LPMO. Moreover, the complete FLPMO framework is approximately four times faster than the LPMO framework, and thereby is now equivalent to the industry-standard L2 adaptive subtraction.
With the advance of deep learning (DL) technology, the aforementioned two issues in shallow water can also be investigated via a U-Net based DL neural network (NN) framework. More specifically, a DL-based de-aliasing NN is introduced for the initial surface multiple estimation, where the strong data fitting power of DL can directly project the aliased multiples, due to coarse sampling, to its corresponding unaliased target multiples. Meanwhile, a DL-based adaptive subtraction NN is proposed with both total full wavefield and the predicted multiples as two input channels to overcome the adaptive subtraction dilemma. In this way, the robust physics, i.e., the estimated multiples, is used and the synthetic primary labels can be helpful to the framework. Note that the data distribution between training and test data plays a significant role on these U-Net based applications. Training on field data and test on nearby field data shows the best performance due to a similar data distribution.
Shallow water is very challenging for surface-related multiple estimation. Physics-based deterministic approaches, e.g., FWM-based data reconstruction and LPMO, can help geophysicists better understand and partially solve the essentials of the problem. For poorly described deterministic problems, e.g., adaptive subtraction and multiple de-aliasing, DL can find the underlying relationships that are not easily achievable by the deterministic methods. Combination of deterministic methods and DL will result in an optimal performance. This is where further research should concentrate on.
We start our technical contribution in Chapter 3 by building up the baseline methods which are trained using fine-grained emotion labels. This can help us understand how accurate the recognition can be if we take advantage of the fine-grained emotion labels. We propose a correlation-based emotion recognition algorithm (CorrNet) to recognize the valence and arousal (V-A) of each instance (fine-grained segment of signals) using physiological signals. CorrNet extracts features both inside each fine-grained signal segment (instance) and between different instances for the same video stimuli (correlation-based features). We found out that, compared to sequential learning, correlation-based instance learning offers advantages of higher recognition accuracy, less overfitting and less computational complexity.
Compared to collecting fine-grained emotion labels, it is easier to collect only one emotion label after the user watched that stimulus (i.e., the post-stimuli emotion labels). Therefore, in the second technical chapter (Chapter 4) of the thesis, we investigate whether the emotions can be recognized at a fine granularity level by training with only post-stimuli emotion labels (i.e., labels users annotated after watching videos), and propose an Emotion recognition algorithm based on Deep Multiple Instance Learning (EDMIL). EDMIL recognizes fine- grained valence and arousal (V-A) labels by identifying which instances represent the post-stimuli V-A annotated by users after watching the videos. Instead of fully-supervised training, the instances are weakly-supervised by the post-stimuli labels in the training stage. Our experiments show that weakly supervised learning can reduce overfitting caused by the temporal mismatch between fine-grained annotations and input signals.
Although the weakly-supervised learning algorithm developed in Chapter 4 can obtain accurate recognition results with only few annotations, it can only identify the annotated (post-stimuli) emotion from the baseline emotion (e.g., neutral) because only post-stimuli labels are used for training. The non-annotated emotions are all categorized as part of the baseline. To overcome this, in Chapter 5, we propose an Emotion recognition algorithm based on Deep Siamese Networks (EmoDSN). EmoDSN recognizes fine-grained valence and arousal (V-A) labels by maximizing the distance metric between signal segments with different V-A labels. According to the experiments we run in this chapter, EmoDSN achieves promising results by using only 5 shots (5 samples in each emotion category) of training data.
Reflecting on the achievements reported in this thesis, we conclude that the fully-supervised algorithm (Chapter 3) can result in more accurate fine-grained emotion recognition results if the annotation quantity is sufficient. The weakly-supervised learning method (Chapter 4) can result in better recognition results at the instance level compared to fully-supervised methods. We also found that the weakly-supervised learning methods can perform the best if users annotate their most salient, but short emotions or their overall and longer-duration (i.e., persisting) emotions. The few-shot learning method (Chapter 5) can obtain more emotion categories (more than the weakly-supervised learning) by using less amount of samples for training (better than the fully-supervised learning). However, the limitation of it is that accurate recognition results can only be achieved by a subject-dependent model.","Emotion Recognition; Physiological Signals; Machine Learning; Video Watching","en","doctoral thesis","","978-94-6384-376-8","","","","","","","","","Multimedia Computing","","",""
"uuid:9220a0c2-f4c1-46e6-a0a9-0069e4662730","http://resolver.tudelft.nl/uuid:9220a0c2-f4c1-46e6-a0a9-0069e4662730","Acoustic emission-based indicators of shear failure of reinforced concrete structures without shear reinforcement","Zhang, F. (TU Delft Concrete Structures)","Hendriks, M.A.N. (promotor); Yang, Y. (copromotor); Delft University of Technology (degree granting institution)","2022","Existing concrete bridges require efficient assessment due to increasing traffic load and degrading material. For reinforced concrete structures without shear reinforcement, a typical failure mode is shear, which often means a total loss of structural capacity and does not have an obvious warning beforehand. To indicate the shear failure before it occurs, we need to monitor the structural behaviour like the location and magnitude of the cracks. Acoustic emission (AE) is a favourable technique that can detect the internal cracking sensitively. This dissertation develops an AE system to indicate the shear failure before it occurs. AE methods are improved. A deeper understanding of AE from concrete cracking is provided. Based on the new insights of AE, a ‘traffic light system’ is developed where the green-, yellow- and red-light criteria show three damage levels of the structure. The new system has been validated using experiments on different types of structures. In the end, a general guidance is provided on implementing the AE-based indicators in load testing of a concrete structure.","Acoustic emission technique; concrete structures; shear failure indicator","en","doctoral thesis","","978-94-6421-844-2","","","","","","","","","Concrete Structures","","",""
"uuid:88a142a9-3ac4-4d97-ab1c-1cf811b07abb","http://resolver.tudelft.nl/uuid:88a142a9-3ac4-4d97-ab1c-1cf811b07abb","Tuning Giant Magnetocaloric Materials: A Study of (Mn,Fe)2(P,Si) and NiCoMnTi Heusler Compounds","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy)","Brück, E.H. (promotor); van Dijk, N.H. (promotor); Delft University of Technology (degree granting institution)","2022","Solid‐state caloric effects as intrinsic responses from different physical external stimuli (magnetic‐, uniaxial stress‐, pressure‐ and electronic‐ fields) have been evaluated near magnetic phase transformations. In the last decades the magnetically driven caloric changes in various magnetocaloric materials (MCMs) have been exploited extensively for magnetic refrigeration and magnetic heat pumping scenarios near room temperature. This thesis systematically investigates the magnetocaloric effect (MCE) for the representative magnetoelastic (Mn,Fe)2(P,Si) system. Special emphasis has been directed towards the giant MCE in nanoscale particles and the influence of doping with elements that show a strong electronegativity on the magnetic properties of this metal‐metalloid system. Meanwhile, two optimization strategies (decoupling and light element B doping) are successfully introduced to regulate the thermal hysteresis ΔThys, the ferromagnetic phase transition TC and improve the reversibility of the MCE for magnetostructural transition in the all‐d‐metal NiCoMnTi Heusler alloys.","Magnetocaloric effect; phase transition; magnetic refrigeration; (Mn,Fe)2(P,Si); Heusler compounds","en","doctoral thesis","","978‐94‐6458‐555‐1","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:35a16fd8-6cde-49c6-9455-042aa4ac4d0a","http://resolver.tudelft.nl/uuid:35a16fd8-6cde-49c6-9455-042aa4ac4d0a","Micromechanical Modelling of Porous Asphalt Mixtures","Zhang, H. (TU Delft Pavement Engineering)","Scarpas, Athanasios (promotor); Erkens, S. (promotor); Anupam, K. (copromotor); Delft University of Technology (degree granting institution)","2022","With the attempt to reduce traffic noise, porous asphalt (PA) mixture is widely used as a wearing course on the highways in the Netherlands. However, due to the open structure, PA mix pavement easily suffers from the loss of individual aggregates from its surface, which is named as ravelling. After the initial ravelling, the damage can rapidly develop into potholes which can significantly reduce the driving safety of the pavement....","micromechanical modelling; porous asphalt mixtures","en","doctoral thesis","","978-94-6384-336-2","","","","","","2022-12-07","","","Pavement Engineering","","",""
"uuid:aa6b67ad-6801-43eb-9772-2e96a75211f1","http://resolver.tudelft.nl/uuid:aa6b67ad-6801-43eb-9772-2e96a75211f1","Calculation of dam risk probability of cascade reservoirs considering risk transmission and superposition","Wang, Te (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University); Zhang, Yadong (Zhengzhou University); Jiao, Yutie (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Zhang, Hua (Zhengzhou University)","","2022","Because of the risk transmission and superposition among dams in cascade reservoirs, the analysis and probability calculation of dam risk become more complex compared with a single reservoir. By analyzing the main risk sources and actionmechanisms, the disaster-causing factors, disaster-transmitting body and disaster-bearing body in the cascade reservoirs system were determined. By defining the influence coefficient (IC) to express the transmission and superposition degree of dam risk among cascade reservoirs, dam risk was divided into two parts: own risk (OR) and additional risk (AR). On this basis, the relevant concepts and equations for the calculation of the dam risk probability of cascade reservoirs were proposed. The numerical simulation was carried out to quantify the IC, and a Bayesian network analysis model was constructed to calculate the OR. Finally, taking five cascade reservoir dams in the Dadu River basin as examples, the value of their ORs, ARs and risk probabilities were calculated, and thereafter the weakest cascade, controlling cascade and general cascade in the system were divided. The proposed methodology realizes an effective connection with the dam risk calculation method of a single reservoir, which can provide a reference for the risk assessment and management of cascade reservoirs in the basin.","Cascade reservoirs; Dam break; Probability; Risk superposition; Risk transmission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:e26d63d4-7cf7-4951-bf55-fcc9c86b0f5d","http://resolver.tudelft.nl/uuid:e26d63d4-7cf7-4951-bf55-fcc9c86b0f5d","Enriched finite element methods for fracture-based analysis and design","Zhang, J. (TU Delft Computational Design and Mechanics)","van Keulen, A. (promotor); Aragon, A.M. (copromotor); Delft University of Technology (degree granting institution)","2022","Cracks, which could nucleate and propagate in engineering structures, could have an adverse effect on mechanical performance and even lead to catastrophic failure. Thus, it is critical to investigate structural behavior under fracture, which requires an appropriate modeling methodology for fracture analysis. Furthermore, designing structures that are resilient to fracture is highly desired, where it is necessary to find a computational design technique for improving the structural fracture resistance. This thesis focuses on realizing these two goals....","Linear elastic fracture mechanics; Enriched finite element methods; Geometric engine; Stress recovery; Topology optimization; Level sets; Topological derivatives","en","doctoral thesis","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:a87e7be3-fb08-4706-9676-a6ae43f5aa0d","http://resolver.tudelft.nl/uuid:a87e7be3-fb08-4706-9676-a6ae43f5aa0d","Mimetic Spectral Element Method and Extensions toward Higher Computational Efficiency","Zhang, Y. (TU Delft Aerodynamics)","Gerritsma, M.I. (promotor); Hickel, S. (promotor); Hulshoff, S.J. (copromotor); Delft University of Technology (degree granting institution)","2022","Structure-conserving numerical methods that aim at preserving certain structures of the PDEs at the discrete level have been an interesting research topic for many decades. The mimetic spectral element method, a recently developed arbitrary order structure-preserving method on orthogonal or curvilinear meshes, has also been drawing increasingly amount of attention. This dissertation is devoted to promoting the application and development of the mimetic spectral element method.
In this dissertation, we first give a comprehensive introduction on the mimetic spectral element method with applications to the Poisson problem, which is followed by a new development of the mimetic spectral element method for the Navier-Stokes equations. This new development is on a conservative dual-field discretization that conserves mass, kinetic energy and helicity for the 3D incompressible Navier-Stokes equations in the absence of dissipative terms. And when there are dissipative terms, the method correctly predicts the decay rates of the kinetic energy and helicity. It is a dual-field method in the sense that two evolution equations are employed and weak solutions are sought for each physical variable in two different finite dimensional function spaces. This novel method and the promising results reveal its potential in multiple research fields like turbulence modeling, sub-grid methods and large eddy simulation.
Despite the mimetic spectral element method possesses preferable properties due to its feature of structure-preserving, its demand of high computational power is a major limitation. To address this drawback, two techniques, hybridization and dual basis functions, are employed for the mimetic spectral element method, which leads to an extension that decreases the computational cost not only by reducing the size and lowering the condition number of the global linear system, but also by improving the feasibility for parallel computing.
A special component, the Complement, is embedded in this thesis. It aims to provide a more friendly introduction for the readers, especially those who are new to this specific area of numerical methods. In these web-based additions, there are instructors and well-documented scripts which allow readers to learn in an interactive way, thus to get some hands-on experience and eventually to obtain a deeper understanding of the method. This component can help the readers to more quickly and efficiently implement their own new ideas, which will in return contribute to the development of this method.
Overall, we conclude that this dissertation fulfilled the goal to promote the application and development of the mimetic spectral element method.","structure-preserving discretization; mimetic spectral element method; de Rham comlex; hybridization; dual basis functions","en","doctoral thesis","","978-94-6419-420-3","","","","","","2022-01-20","","","Aerodynamics","","",""
"uuid:6f942371-855f-4fba-bcd6-a264edbeb5dd","http://resolver.tudelft.nl/uuid:6f942371-855f-4fba-bcd6-a264edbeb5dd","Design, modeling and characterization of multi-stable metastructures for shape reconfiguration and energy absorption","Zhang, Y. (TU Delft Computational Design and Mechanics)","van Keulen, A. (promotor); Tichem, M. (promotor); Delft University of Technology (degree granting institution)","2022","Multi-stable beam-type metastructures exhibiting snap-through behavior have been extensively studied in recent years , as their stable states can be maintained without the need of external power supply. By arranging a series of beams exhibiting bi-stability, multi-stable metastructures can be constructed. However, current designs of multi-stable metastructures are limited in terms of structural kinematics and the associated functionalities are not fully explored. This thesis aims to present design strategies that can facilitate new kinematic behavior and functionalities for multi-stable metastructures (i.e., energy absorption and shape reconfiguration). Specifically, we investigate the additional rotational degrees of freedom by incorporating rotational compliance in both 2D and 3D designs. In doing so, multi-stable metastructures are capable of realizing both translational and rotational motion, facilitating their applicability in soft robotics and deployable structures. Moreover, the energy dissipation of multi-stable metastructures are studied, where we have proposed design strategies that can enhance the energy absorption without using more materials. In addition, it is demonstrated that multi-stable metastructures can also be designed to realize shape reconfiguration of a morphing surface, where the stability requirement and accessible configurations have been presented. Such multi-stable metastructures exhibiting translational and rotational degrees of freedoms hold great potential for developing reconfigurable structures and energy absorbers.","multi-stable metastructures; snap-through; translational and rotational states; energy absorption; shape reconfiguration","en","doctoral thesis","","978-94-6384-286-0","","","","","","2022-01-17","","","Computational Design and Mechanics","","",""
"uuid:a0b91646-09f2-4e54-a47b-378cb71b2292","http://resolver.tudelft.nl/uuid:a0b91646-09f2-4e54-a47b-378cb71b2292","Secure Logistic Regression for Vertical Federated Learning","He, Daojing (East China Normal University; Harbin Institute of Technology); Du, Runmeng (East China Normal University); Zhu, Shanshan (East China Normal University); Zhang, Min (Soochow University; Harbin Institute of Technology); Liang, K. (TU Delft Cyber Security); Chan, Sammy (City University of Hong Kong)","","2022","Data island effectively blocks the practical application of machine learning. To meet this challenge, a new framework known as federated learning was created. It allows model training on a large amount of scattered data owned by different data providers. This article presents a parallel solution for computing logistic regression based on distributed asynchronous task framework. Compared to the existing work, our proposed solution does not rely on any third-party coordinator, and hence has better security and can solve the multitraining problem. The logistic regression based on homomorphic encryption is implemented in Python, which is used for vertical federated learning and prediction of the resulting model. We evaluate the proposed solution using the MNIST dataset, and the experimental results show that good performance is achieved.","Collaborative work; Computational modeling; Data models; Federated learning; homomorphic encryption; logistic regression; Logistics; multiparty privacy computation; Protocols; Security; Training","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:7a57b97e-6822-4ed3-8e53-e55809d21740","http://resolver.tudelft.nl/uuid:7a57b97e-6822-4ed3-8e53-e55809d21740","Contour Moments Based Manipulation of Composite Rigid-Deformable Objects With Finite Time Model Estimation and Shape/Position Control","Qi, Jiaming (Harbin Institute of Technology); Ma, Guangfu (Harbin Institute of Technology); Zhu, J. (TU Delft Learning & Autonomous Control); Zhou, Peng (The Hong Kong Polytechnic University); Lyu, Yueyong (Harbin Institute of Technology); Zhang, Haibo (Beijing Institute of Control Engineering); Navarro-Alarcon, David (The Hong Kong Polytechnic University)","","2022","The robotic manipulation of composite rigid-deformable objects (i.e., those with mixed nonhomogeneous stiffness properties) is a challenging problem with clear practical applications that, despite the recent progress in the field, it has not been sufficiently studied in the literature. To deal with this issue, in this article, we propose a new visual servoing method that has the capability to manipulate this broad class of objects (which varies from soft to rigid) with the same adaptive strategy. To quantify the object's infinite-dimensional configuration, our new approach computes a compact feedback vector of 2-D contour moments features. A sliding mode control scheme is then designed to simultaneously ensure the finite-time convergence of both the feedback shape error and the model estimation error. The stability of the proposed framework (including the boundedness of all the signals) is rigorously proved with Lyapunov theory. Detailed simulations and experiments are presented to validate the effectiveness of the proposed approach. To the best of the author's knowledge, this is the first time that contour moments along with finite-time control have been used to solve this difficult manipulation problem.","Contour moments; deformable objects; Robot kinematics; robotics; Robots; Service robots; Shape; sliding mode control (SMC); Strain; Task analysis; Visual servoing; visual-servoing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:9c71a11e-4f1e-4ec8-869b-15a7d3bcc50b","http://resolver.tudelft.nl/uuid:9c71a11e-4f1e-4ec8-869b-15a7d3bcc50b","Distributed Adaptive Fixed-Time Fault-Tolerant Control for Multiple 6-DOF UAVs With Full-State Constraints Guarantee","Zhang, Boyang (Air Force Engineering University China); Sun, Xiuxia (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University China); Liu, Shuguang (Air Force Engineering University China); Li, Le (Northwestern Polytechnical University)","","2022","In contrast with most existing results concerning unmanned aerial vehicles (UAVs) wherein material points or only attitude/longitudinal dynamics are considered, this article proposes a distributed fixed-time fault-tolerant control methodology for networked fixed-wing UAVs whose dynamics are six-degree-of-freedom with twelf-state-variables subject to actuator faults and full-state constraints. More precisely, state transformations with the scaling function are devised to keep the involved velocity and attitude within their corresponding constraints. The fixed-time property is obtained in the sense of guaranteeing that the settling time is lower bounded by a positive constant, which is independent of initial states. The actuator faults as well as the network induced errors are handled via the bound estimation approach and well-defined smooth functions. By strict Lyapunov arguments, all closed-loop signals are proved to be semiglobally uniformly ultimately bounded, and the tracking errors of velocity and attitude converge to the residual sets around origin within a fixed time.","Actuators; Aerodynamics; Convergence; Fault tolerance; Fault tolerant systems; Fault-tolerant control (FTC); fixed-time convergence; full-state constraints; six-degree-of-freedom (DOF) fixed-wing unmanned aerial vehicles (UAVs); Uncertainty; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:051ca6d1-2892-476a-bbf7-7c81efee46b4","http://resolver.tudelft.nl/uuid:051ca6d1-2892-476a-bbf7-7c81efee46b4","Mitigate SIR epidemic spreading via contact blocking in temporal networks","Zhang, S. (TU Delft Multimedia Computing); Zhao, Xunyi (Student TU Delft); Wang, H. (TU Delft Multimedia Computing)","","2022","Progress has been made in how to suppress epidemic spreading on temporal networks via blocking all contacts of targeted nodes or node pairs. In this work, we develop contact blocking strategies that remove a fraction of contacts from a temporal (time evolving) human contact network to mitigate the spread of a Susceptible-Infected-Recovered epidemic. We define the probability that a contact c(i, j, t) is removed as a function of a given centrality metric of the corresponding link l(i, j) in the aggregated network and the time t of the contact. The aggregated network captures the number of contacts between each node pair. A set of 12 link centrality metrics have been proposed and each centrality metric leads to a unique contact removal strategy. These strategies together with a baseline strategy (random removal) are evaluated in empirical contact networks via the average prevalence, the peak prevalence and the time to reach the peak prevalence. We find that the epidemic spreading can be mitigated the best when contacts between node pairs that have fewer contacts and early contacts are more likely to be removed. A strategy tends to perform better when the average number contacts removed from each node pair varies less. The aggregated pruned network resulted from the best contact removal strategy tends to have a large largest eigenvalue, a large modularity and probably a small largest connected component size.","Contact blocking; Epidemic mitigation; Temporal network; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:816d5f2d-7669-4256-bd68-15101481e0cc","http://resolver.tudelft.nl/uuid:816d5f2d-7669-4256-bd68-15101481e0cc","Guidance to assess ventilation performance of a classroom based on CO2 monitoring","Zhang, D. (TU Delft Indoor Environment); Ding, Er (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Since the COVID-19 pandemic, the ventilation of school buildings has attracted considerable attention from the general public and researchers. However, guidance to assess the ventilation performance in classrooms, especially during a pandemic, is still lacking. Therefore, aiming to fill this gap, this study conducted a full-scale laboratory study to monitor the CO2 concentrations at 18 locations in a classroom setting under four different ventilation regimes. Additionally, a field study was carried out in two Dutch secondary schools to monitor the CO2 concentrations in the real classrooms with different ventilation regimes. Both the laboratory and field study findings showed that CO2 concentrations varied a lot between different locations in the same room, especially under natural ventilation conditions. The outcome demonstrates the need of monitoring the CO2 concentration at more than one location in a classroom. Moreover, the monitored CO2 concentration patterns for different ventilation regimes were used to determine the most representative location for CO2 monitoring in classrooms. For naturally ventilated classrooms, the location on the wall opposite to windows and the location on the front wall (nearby the teacher) were recommended. For mechanically ventilated classrooms, one measurement location seemed enough because CO2 was well-mixed under this ventilation regime.","CO concentration; classrooms; measurement locations; monitoring guidance; ventilation regimes","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:c4d9a673-756e-4fa6-bffd-358a62c5f92e","http://resolver.tudelft.nl/uuid:c4d9a673-756e-4fa6-bffd-358a62c5f92e","Wind turbine blade trailing edge crack detection based on airfoil aerodynamic noise: An experimental study","Zhang, Y. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy)","","2022","In recent years, with the development of the wind power industry and the increase in the number of wind turbines, the condition monitoring of blades and the detection of damage are increasingly important. In this work, a new non-contact damage-detection approach is experimentally investigated based on the measurement of airfoil aerodynamic noise. A NACA 0018 airfoil with chord of 200 mm with different trailing edge crack sizes, 0.2, 0.5, 1.0 and 2.0 mm, is investigated. Experiments are conducted at different mean flow velocities, inflow turbulence intensities and angles of attack. Far-field noise scattered from the airfoil is measured by means of a microphone array. The spectral differences of sound pressure level between the damaged cases and the baseline (without any damage) are compared. As expected, at small angles of attack, with clean or low turbulence intensities (e.g. ∼ 4% in the experiment) flow, by increasing the size of the crack, tonal noise appears at trailing-edge thickness-based Strouhal number,Sth , approximatively equal to 0.1. However, at higher angles of attack (e.g. ± 10° and ± 15°) or under conditions of high turbulence intensity (e.g. ∼ 7%), the amplitude of the tonal peak diminishes suggesting that complementary measurements or longer acquisition time to remove inflow turbulence effects are needed to monitor trailing edge cracks.","Wind turbine health condition monitoring; Blade damage detection; Trailing edge crack; Aerodynamic noise","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:ebf20e65-b503-4eb7-8116-ee59d6495bd6","http://resolver.tudelft.nl/uuid:ebf20e65-b503-4eb7-8116-ee59d6495bd6","Advances in Magnetics Roadmap on Spin-Wave Computing","Blanter, Y.M. (TU Delft QN/Quantum Nanoscience; TU Delft QN/Blanter Group); Carmiggelt, J.J. (TU Delft QN/Quantum Nanoscience; TU Delft QN/vanderSarlab); Cotofana, S.D. (TU Delft Quantum & Computer Engineering; TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Nikitin, A. A. (Saint Petersburg Electrotechnical University LETI); Reimann, T. (Innovent e.V.); Sharma, S. (Max Planck Institute for the Science of Light); van der Sar, T. (TU Delft QN/Quantum Nanoscience; TU Delft QN/vanderSarlab); Zhang, X. (Argonne National Laboratory)","","2022","Magnonics addresses the physical properties of spin waves and utilizes them for data processing. Scalability down to atomic dimensions, operation in the GHz-to-THz frequency range, utilization of nonlinear and nonreciprocal phenomena, and compatibility with CMOS are just a few of many advantages offered by magnons. Although magnonics is still primarily positioned in the academic domain, the scientific and technological challenges of the field are being extensively investigated, and many proof-of-concept prototypes have already been realized in laboratories. This roadmap is a product of the collective work of many authors, which covers versatile spin-wave computing approaches, conceptual building blocks, and underlying physical phenomena. In particular, the roadmap discusses the computation operations with the Boolean digital data, unconventional approaches, such as neuromorphic computing, and the progress toward magnon-based quantum computing. This article is organized as a collection of sub-sections grouped into seven large thematic sections. Each sub-section is prepared by one or a group of authors and concludes with a brief description of current challenges and the outlook of further development for each research direction.","computing; data processing; Logic gates; Magnetic domains; magnon; magnonics; Magnonics; Nanoscale devices; Physics; Quantum computing; Spin wave; Three-dimensional displays","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Blanter Group","","",""
"uuid:5552a9ca-2976-4b96-bb30-07dd77c3d049","http://resolver.tudelft.nl/uuid:5552a9ca-2976-4b96-bb30-07dd77c3d049","Analyzing efficacy and safety of anti-fungal blue light therapy via kernel-based modeling the reactive oxygen species induced by light","Wang, T. (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Dong, Jianfei (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Objective: The goal of this study is to investigate the efficacy, safety, and mechanism of ABL for inactivating Candida albicans (C. albicans), and to determine the best wavelength for treating candida infected disease, by experimental measurements and dynamic modeling. Methods: The changes in reactive oxygen species (ROS) in C. albicans and human host cells under the irradiation of 385, 405, and 415nm wavelengths light with irradiance of 50mW/cm2 were measured. Moreover, a kernel-based nonlinear dynamic model, i.e., nonlinear autoregressive with exogenous inputs (NARX), was developed and applied to predict the concentration of light-induced ROS, whose kernels were selected by a newly developed algorithm based on particle swarm optimization (PSO). Results: The ROS concentration was increased respectively about 10-12 times in C. albicans and about 3-6 times in human epithelial cells by the ABL treatment with the same fluence of 90J/cm2. The NARX models were respectively fitted to the data from the experiments on both types of cells. Besides, four different kernel functions, including Gaussian, Laplace, linear and polynomial kernels, were compared in their fitting accuracies. The errors with the Laplace kernel turned out to be only 0.2704 and 0.0593, as respectively fitted to the experimental data of the C. albicans and human host cells. Conclusion: The results demonstrated the effectiveness of the NARX modeling approach, and revealed that the 415nm light was more effective as an anti-fungal treatment with less damage to the host cells than the 405 or 385nm light. Significance: The kernel-based NARX model identification algorithm offers opportunities for determining the effective and safe light dosages in treating various fungal infection diseases.","Anti-fungal blue light therapy; Kernel selection; NARX modeling; Nonlinear dynamics; Reactive oxygen species","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:a3219085-f9cb-4f16-9ff0-82f3f5315018","http://resolver.tudelft.nl/uuid:a3219085-f9cb-4f16-9ff0-82f3f5315018","Visible Blind Quadrant Sun Position Sensor in a Silicon Carbide Technology","Romijn, J. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Leijtens, J.A.P. (Lens R&D BV); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2022","In this paper, we present a quadrant sun position sensor microsystem device in a silicon carbide technology that operates in a field-of-view of ±33° and reaches a mean error of 1.9° in this range. This will allow, for the first time, an inherently visible blind sun position sensor in a CMOS compatible technology. Opto-electronic integration of the photodetectors and CMOS readout circuitry on-chip is vital to compete with the performance of silicon state-of-the-art and for the concept to be adopted by industry, which is where previous implementations of visible blind sun sensors are lacking.","4H-SiC; silicon carbide; sun position sensors; UV photodetectors; wide bandgap semiconductors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Electronic Components, Technology and Materials","","",""
"uuid:9e2c6867-76dc-4218-abaf-2c2b98ede20f","http://resolver.tudelft.nl/uuid:9e2c6867-76dc-4218-abaf-2c2b98ede20f","Luminous performances characterization of YAG: Ce3+ phosphor/silicone composites using both reflective and transmissive laser excitations","Cao, Yixing (Fudan University); Chen, Wei (Fudan University); Du, Yunjia (Hohai University); Qi, Gaojin (Hohai University); Santos, Thebano (Renato Archer Information Technology Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2022","YAG: Ce3+ phosphor/silicone composites are widely used in solid-state lighting as a light converter to achieve white lighting. However, because of high thermal resistance and low thermal stability, the luminous performance of YAG: Ce3+ phosphor/silicone composite deteriorates rapidly when excited by high-power-density blue-laser. To explore the potential of blue laser-excited YAG: Ce3+ phosphor/silicone composites, the luminous performances under different blue laser power conditions were characterized by both the reflective and transmissive excitations using a self-built three-integrating-sphere system. Furthermore, the Monte-Carlo Ray-tracing simulation was used to illustrate the light-transmission and energy conversion mechanism in the phosphor/silicone composites. The results showed that: (1) The YAG: Ce3+ phosphor/silicone composite could be excited by the 0.292W laser light with the peak wavelength of 445nm, excessive laser power will cause phosphor thermal quenching and silicone carbonization. (2) The luminous flux of the composite under both the reflective and transmissive excitations gradually increased with the increase of phosphor concentration; correspondingly, the color coordinate moved to the yellow region, and the Correlated Color Temperature (CCT) gradually decreased. (3) The simulation results indicated that under the same phosphor concentration, the luminous flux obtained by reflection excitation was largely higher than that by the transmission excitation, as the light re-conversion and strong back-scattering were occurred in the reflective and transmissive laser excitation respectively.","Laser excitation; Light-emitting diode; Monte-Carlo Ray-tracing; Phosphor/silicone composites; YAG: Ce 3+","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Electronic Components, Technology and Materials","","",""
"uuid:ef8510dd-6740-4d86-89be-122cf56daca3","http://resolver.tudelft.nl/uuid:ef8510dd-6740-4d86-89be-122cf56daca3","Efficient Super-Resolution Two-Dimensional Harmonic Retrieval with Multiple Measurement Vectors","Zhang, Yu (Nanjing University of Aeronautics and Astronautics); Wang, Yue (George Mason University); Tian, Zhi (George Mason University); Leus, G.J.T. (TU Delft Signal Processing Systems); Zhang, Gong (Nanjing University of Aeronautics and Astronautics)","","2022","This paper develops an efficient solution for super-resolution two-dimensional (2D) harmonic retrieval from multiple measurement vectors (MMV). Given the sample covariance matrix constructed from the MMV, a gridless compressed sensing approach is proposed based on the atomic norm minimization (ANM). In the approach, our key step is to perform a redundancy reduction (RR) transformation that effectively reduces the large problem size at hand, without loss of useful frequency information. For uncorrelated sources, the transformed 2D covariance matrices in the RR domain retain a salient structure, which permits a sparse representation over a matrix-form atom set with decoupled 1D frequency components. Accordingly, the decoupled ANM (D-ANM) framework can be applied for super-resolution 2D frequency estimation. Moreover, the resulting RR-enabled D-ANM technique, termed RR-D-ANM, further allows an efficient relaxation under certain conditions, which leads to low computational complexity of the same order as the 1D case. Simulation results verify the advantages of our solutions over benchmark methods, in terms of higher computational efficiency and detectability for 2D harmonic retrieval.","2D harmonic retrieval; Atomic measurements; Computational complexity; Covariance matrices; D-ANM; Harmonic analysis; Minimization; MMV; RR transformation; Signal resolution; Super-resolution; Superresolution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-18","","","Signal Processing Systems","","",""
"uuid:1658a135-40cd-4d2f-9f24-9866ce1022ed","http://resolver.tudelft.nl/uuid:1658a135-40cd-4d2f-9f24-9866ce1022ed","Distributed Coordinated Control for Fixed-Wing UAVs with Dynamic Event-Triggered Communication","Zhang, Boyang (Air Force Engineering University China); Sun, Xiuxia (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Liu, Shuguang (Air Force Engineering University China)","","2022","Compared with most existing results concerning unmanned aerial vehicles (UAVs) wherein two-degree or only attitude/longitudinal dynamics are considered, this paper proposes an event-based fault-tolerant coordinated control (FTC) for multiple fixed-wing UAVs such that the consensus tracking of velocity and attitude is achieved in the presence of actuator faults, external disturbances and modeling uncertainties. More precisely, as opposed to static event-triggered communication mechanisms, a dynamic event-triggered communication mechanism (DECM) is devised to schedule the connected communications while avoiding the unnecessary information exchanges among UAVs, which reduces the communication burden and saves on the network resources. Meanwhile, the Zeno phenomenon is excluded in terms of guaranteeing that the period between two consecutive triggering communication is lower bounded by a positive constant. Moreover, the actuator fault, external disturbance as well as model uncertainty are treated as the lumped disturbances and estimated via the disturbance observer technique. By strict Lyapunov arguments, all closed-loop signals are proved to be uniformly ultimately bounded (UUB) and the tracking errors of velocity and attitude converge to a residual set around origin. Finally, simulation results are presented to illustrate the validity and superiority of proposed event-based control scheme.","Actuators; Aerodynamics; Attitude control; Dynamic event-triggered communication mechanism; Fault tolerance; Fault tolerant systems; fault-tolerant control; fixed-wing unmanned aerial vehicles; Nonlinear dynamical systems; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:3570776e-a089-4817-82f3-2adc1bb1c293","http://resolver.tudelft.nl/uuid:3570776e-a089-4817-82f3-2adc1bb1c293","A Review of Mathematical Models of Building Physics and Energy Technologies for Environmentally Friendly Integrated Energy Management Systems","Zhang, Yajie (Southeast University); Vand, Behrang (Edinburgh Napier University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2022","The Energy Management System (EMS) is an efficient technique to monitor, control and enhance the building performance. In the state-of-the-art, building performance analysis is separated into building simulation and control management: this may cause inaccuracies and extra operating time. Thus, a coherent framework to integrate building physics with various energy technologies and energy control management methods is highly required. This framework should be formed by simplified but accurate models of building physics and building energy technologies, and should allow for the selection of proper control strategies according to the control objectives and scenarios. Therefore, this paper reviews the fundamental mathematical modeling and control strategies to create such a framework. The mathematical models of (i) building physics and (ii) popular building energy technologies (renewable energy systems, common heating and cooling energy systems and energy distribution systems) are first presented. Then, it is shown how the collected mathematical models can be linked. Merging with two frequently used EMS strategies, namely rule-based and model predictive controls, is discussed. This work provides an extendable map to model and control buildings and intends to be a foundation for building researchers, designers and engineers.","building; mathematical modeling; building physics; building energy systems; energy management system","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:9836394b-5257-4e3d-9d46-4d66c5a14489","http://resolver.tudelft.nl/uuid:9836394b-5257-4e3d-9d46-4d66c5a14489","A 121.4dB DR, -109.8dB THD+N Capacitively-Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","Fujino, Laura C. (editor)","2022","Class-D amplifiers (CDAs) are often used in audio applications due to their superior power efficiency. Due to the sensitivity of the human ear, a large dynamic range (DR) is desired, and audio DACs with up to 130dB DR are commercially available [1]. However, the DR of the CDAs they drive is typically much lower [2]-[4], mainly due to the thermal noise introduced by the input resistors of their resistive feedback networks. Reducing this resistance is difficult, as it reduces the CDA's input impedance and increases the required loop-filter capacitance. Alternatively, the CDA could be configured as a capacitively coupled chopper amplifier (CCCA), whose capacitive feedback network could then achieve low noise without reducing input impedance. However, the large PWM component present at its output would then saturate its input stage. By exploiting the inherent PWM filtering present in a feedback-after-LC architecture, this paper presents a capacitively coupled chopper CDA, resulting in significantly improved DR and THD+N. The prototype achieves 8V_RMS of integrated output noise (A-weighted), a 121.4dB DR, and -1 09.8dB THD+N while delivering a maximum of 15/26W into an 8/4Omega load with 93%/88% efficiency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-17","","Microelectronics","Electronic Instrumentation","","",""
"uuid:501de7eb-16e3-4c3c-98de-6366654b965a","http://resolver.tudelft.nl/uuid:501de7eb-16e3-4c3c-98de-6366654b965a","A Comparative Study on the Degradation of Alkali-Activated Slag/Fly Ash and Cement-Based Mortars in Phosphoric Acid","Ren, Jie (University of Melbourne; University of Colorado); Zhang, Lihai (University of Melbourne); Zhu, Yingcan (University of Southern Queensland); Li, Z. (TU Delft Materials and Environment); San Nicolas, Rackel (University of Melbourne)","","2022","This study compares the degradation behavior of the alkali-activated slag/fly ash (AASF) and ordinary Portland cement (OPC) mortars exposed to phosphoric acid with different pH values. The experimental results show that AASF mortars exhibit better resistance than OPC mortars against surface damage, although both systems get white deposits on the surface in phosphoric acid with a relatively high pH level. AASF mortars obtained lower mass loss than OPC mortars in phosphoric acid with pH at 2 and 3. The strength reduction in AASF mortars after immersion in phosphoric acid is more significant than that in OPC mortars. However, total degradation depth of AASF was smaller than that of OPC regardless of the pH of the acid solutions. Based on the experimental data, linear relationships were identified between the slope of degradation depth–mass loss curves and the Al/Si and Ca/Si ratios of the binders. This may indicate a new way to assess the degradation behavior of AASF and OPC based on their chemical compositions.","alkali-activated materials; Portland cement; phosphoric acid; degradation; strength","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:46ebd931-cb8f-4abe-8cc5-cbfbd5db1ee2","http://resolver.tudelft.nl/uuid:46ebd931-cb8f-4abe-8cc5-cbfbd5db1ee2","A 4-μW Bandwidth/Power Scalable Delta-Sigma Modulator Based on Swing-Enhanced Floating Inverter Amplifiers","Zhao, Menglian (Zhejiang University); Zhao, Yibo (Zhejiang University); Zhang, H. (TU Delft Electronic Instrumentation); Hu, Yaopeng (Zhejiang University); Bao, Yuanxin (Peking University); Ye, Le (Peking University); Qu, Wanyuan (Zhejiang University); Tan, Zhichao (Zhejiang University)","","2022","This article presents a fully dynamic scalable switched-capacitor delta-sigma modulator that achieves a 94.1-dB dynamic range (DR). Power-and- bandwidth scalability by only changing the clock frequency is achieved using a capacitively biased and swing-enhanced floating inverter operational transconductance amplifier (OTA). Fabricated in a 180-nm CMOS process, the prototype achieves an signal-to-noise-and-distortion ratio (SNDR) of >87 dB across 4× scaling from 100 to 400 kHz of the sampling frequency f_ S. At 200-kHz f_ S , it achieves an SNDR/DR of 89.3/94.1 dB while consuming 4 μ W , leading to a DR-based Schreier figure of merit (FoM) of 177.1 dB.","Bandwidth (BW) scalable; high resolution; ultra-low power","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:0609ba1c-06b2-4ca6-8dfa-835babe75f6a","http://resolver.tudelft.nl/uuid:0609ba1c-06b2-4ca6-8dfa-835babe75f6a","Misinformation Detection on Social Media: Challenges and the Road Ahead","Ahvanooey, Milad Taleby (Nanjing University); Zhu, Mark Xuefang (Nanjing University); Mazurczyk, Wojciech (Warsaw University of Technology); Choo, Kim Kwang Raymond (The University of Texas at San Antonio); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Zhang, Jing (Nanjing University of Science and Technology)","","2022","It is increasingly challenging to deal with the volume,variety, velocity, and veracity of misinformation (e.g., dissemination of fake news contents, spurious posts, and fabricated images/videos) from different online platforms. In this article, we present an overview of existing machine learning and information hiding-based misinformation detection techniques and discuss the current threats and limitations of these approaches. Based on the discussion, we identify a number of potential countermeasures.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-21","","","Cyber Security","","",""
"uuid:62696e2e-65af-4890-a8ba-caba0ba0da69","http://resolver.tudelft.nl/uuid:62696e2e-65af-4890-a8ba-caba0ba0da69","A 23-to-29GHz Receiver with mm-Wave N-Input-N-Output Spatial Notch Filtering and Autonomous Notch-Steering Achieving 20-to-40dB mm-Wave Spatial Rejection and -14dBm In-Notch IP1 dB","Zhang, L. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","Fujino, Laura C. (editor)","2022","Digital beamforming receivers (RXs) support MIMO operation and offer great flexibility and accuracy in multi-beam formation and calibration. However, compared with analog phased-array and hybrid systems, due to the absence of any rejection for spatial in-band blockers, the RX/ADC dynamic range and linearity should be high enough to prevent array saturation. Therefore, the use of self-steering spatial notch filters (SNFs) is necessary to aid the digital beamformers and reduce RX/ADC power consumption while strong blockers exist. To address that, the sub-6GHz RXs in [1], [2] synthesize a baseband spatial notch impedance and translate it to RF by passive mixers. However, this technique cannot be directly applied at mm-wave frequencies as the impedance translational performance of the passive mixers degrades significantly. Hence, the mm-wave beamformer in [3] realizes a cascadable SNF at an intermediate frequency (IF). However, the front-end mm-wave components like mixers and phase shifters have to tolerate strong blockers, thus degrading RX linearity. Besides, it uses multiple IF buffers and VGAs for signal scaling and combining, which could be power-hungry if a similar method is adopted to realize a mm-wave SNF. To improve on those limitations, we propose a scalable SNF structure, which (1) suppresses the strongest in-band blocker at mm-wave frequencies, (2) supports N-input-N-output MIMOs, and (3) requires no active blocks except the phase shifters. A two-step autonomous notch-steering technique is also developed to adjust the SNF notch direction power-efficiently and accurately.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:005c8efd-82d8-4fe3-9ec4-18eb31027fbe","http://resolver.tudelft.nl/uuid:005c8efd-82d8-4fe3-9ec4-18eb31027fbe","Ultrasound-Guided Optogenetic Gene Delivery for Shock-Free Ventricular Rhythm Restoration","Nyns, Emile C.A. (Leiden University Medical Center); Jin, T. (TU Delft Electronic Components, Technology and Materials); Bart, Cindy I. (Leiden University Medical Center); Bax, Wilhelmina H. (Leiden University Medical Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); de Vries, Antoine A.F. (Leiden University Medical Center); Pijnappels, Daniël A. (Leiden University Medical Center)","","2022","","defibrillators; implantable; electroshocks; membrane potential; optogenetics; tachycardia","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:1f4029b5-2648-4fdc-8a1b-d114228f35f2","http://resolver.tudelft.nl/uuid:1f4029b5-2648-4fdc-8a1b-d114228f35f2","Fuzzy Adaptive Output-Feedback Constrained Trajectory Tracking Control for HFVs with Fixed-Time Convergence","Zuo, Renwei (Air Force Engineering University China); Li, Yinghui (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Liu, Zongcheng (Air Force Engineering University China); Zhang, Fan (Sun Yat-sen University)","","2022","This article proposes an output-feedback fixed-time trajectory tracking control methodology for hypersonic flight vehicles subject to asymmetric output constraints. In contrast to the state of the art, the most distinguishing feature of our control design lies in avoiding using conventional recursive design methods (e.g., backstepping technique, dynamic surface control, etc.) and in not relying on full-state availability. In the velocity control loop, an asymmetric integral barrier Lyapunov function is adopted to confine velocity variable within a well-defined compact set all the time. In the altitude control loop, after utilizing its cascaded property and proposing a novel scaling function, the original constrained system is transformed to an unconstrained one, which facilitates the control design and stability analysis. Moreover, the proposed control algorithm only involves one fuzzy logic approximator as well as one fixed-time differentiator in the transformed system and guarantees that the tracking errors of velocity and altitude converge into the user-defined residual sets within fixed time. Several comparative simulations have been conducted to highlight the superiorities of the developed method.","Aerodynamics; asymmetric output constraints; Control design; Convergence; fixed-time differentiator; Fuzzy logic; Hypersonic flight vehicles; Lyapunov methods; output-feedback control; Trajectory tracking; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:a9ac4c78-2727-40be-a572-d4794b57c07e","http://resolver.tudelft.nl/uuid:a9ac4c78-2727-40be-a572-d4794b57c07e","Effects of Ultrafine Blast Furnace Slag on the Microstructure and Chloride Transport in Cementitious Systems under Cyclic Drying–Wetting Conditions","Li, Wei (PowerChina Hubei Electric Engineering Co.); Yi, Liming (PowerChina Hubei Electric Engineering Co.); Jiang, Wen (PowerChina Hubei Electric Engineering Co.); Dong, H. (TU Delft Materials and Environment); Zhang, Yong (Fuzhou University)","","2022","This paper presents experimental investigations into the effects of ultrafine blast furnace slag on microstructure improvements against chloride penetration in saturated and unsaturated cementitious systems exposed to cyclic drying–wetting conditions. The hydration kinetics of ultrafine slag powders and pore solution chemistry in slag-blended cementitious systems at different ages, together with the main hydration products and pore structure characteristics, were determined. The chloride profiles accounting for different slag contents and drying–wetting cycles were measured. The results reveal that the reactivity of ultrafine slag can be well described with Avrami’s equation. The dilution effect of the slag predominated the pore solution chemistry, and the pH value decreased with a higher inclusion of slag. An optimal inclusion of 65% slag by mass of the binder corresponding to the finest pore structure and highest hydrotalcite content was found, which provides a reasonable basis for the slow chloride diffusion and high chloride binding. Under drying–wetting exposure, the specimen with a lower saturation exhibited a higher chloride transport caused by capillary absorption in the skin layer. The chloride transport tended to be diffusion controlled after sufficient drying–wetting cycles","ultrafine blast furnace slag; pore solution chemistry; microstructure; chloride transport; drying–wetting; unsaturated","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c614d55d-aac9-4242-88f1-2c7f249c31af","http://resolver.tudelft.nl/uuid:c614d55d-aac9-4242-88f1-2c7f249c31af","A - 121.5-dB THD Class-D Audio Amplifier With 49-dB LC Filter Nonlinearity Suppression","Zhang, H. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","","2022","Class-D audio amplifiers produce electromagnetic interference (EMI), which often needs to be suppressed by an external LC filter. However, due to component nonlinearity, this filter can itself cause significant distortion. This article presents a class-D amplifier that suppresses LC filter nonlinearity by 49 dB and is robust to ±30% variations in its cutoff frequency. This is achieved by a dual-loop architecture, in which an inner loop provides stability, while an outer loop provides the high gain needed to suppress the LC filter and output-stage nonlinearity. A prototype, implemented in a 180-nm BCD process, achieves -121.5-dB total harmonic distortion (THD) and -107.1-dB THD+N, which is maintained to within 3 dB even as the LC filter cutoff frequency is varied from 62 to 106 kHz. It can deliver a maximum of 21 W into a 4-Ω load with 87% efficiency and 12 W into an 8-Ω load with 91% efficiency, measured at 10% THD.","Audio power amplifier; class-D amplifier; feedback after LC filter; nonlinearity compensation; total harmonic distortion (THD)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-04","","Microelectronics","Electronic Instrumentation","","",""
"uuid:3fe18d2d-1718-4f94-9202-5cf81f71224e","http://resolver.tudelft.nl/uuid:3fe18d2d-1718-4f94-9202-5cf81f71224e","Fatigue life and cracking characterization of engineered cementitious composites (ECC) under flexural cyclic load","Sun, Renjuan (Shandong University); Han, Lebing (Shandong Hi-Speed Engineering Test CO); Zhang, Hongzhi (Shandong University); Ge, Zhi (Shandong University); Guan, Yanhua (Shandong University); Ling, Yifeng (Shandong University); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2022","This paper presents a study on cracking characterization of engineered cementitious composites (ECC) under flexural cyclic load using digital image correlation (DIC) technique. Five stress levels, namely 0.65, 0.75, 0.8, 0.85 and 0.9 of the flexural strength, were applied. Strain map at the side surface was obtained by DIC and used to drive evolution of the midspan deflection, damage pattern, maximum crack width, number of cracks, and crack width distribution with respect to the normalized number of cycles. The stress level was found to have a significant influence on the cracking behavior of ECC under flexural cyclic load. Regardless of the applied stress level, most of the crack widths are in the range between 20 and 80 μm. In the end, a two-dimension Gauss function was used to correlate the crack width distribution with normalized number of cycle and shows satisfactory results.","Fatigue life; Engineered cementitious composites; Digital image correlation; Cracking behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-19","","","Materials and Environment","","",""
"uuid:9e3ba326-5bfc-410e-a24c-30bed5e87069","http://resolver.tudelft.nl/uuid:9e3ba326-5bfc-410e-a24c-30bed5e87069","User-Defined Privacy-Preserving Traffic Monitoring Against n-by-1 Jamming Attack","Li, Meng (Hefei University of Technology); Zhu, Liehuang (Beijing Institute of Technology); Zhang, Zijian (Beijing Institute of Technology); Lal, C. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; University of Padua); Alazab, Mamoun (Charles Darwin University)","","2022","Traffic monitoring services collect traffic reports and respond to users' traffic queries. However, the reports and queries may reveal the user's identity and location. Although different anonymization techniques have been applied to protect user privacy, a new security threat arises, namely, n-by-1 jamming attack, in which an anonymous contributing driver impersonates n drivers and uploads n normal reports by using n reporting devices. Such an attack will mislead the traffic monitoring service provider and further degrade the service quality. Existing traffic monitoring services do not support customized queries, and private information retrieval techniques cannot be applied directly in traffic monitoring. We formally define the new attack and propose a traffic monitoring scheme TraJ to defend the attack and achieve user-defined location privacy. Specifically, we bridge anonymous contributing drivers without disclosing their speed set by using private set intersection. Each RSU collects time traffic reports and structures a weighted proximity graph to filter out malicious colluding drivers. We design a user-defined privacy-preserving query method by encoding complex road network. We leverage the uploading phase from private aggregation to collect traffic conditions and allow requesting drivers to dynamically and privately query traffic conditions. We provide a formal analysis of TraJ to prove its privacy and security properties. We also construct a prototype based on a real-world dataset and Android smartphones to demonstrate its feasibility and efficiency. A formal analysis demonstrates the privacy and security properties. Extensive experiments illustrate the performance and defense efficacy.","Vehicular networks; traffic monitoring; security; privacy; edge computing; proximity graph","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:743f3859-5920-4a19-a2c1-1d7f45599c37","http://resolver.tudelft.nl/uuid:743f3859-5920-4a19-a2c1-1d7f45599c37","A Chopper Class-D Amplifier for PSRR Improvement Over the Entire Audio Band","Zhang, H. (TU Delft Electronic Instrumentation); Rozsa, N.N.M. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Fan, Q. (TU Delft Electronic Instrumentation)","","2022","The power supply rejection ratio (PSRR) of conventional differential closed-loop Class-D amplifiers is limited by the feedback and input resistor mismatch and finite common-mode rejection ratio (CMRR) of the operational transconductance amplifier (OTA) in the first integrator. This article presents a 14.4-V Class-D amplifier employing chopping to tackle the mismatch, thereby improving the PSRR. However, chopping-induced intermodulation (IM) within a pulsewidth modulation (PWM)-based Class-D amplifier can severely degrade PSRR and linearity. Techniques to mitigate such IM are proposed and analyzed. To chop the 14.4-V PWM output signal, a high-voltage (HV) chopper employing double-diffused MOS (DMOS) transistors is developed. Its timing is carefully aligned with that of the low-voltage (LV) choppers to avoid further linearity degradation. The prototype, fabricated in a 180-nm BCD process, achieves a PSRR of >110 dB at low frequencies, which remains above 79 dB up to 20 kHz. It achieves a total harmonic distortion (THD) of -109.1 dB and can deliver a maximum of 14 W into an 8- \Omega load with 93% efficiency while occupying a silicon area of 5 mm2.","Audio power amplifier; Class-D amplifier; intermodulation (IM); power supply rejection ratio (PSRR); total harmonic distortion (THD).","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:91bf90b1-33f0-488c-a868-d6bb72f9893c","http://resolver.tudelft.nl/uuid:91bf90b1-33f0-488c-a868-d6bb72f9893c","First-Principles Study on the Elastic Mechanical Properties and Anisotropies of Gold–Copper Intermetallic Compounds","Wang, Jian (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Chen, Junfu (Guangdong Academy of Sciences); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","In this study, first-principles calculations were utilized to investigate the lattice constants, elastic constants, and mechanical properties of gold–copper (Au–Cu) intermetallic compounds (IMCs), including AuCu3, AuCu, and Au3Cu. We also verified the direction dependence of the Young’s modulus, shear modulus, and Poisson’s ratio of the compounds. The calculated lattice parameters agreed with the experimental data, and the single-crystal elastic constants, elastic modulus E, shear modulus G, bulk modulus B, and Poisson’s ratio ν were calculated. For the Young’s and shear moduli, AuCu3 showed the highest anisotropy, followed by AuCu and Au3Cu. The Poisson’s ratios of AuCu3 and Au3Cu crystals were isotropic on (100) and (111) crystal planes and anisotropic on the (110) crystal plane. However, the Poisson’s ratio of the AuCu crystal was anisotropic on (100) and (111) crystal planes and isotropic on the (110) crystal plane.","first-principles; Au–Cu intermetallic compounds; mechanical properties; anisotropy","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:6918d20f-90fd-473e-b75d-cabf5d4d426d","http://resolver.tudelft.nl/uuid:6918d20f-90fd-473e-b75d-cabf5d4d426d","Towards a Practical Method for Voxel-based Visibility Analysis with Point Cloud Data for Landscape Architects: Jichang Garden (Wuxi, China) as an Example","Peng, Y. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Zhang, Guangting; Stoter, J.E. (TU Delft Urban Data Science); Agugiaro, G. (TU Delft Urban Data Science)","","2022","This paper focuses on GIS-based visibility analysis to explore landscape architecture com-positions as a means to understand visual-spatial characteristics and identify related design principles. More specifically, the paper elaborates a practical method to employ high-resolution data acquired by terrestrial LiDAR (Light Detection and Ranging or Laser Imaging Detection and Ranging) for this pur-pose. Though LiDAR provides a powerful means to digitally capture the real-world, methods like GIS-based visual landscape research that utilize viewshed analysis ideally require a continuous Digital Landscape Model conduct visibility computation. Therefore, one of the obstacles before the visual analysis is to process the points into surface or solid models. Voxel-based algorithms are powerful means to process LiDAR data. There are many applications known of voxel-based visibility analysis but requires often specialist software that is hard to handle or unavailable to landscape architects in practice. This paper showcases an attempt to use standard software for voxel-based visibility analysis. It presents a practical method for applications in landscape architecture analysis. Jichang Garden (Wuxi, China) is used as an example. The historical garden is an evocative example of a landscape architecture compo-sition that displays skilful applications of spatial-visual design principles and therefore worthy of ana-lyse.","LiDAR; voxel-based visibility analysis; Jichang Garden; Landscape Architecture; visual landscape research","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:706245bf-f302-4b35-8770-89d6b161912a","http://resolver.tudelft.nl/uuid:706245bf-f302-4b35-8770-89d6b161912a","An aeroacoustics-based approach for wind turbine blade damage detection","Zhang, Y. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy)","","2022","In this work, aimed at the development of an aeroacoustics-based wind turbine blade damage detection approach, the noise scattered from two airfoils with damage at the trailing edge or at the leading edge is investigated. Four trailing edge cracks (with width of 0.2, 0.5, 1.0 and 2.0 mm) and four leading edge erosion configurations (consisting of gouges and delamination) are investigated for a NACA 0018 and a DU96 W180 airfoil. Experiments are carried out under clean and turbulent inflow conditions. Acoustic measurements are performed in an anechoic wind tunnel with a microphone array. The trailing edge crack causes a tonal peak at trailing-edge-thickness-based Strouhal number approximately equal to St_h~0.1 under clean and low turbulence intensity inflow conditions (e.g. ~4% in this study). For a higher turbulence intensity (e.g., ~7%), the tonal peaks are not detectable. For the leading edge erosion case, under clean inflow conditions and minor damage levels, the amplitudes of the harmonics in the trailing edge noise spectra increase compared with the baseline. For moderate damage levels, the harmonics on the suction side shift to higher frequencies with lower amplitudes. For the highest damage levels, only broadband characteristics are present, where low-frequency contributions increase and high-frequency contributions decrease as the damage level increases. When introducing turbulent inflow, the leading edge impingement noise level decreases at medium-high frequency (above 1000 Hz) with increasing levels of erosion.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:fc65eb48-fd5e-48c3-9a3b-9561658207cd","http://resolver.tudelft.nl/uuid:fc65eb48-fd5e-48c3-9a3b-9561658207cd","Analysis and data-based modeling of the photochemical reaction dynamics of the induced singlet oxygen in light therapies","Wang, T. (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Dong, Jianfei (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Objective: The macroscopic singlet oxygen (MSO) model for quantifying the light-induced singlet oxygen ($^{1}O_{2}$) always contain a set of nonlinear dynamic equations and therefore are generally difficult to be applied. This work was devoted to analyze and simplify this dynamic model. Methods: Firstly, the nonlinearity of the MSO model was analyzed with control theory. The conditions, under which it can be simplified to a linear one, were derived. Secondly, in the case of ample triplet oxygen concentration, a closed-form exact solution of the $^{1}O_{2}$ model was further derived, in a nonlinear algebraic form with only four parameters that can be easily fitted to experimental data. Finally, in vitro experiments of anti-fungal light therapies were conducted, where the fungi, Candida albicans, were irradiated respectively by the 385, 405, 415, and 450 nm wavelength light. The singlet oxygen concentration levels in the fungi were measured, and then used to fit the developed models. Results: The parameters of the closed-form exact solution were estimated from both the simulated and the measured experimental data. Based on this model, a functional relationship between the photon energy, fluence rate and singlet oxygen concentration was also established. The fitting accuracy of this model to the data was satisfactory, which therefore demonstrates the effectiveness of the proposed modeling techniques. Conclusion: The results from simulating the closed-form model indicate that the photon energy within the range of either 2.7 $\sim$ 2.8 eV or 3.0 $\sim$ 3. 2 eV (388 $\sim$ 413 nm or 443 $\sim$ 459 nm in wavelength) is more effective in generating singlet oxygen in the fungi studied in this work. Significance: It is the first attempt of applying control theory to analyze the photochemical reaction dynamics of light therapies in terms of their nonlinearity. The proposed modeling techniques also offer opportunities for determining the light dosages in treating fungal infection diseases, especially those on the surface tissues of human body.","Low-Level Light Therapy; Reactive Oxygen Species; Nonlinear dynamics; Mycoses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:a484893b-e310-48c7-adc6-4df1619179f4","http://resolver.tudelft.nl/uuid:a484893b-e310-48c7-adc6-4df1619179f4","Future material requirements for global sustainable offshore wind energy development","Li, Chen (Universiteit Leiden); Mogollón, José (Universiteit Leiden); Tukker, Arnold (Universiteit Leiden); Dong, J. (TU Delft DC systems, Energy conversion & Storage); von Terzi, D.A. (TU Delft Wind Energy); Zhang, Chunbo (Universiteit Leiden); Steubing, Bernhard (Universiteit Leiden)","","2022","Offshore wind energy (OWE) is a cornerstone of future clean energy development. Yet, research into global OWE material demand has generally been limited to few materials and/or low technological resolution. In this study, we assess the primary raw material demand and secondary material supply of global OWE. It includes a wide assortment of materials, including bulk materials, rare earth elements, key metals, and other materials for manufacturing offshore wind turbines and foundations. Our OWE development scenarios consider important drivers such as growing wind turbine size, introducing new technologies, moving further to deep waters, and wind turbine lifetime extension. We show that the exploitation of OWE will require large quantities of raw materials from 2020 to 2040: 129–235 million tonnes (Mt) of steel, 8.2–14.6 Mt of iron, 3.8–25.9 Mt of concrete, 0.5–1.0 Mt of copper and 0.3–0.5 Mt of aluminium. Substantial amounts of rare earth elements will be required towards 2040, with up to 16, 13, 31 and 20 fold expansions in the current Neodymium (Nd), Dysprosium (Dy), Praseodymium (Pr) and Terbium (Tb) demand, respectively. Closed-loop recycling of end-of-life wind turbines could supply a maximum 3% and 12% of total material demand for OWE from 2020 to 2030, and 2030 to 2040, respectively. Moreover, a potential lifetime extension of wind turbines from 20 to 25 years would help to reduce material requirements by 7–10%. This study provides a basis for better understanding future OWE material requirements and, therefore, for optimizing future OWE developments in the ongoing energy transition.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:971ec81f-962f-4524-acdd-9ea405e15e39","http://resolver.tudelft.nl/uuid:971ec81f-962f-4524-acdd-9ea405e15e39","Micromechanics-based viscoelasticity predictions of crumb rubber modified bitumen considering polymer network effects","Wang, H. (TU Delft Pavement Engineering; The University of Nottingham); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University); Leng, Zhen (The Hong Kong Polytechnic University); Airey, G.D. (The University of Nottingham)","","2022","Crumb rubber modified bitumen (CRMB) can be regarded as a binary composite system in which swollen rubber particles are embedded in the bitumen matrix. Previous study has successfully implemented the micromechanics models in predicting the complex moduli of CRMB binders using more representative constituent parameters. In the regime of master curves, while the micromechanics models used predicted well in the high-frequency range, they underestimated the complex modulus in the low-frequency range. The current study aims to further improve the prediction accuracy of micromechanics models for CRMB by considering the interparticle interactions. To accomplish this goal, a new reinforcement mechanism called chain entanglement effect was introduced to account for the interparticle interaction effect. Results show that the polymer chain entanglement effect accounts for the underestimation of complex modulus and lack of elasticity (overestimation of phase angle) for CRMB at high temperatures/low frequencies. The mechanical properties of bitumen matrix and entangled polymer network can be determined based on the rubber content. The introduction of the entangled polymer network to the generalized self-consistent model significantly improved the prediction accuracy for both complex modulus and phase angle in the whole frequency range. In summary, by incorporating the physio-chemical interaction mechanism into the currently available models, a new dedicated micromechanics model for predicting the mechanical properties of CRMB has been developed. The predicted viscoelastic behaviors can thereafter be used as inputs for an improved mix design.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:948d4da9-0026-4d5e-a133-8355d987d2e5","http://resolver.tudelft.nl/uuid:948d4da9-0026-4d5e-a133-8355d987d2e5","Edible mechanical metamaterials with designed fracture for mouthfeel control","Souto, Andre (Universiteit van Amsterdam); Zhang, J. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics); Velikov, Krassimir P. (Universiteit van Amsterdam; Unilever Food Innovation Centre; Universiteit Utrecht); Coulais, Corentin (Universiteit van Amsterdam)","","2022","Metamaterials can display unusual and superior properties that come from their carefully designed structure rather than their composition. Metamaterials have permeated large swatches of science, including electromagnetics and mechanics. Although metamaterials hold the promise for realizing technological advances, their potential to enhance interactions between humans and materials has largely remained unexplored. Here, we devise a class edible mechanical metamaterials with tailored fracture properties to control mouthfeel sensory experience. Using chocolate as a model material, we first demonstrate how to create and control the fracture anisotropy, and the number of cracks, and demonstrate that these properties are captured in mouthfeel experience. We further use topology optimization to rationally design edible metamaterials with maximally anisotropic fracture strength. Our work opens avenues for the use of metamaterials to control fracture and to enhance human-matter interactions.","","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:a6ca8187-c5a1-44f1-89ce-fbc942f0e8d2","http://resolver.tudelft.nl/uuid:a6ca8187-c5a1-44f1-89ce-fbc942f0e8d2","How the Built Environment Promotes Residents’ Physical Activity: The Importance of a Holistic People-Centered Perspective","Zhang, Yufang (Rijksuniversiteit Groningen); van Dijk, Terry (Rijksuniversiteit Groningen); Wagenaar, C. (TU Delft History, Form & Aesthetics)","","2022","Promoting adequate physical activity (PA) such as walking and cycling is essential to cope with the global health challenge of non-communicable diseases (NCDs). Much research has been conducted to analyze how the built environment can promote PA, but the results are not consistent. Some scholars found that certain built environments such as green spaces generated positive impacts on PA, while some other studies showed no correlations. We suspected that the built environment should be measured in a deeply holistic nuanced way in order to properly reflect its impact on PA. Therefore, our research adopted an integral urban-analysis comparing three typical neighborhoods in Beijing, China. Our data show that the highest PA occurs in the neighborhood with the lowest density, amount of green space and street connectivity, apparently compensated by its low-rise housing type and high appreciation of the quality of sidewalks and street safety. This indicates that dimensions impacting PA have to be considered in context, and the peoples’ perception of the built environment matters.","built environment; physical activity; urban analysis; walking and cycling; neighborhood design; China","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:11c64ba0-500f-45eb-b238-5a220fb4d21b","http://resolver.tudelft.nl/uuid:11c64ba0-500f-45eb-b238-5a220fb4d21b","基于Marchenko理论的成像域与数据域层间多次波消除方法对比","Zhang, L. (China University of Geosciences, Wuhan); Shao, Jie (Chinese Academy of Sciences); Zheng, Yikang (Chinese Academy of Sciences); Wang, Y. (Chinese Academy of Sciences); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2022","Marchenko imaging can be seen as an internal multiple elimination scheme in imaging domain. By solving coupled Marchenko equations, the measured seismic data can be correctly redatumed to the subsurface with source positioned at subsurface and receivers positioned at acquisition surface. Then, the artefact-free image of the source point can be retrieved by multi-dimensionally deconvolving the redatumed up- and downgoing seismic data. The Marchenko Multiple Elimination scheme, derived from coupled Marchenko equations, is a data domain multiple elimination scheme. It can successfully predict and remove all orders of internal multiple reflections without model information or adaptive subtraction. The data domain multiple elimination scheme surgically removes internal multiple reflections without touching primary reflections. In this paper, we give a detailed comparison of both imaging domain and data domain Marchenko multiple elimination schemes. One synthetic model is used to illustrate the performance of both schemes and, the advantages and disadvantages of both schemes are discussed in detail.","Internal multiple; Marchenko equations; Multi-dimensional deconvolution; Multiple elimination","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-10","","","Applied Geophysics and Petrophysics","","",""
"uuid:f1d20966-1ab7-475d-b020-3f3b45a1c79d","http://resolver.tudelft.nl/uuid:f1d20966-1ab7-475d-b020-3f3b45a1c79d","A Load-Carrier Perspective Method for Evaluating Land Resources Carrying Capacity","Luo, Wenzhu (Chongqing University of Posts and Telecommunications; Chongqing University); Shen, Liyin (Chongqing University; Zhejiang University); Zhang, Lingyu (Chongqing University); Liao, Xia (Chinese Academy of Sciences); Meng, Conghui (Zhejiang University); Jin, C. (TU Delft Real Estate Management)","","2022","If land resources are forced to withstand greater populations than they are able to withstand, irreversible damage to the land resources system will happen in a specific region. This challenge highlights the urgency of appropriately evaluating the land resources carrying capacity (LRCC). A proper level of the capacity can ensure that land resources demands imposed by human activities are at a reasonable level. There is a need for a proper evaluation method for assessing LRCC. This study presents a new evaluation method from a load-carrier perspective for assessing LRCC by examining the relationships between the pressure caused by human activities and the supply capacity of land resources. In developing this method, a land resources system is determined by two primary components, namely carrier and load. The compositions of carrier and load are determined by applying the theory of multifunctional land use. A case demonstration is conducted to show the application of the method. The main findings can be drawn from this study as follows. Firstly, a “load-carrier” perspective method is requested for evaluating the regional LRCC, and it is effective in obtaining the value of LRCC in the demonstration case. Secondly, the composition of land resources carriers and loads embodied in the load-carrier perspective method is determined by using the theory of multifunctional land use. Thirdly, the case results suggest that seven regions are overloaded in LRCC and the other two regions are approaching the limitation of LRCC among nine county-level administration regions in Chongqing. This study contributes to the development of literature in the field of LRCC. The application of the “load-carrier” perspective method can help local governments in the case study regions make policies to ensure that land resources demands imposed by human activities are under control at a reasonable level.","evaluation; land resources carrying capacity (LRCC); carrier and load perspective; multifunctional land use","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:8eca1d34-29c0-4127-85f1-33d67e680e96","http://resolver.tudelft.nl/uuid:8eca1d34-29c0-4127-85f1-33d67e680e96","In-situ reliability monitoring of power packages using a Thermal Test Chip","Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center); Sattari, R. (TU Delft Electronic Components, Technology and Materials); Smits, E.C.P. (Chip Integration Technology Center); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","With an increasing demand for high-power electronics, the need to meet stringent automotive norms and better understand the critical failure mechanisms are crucial in order to improve their reliablity. To that end, we developed an in-situ reliability monitoring setup capable of actively measuring the thermal performance of the package during lifetime testing. A Thermal Test Chip (TTC) assembled into a Power Quad Flat No-lead (PQFN) package was employed as a test vehicle for non-destructive reliability assessment. The TTC comprises resistive heaters as a heat source and resistive temperature elements for measuring the thermal response. The transient thermal behavior was evaluated based on the contribution of heat source to a temperature field, and the temperature distribution was measured at multiple spatial positions. The experimental results provide insights into the thermal properties’ influence on the thermal behavior of the package. A compact electro-thermal model based on analogies was developed to deconvolute and analyze the transient thermal measurements. The results of the compact model correlate with the experimental measurements, and the model’s accuracy was verified using finite element simulations. The development of such thermal characterization experiments and computationally inexpensive models assist in further understanding the impact of failures in advancing high-power density electronics.","Reliability of power electronics; In-situ analysis on reliability monitoring; Transient thermal measurements; Thermal Test Chips; Thermal Compact Modelling; Cauer electro-thermal model; Von-Neumann stability criterion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-20","","","Electronic Components, Technology and Materials","","",""
"uuid:d5eb7259-8f15-43dd-a8d1-1ba11bf4c558","http://resolver.tudelft.nl/uuid:d5eb7259-8f15-43dd-a8d1-1ba11bf4c558","On the retrieval of forward-scattered waveforms from acoustic reflection and transmission data with the Marchenko equation","van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Brackenhoff, J.A. (TU Delft Applied Geophysics and Petrophysics; ETH Zürich); Meles, G.A. (TU Delft Applied Geophysics and Petrophysics; University of Lausanne); Zhang, L. (TU Delft Applied Geophysics and Petrophysics; China University of Geosciences, Wuhan); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2022","A Green's function in an acoustic medium can be retrieved from reflection data by solving a multidimensional Marchenko equation. This procedure requires a priori knowledge of the initial focusing function, which can be interpreted as the inverse of a transmitted wavefield as it would propagate through the medium, excluding (multiply) reflected waveforms. In practice, the initial focusing function is often replaced by a time-reversed direct wave, which is computed with help of a macro velocity model. Green's functions that are retrieved under this (direct-wave) approximation typically lack forward-scattered waveforms and their associated multiple reflections. We examine whether this problem can be mitigated by incorporating transmission data. Based on these transmission data, we derive an auxiliary equation for the forward-scattered components of the initial focusing function. We demonstrate that this equation can be solved in an acoustic medium with mass density contrast and constant propagation velocity. By solving the auxiliary and Marchenko equation successively, we can include forward-scattered waveforms in our Green's function estimates, as we demonstrate with a numerical example.","acoustic propagation; acoustic signal processing; Acoustic waves; Acoustics; Computational modeling; Focusing; Frequency control; Green's function methods; Mathematical models; Windows","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-28","","","Applied Geophysics and Petrophysics","","",""
"uuid:e69bcbb4-f519-45b3-bdc9-ecac2a08033b","http://resolver.tudelft.nl/uuid:e69bcbb4-f519-45b3-bdc9-ecac2a08033b","New insights into the genetic etiology of Alzheimer’s disease and related dementias","van der Lee, S.J. (TU Delft Pattern Recognition and Bioinformatics); De Rojas, I. (TU Delft Pattern Recognition and Bioinformatics); Holstege, H. (TU Delft Intelligent Systems); Hulsman, M. (TU Delft Pattern Recognition and Bioinformatics); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics); van Rooij, Jeroen; Zhao, Y.; Zhang, X. (TU Delft Pattern Recognition and Bioinformatics)","","2022","Characterization of the genetic landscape of Alzheimer’s disease (AD) and related dementias (ADD) provides a unique opportunity for a better understanding of the associated pathophysiological processes. We performed a two-stage
genome-wide association study totaling 111,326 clinically diagnosed/‘proxy’ AD cases and 677,663 controls. We found 75 risk loci, of which 42 were new at the time of analysis. Pathway enrichment analyses confirmed the involvement of amyloid/tau pathways and highlighted microglia implication. Gene prioritization in the new loci identified 31 genes that were suggestive of new genetically associated processes, including the tumor necrosis factor alpha pathway through the linear ubiquitin chain assembly complex. We also built a new genetic risk score associated with the risk of future AD/dementia or progression from mild cognitive impairment to AD/dementia. The improvement in prediction led to a 1.6- to 1.9-fold increase in AD risk from the lowest to the highest decile, in addition to effects of age and the APOE ε4 allele.","","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:c2331ae6-2396-4d71-b9cd-6a0e7a047b86","http://resolver.tudelft.nl/uuid:c2331ae6-2396-4d71-b9cd-6a0e7a047b86","Efficient Angle Estimation for MIMO Systems via Redundancy Reduction Representation","Zhang, Yu (Nanjing University of Aeronautics and Astronautics); Wang, Yue (George Mason University); Tian, Zhi (George Mason University); Leus, G.J.T. (TU Delft Signal Processing Systems); Zhang, Gong (Nanjing University of Aeronautics and Astronautics)","","2022","This paper proposes an efficient direction of departure (DOD) and direction of arrival (DOA) estimation method for multi-input multi-output (MIMO) systems. For uncorrelated scenarios, the redundancy of the covariance matrix is first exploited by establishing its concise representation through redundancy reduction, which transforms the original large-size covariance matrix into a smaller-size matrix without loss of useful angle information. Then, the resulting transformed matrix, which retains a salient structure, permits efficient two-dimensional (2D) angle estimators working on a reduced-size problem for DOD and DOA estimation. Compared with conventional subspace-based methods, the proposed method incorporating an appropriate 2D angle estimator is more computationally efficient and can achieve higher estimation accuracy for small numbers of snapshots and low signal-to-noise ratios, which are verified by simulation results.","DOD and DOA estimation; MIMO systems; redundancy reduction representation; transformation matrix construction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-10","","","Signal Processing Systems","","",""
"uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","http://resolver.tudelft.nl/uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","High-Voltage and High-Current IGBT Press-pack Module for Power Grid","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University)","","2022","On the basis of the development and application requirements of flexible DC transmission techniques, a 1 kA/10 kV half-bridge IGBT press-pack module is studied. The module is composed of three subunits in series, and each subunit consists of IGBT chips in parallel. In order to solve the problem of chips failure caused by non-uniform rigid-contacting pressure in the press-pack modules, the elastic-contacting structure is designed to ensure excellent electrical connection between chips and contact terminal. During the operating conditions, the heat generated by IGBT chips can induce the increasing of internal temperature of the module, affecting the reliability of the module. A cooling structure is introduced between the subunits to solve the heat dissipation problem of the module. In addition, the thermal analysis of subunit and the cooling structure is performed by using the finite element simulation, and the chip layout and water-cooling scheme are optimized. The testing of electrical parameters of the IGBT module is also conducted.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","http://resolver.tudelft.nl/uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","Effects of shell thickness on the thermal stability of Cu-Ag core-shell nanoparticles: A molecular dynamics study","Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Gao, Chenshan (Chongqing University; Southern University of Science and Technology); Liu, Yang (Harbin University of Science and Technology); Ye, H. (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Cu-Ag core-shell (CS) nanoparticle (NP) is considered as a cost-effective alternative material to nano silver sintering material in die attachment application. To further reduce the cost, the thickness of the Ag shell can be adjusted. Whereas the shell thickness will also affect the thermal stability of the Cu-Ag CSNPs. In this study, molecular dynamics simulation was applied to study the thickness effect on the thermal behavior of Cu-Ag CSNPs. The melting points of CSNPs and Pure NPs can be determined by the evolutions of Potential Energy (PE), and the Lindemann index (LI) of the system. The results indicated that the melting points of CS NPs were lower than monometallic NP and the melting point of CS NP is influenced by the size of the Cu core and the number of lattice mismatches. Moreover, the distribution of atoms’ LI showed that the premelting point is independent of shell thickness. However, the fraction of atoms that occurred premelting is increased with the decrease of the shell thickness. Otherwise, we also simulated the sintering process of double CS NPs with equal size.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:15dac625-50ea-4b52-9dc4-3ce22f454a2b","http://resolver.tudelft.nl/uuid:15dac625-50ea-4b52-9dc4-3ce22f454a2b","Angle Sensitive Optical Sensor for Light Source Tracker Miniaturization","Romijn, J. (TU Delft Electronic Components, Technology and Materials); Sanseven, Seçil (Student TU Delft); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2022","An angle sensitive optical sensor without conventional optics is presented in this article. The reported device omits the need for adding 3-D optics in postprocessing by monolithic integration of complimentary metal-oxide semiconductor compatible diffraction grating layers, which cuts down the fabrication costs and allows for miniaturization of these types of sensors. The sensor resolves angular information from a monochromatic light source over a single axis with a mean absolute accuracy of 0.6° in an investigated $\pm 26$° field-of-view using four unique pixels. This letter facilitates miniaturization of light source trackers, such as sun position sensors on small satellites of the future.","Optical sensors; angle sensitive pixels (ASPs); diffraction gratings; optical sensor; opto-electronic system; sun position sensor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-20","","","Electronic Components, Technology and Materials","","",""
"uuid:e4f86290-d379-4705-b828-685e64036f4f","http://resolver.tudelft.nl/uuid:e4f86290-d379-4705-b828-685e64036f4f","Students’ self-reported health and psychosocial status at home before and during COVID-19","Hamida, A.B. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","During the COVID-19 outbreak, university courses were shifted online and students spent the majority of their time inside their homes. However, staying indoors can affect students’ health due to the exposure to several environmental stressors, such as background noise, and/or inefficient ventilation, and/or insufficient lighting. Previous studies showed that the indoor environmental factors may cause health effects on students (physiological and psychological). Therefore, this research aimed at investigating the differences in students’ health and psychosocial status between before and during COVID-19. An online questionnaire survey was completed by first-year undergraduate university students in March 2019, 2020, and 2021. This questionnaire includes questions about time spent at home, psychosocial status, diseases, and home-related symptoms. The mean number of hours that students spent at home during the weekdays and on weekends were calculated, respectively. Besides, occurrence frequencies of psychosocial statuses were calculated for each year. Furthermore, a statistical analysis, including one-way ANOVA and Chi2, were performed to examine the differences between the three groups in terms of time spent at home, psychosocial statuses, diseases, and home-related symptoms. It is worthwhile to note that students spent significantly more time at home, during the COVID-19 pandemic in March 2021. Another notable result is that students’ mood and emotional states changed significantly over the three years; for example, fewer students reported to be active and inspired in 2021. Moreover, the home-related symptoms, such as headache and tiredness, significantly increased in 2021, compared with the other two years.","indoor environmental quality; self-reported health; home-related symptoms; students' home; psychosocial status; COVID-19 pandemic","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:66c2272f-74bc-44d1-a321-9b0807d830e3","http://resolver.tudelft.nl/uuid:66c2272f-74bc-44d1-a321-9b0807d830e3","CO2 monitoring to assess ventilation rate: practical suggestions from a laboratory study","Zhang, D. (TU Delft Indoor Environment); Ding, Er (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Several recent studies have demonstrated that ventilation plays an important role in the transmission of SARS-CoV-2 (the coronavirus that causes COVID-19) in public buildings, such as schools. However, there are no clear rules on how to assess the ventilation performance in classrooms, especially during a pandemic. Therefore, the main objective of this study was to develop guidance to assess the ventilation performance under different ventilation regimes. A full-scale laboratory study was conducted in the Experience room of the SenseLab, where CO2 concentrations were monitored at 19 locations (18 indoors and one outdoors) simultaneously and recorded every 30 seconds by HOBO® CO2 loggers. The experiment was conducted under four different ventilation regimes: ‘600 m3/h mixing’, ‘open windows’, ‘no ventilation’, and ‘open windows and door’. Each regime lasted 50 minutes, which is approximately the duration of one normal lesson at Dutch secondary schools. Six (three males and three females) healthy subjects were invited to participate in this experiment as CO2 sources. Results showed that CO2 concentrations varied significantly between different measurement locations in the same classroom, especially under natural ventilation conditions. This demonstrates the need of monitoring the CO2 concentration, next to outdoors, at more than one location in a classroom. The finding of this study could contribute to a standardized way of monitoring CO2 concentrations and the assessment of ventilation performance of an occupied space.","CO2 concentration; ventilation regimes; classrooms; monitoring guidance","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","http://resolver.tudelft.nl/uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","Visualisation of the airflow pattern of exhaled droplets in a classroom","Liu, Y.L. (Student TU Delft); Ortiz, Marco A. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","The airborne transmission of SARS-CoV-2 in educational buildings has raised concerns during the current COVID-19 pandemic. In this study, a portable fog generator system was designed and assembled to visualise the airflow pattern of exhaled droplets in a classroom. The system consists of five components: medium, fog generator, buffer, pump, and manikin head. The medium was made of glycol and demineralised water, which produced a fog composed of droplets. The fog was produced with the fog generator and passed through a pipe into the buffer for build-up. After accumulation, the fog is pumped through another pipe and is exhaled out of the mouth of the manikin. Experiments were conducted with the portable fog generator system in a simulated classroom under four different ventilation regimes: no ventilation, natural ventilation (open windows and door), mixing ventilation (600 m3/h), and a combination of natural + mixing ventilation. The experiments were recorded with a camera and analysed to determine the horizontal distance of the path taken by the fog and to measure the time it remained visible after exhalation from the mouth. During the experiments, it could be observed with the naked eye that the glycol droplets linger in the air longer than what was captured in the recordings. Not all the droplets were visible with the camera. The recordings showed that with open windows and door (natural ventilation), the droplets travelled the furthest distance (1.8 m) and stayed the longest in the air, while with mixing and natural ventilation, the droplets travelled the shortest (0.5 m) and stayed the least time in the air. These findings confirm that mechanical mixing ventilation increases the removal of aerosols in the air. It is concluded that the portable fog generator system provides a quick method in understanding the duration and distance droplets can travel after being exhaled.","Covid-19; SARS-CoV-2; aerosol; respiratory droplets; visualisation; laser; breathing","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:fa1e6cb4-6af7-4f35-bc73-c446afd8d68c","http://resolver.tudelft.nl/uuid:fa1e6cb4-6af7-4f35-bc73-c446afd8d68c","Dynamic synchromodal transport planning under uncertainty: A reinforcement learning approach","Zhang, Y. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","","","en","abstract","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:8dd67149-1ec1-4854-81be-701457962dec","http://resolver.tudelft.nl/uuid:8dd67149-1ec1-4854-81be-701457962dec","Households’ Earthquake Disaster Preparedness Behavior: The Role of Trust in and Help From Stakeholders","Ao, Yibin (Chengdu University of Technology); Tan, Liyao (Chengdu University of Technology); Zhong, Jinglin (Chengdu University of Technology); Zhang, Hongying (Chengdu University of Technology); Wang, Yan (Sichuan College of Architectural Technology); Wang, T. (TU Delft Design & Construction Management)","","2022","Earthquake is one of the most serious natural disasters. Taking scientific and reasonable earthquake preparedness measures can effectively reduce casualties and economic losses caused by earthquakes. It is important to understand how residents choose such earthquake preparedness measures to guide them accordingly. However, the current research has failed to address rural areas in developing countries and has inconsistency conclusions for two aspects related to stakeholders involved: the assistance the victims can get from stakeholders for applying earthquake preparedness measures and the trust in stakeholders’ disaster relief abilities. In this study, the rural residents affected by Wenchuan earthquake, Ya’an earthquake and Yibin earthquake were taken as the research objects, and 674 valid questionnaires were obtained through field household surveys. A Multinominal Logit Model (MNL) was constructed to explore the influence of villagers’ trust in the disaster relief ability of stakeholders and the help they can get from stakeholders on their preparedness behavior. The results show that the less trust the villagers have on the government and the community, and the more help they can get from the outside while preparing measures, the more inclined they are to take the disaster preparedness measures. Furthermore, the education level of villagers in earthquake-stricken areas has significant positive impacts on people’s earthquake preparedness behavior. People who are not born in rural areas are more likely to take earthquake preparedness measures. In addition, male, young and married villagers are more likely to take earthquake preparedness measures in their daily lives. This study enriches the theory of rural disaster prevention and mitigation, and provides reference for the practice of disaster prevention and mitigation in earthquake-stricken rural areas.","multi-stakeholders; MNL model; trust; help; villagers earthquake preparedness behavior","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:3713c378-9e59-48e5-bc39-a415762b938c","http://resolver.tudelft.nl/uuid:3713c378-9e59-48e5-bc39-a415762b938c","Output feedback stabilisation of an axially moving string subject to a spring-mass-dashpot","Wang, Jun-Min (Beijing Institute of Technology); Wang, J. (TU Delft Mathematical Physics; Beijing Institute of Technology); Zhang, Han-Wen (Beijing Institute of Technology)","","2022","In this paper, we consider the output feedback stabilisation of an axially moving string system subject to a spring-mass-dashpot boundary condition. By constructing an invertible backstepping transformation, we design a state feedback controller to stabilise the system. Next, we present an observer to estimate the states of the system, and based on the estimated states, we design an output-feedback controller. The closed-loop system is proved to be exponentially stable by Lyapunov analysis. Numerical simulations are presented to verify the effectiveness of the proposed controller.","backstepping; Moving string; PDE-ODE; spring-mass-dashpot; vibration control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mathematical Physics","","",""
"uuid:dc417e62-58bd-4bd7-b1fc-68662770e36e","http://resolver.tudelft.nl/uuid:dc417e62-58bd-4bd7-b1fc-68662770e36e","Hydrogenated Boron Phosphide with the excellent tunability of electronic properties and Current-Voltage responses","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Zhou, Quan (Chongqing University); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Shenzhen Institute of Wide-bandgap Semiconductors; Southern University of Science and Technology; Chongqing University); Wu, Qibao (ShenZhen Institute of Information Technology)","","2022","Combining the first principles calculations and the non-equilibrium Green’s function formalisms, we decipher the structural, electronic, and transport properties of boron phosphide (BP) with hydrogenation. Hydrogenated BP monolayer is an indirect semiconductor with a wide-bandgap of 3.76 eV that is favorable in power devices. We find that the electronic properties are dependent of the stacking orders and the binding strength of the AA-, AB-, and AE-stacked patterns are strongest in the investigated configurations. Under the external E-field, the bandgaps of hydrogenated BP bilayer show a quasi-parabolic function and a feature of the semiconductor-metallic transition. Besides, when we apply a tensile strain on hydrogenated BP bilayer, its bandgap linearly decreases with the increasing of the strain strength along the zigzag and armchair directions. The strain energies further confirm that hydrogenated BP has an excellent characteristic of elastic deformation, being independent of the stacking orders and strain orientation. The transport calculations exhibit various responses to the different two-probe configurations, which indicates that hydrogenated BP possesses the feature of transmission anisotropy. Owing to the nontrivial tunability and transport feature, the hydrogenated BP materials may have tremendous prospects to be applied in micro-/nano-devices with high consumption.","First principles calculations; NEGF; hydrogenation; Boron phosphide; External stimulus; Transport feature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:6ea56b4f-6e50-4296-899c-d79bdca6f4fc","http://resolver.tudelft.nl/uuid:6ea56b4f-6e50-4296-899c-d79bdca6f4fc","Time-Delay Margin and Robustness of Incremental Nonlinear Dynamic Inversion Control","Huang, Y. (Northwestern Polytechnical University); Zhang, Ye (Northwestern Polytechnical University); Pool, D.M. (TU Delft Control & Simulation); Stroosma, O. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2022","Nonlinear dynamic inversion (NDI) is a nonlinear feedback linearization technique that has been widely applied to flight control systems [1,2]. Using state feedback and the inverted nonlinear system dynamics, NDI can significantly reduce controller development costs by avoiding gain scheduling and Jacobian linearization at a multitude of operating points. However, the control performance of NDI is directly dependent on required detailed knowledge of the model. As a simplified and enhanced NDI method [3], incremental nonlinear dynamic inversion (INDI) [4,5] has been proposed to reduce the model dependency and improve the robustness against model uncertainties. Instead of using a global nonlinear model, in INDI the dynamic inversion is implemented on a locally linearized system model that is updated at every sampling period, for which the control input is calculated in an incremental manner. Unlike NDI, for which full knowledge of the complete system dynamics is needed, INDI only requires explicit knowledge of the system’s control effectiveness matrix.","","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:bd7c5adc-ed48-48af-a867-5d1dce769acf","http://resolver.tudelft.nl/uuid:bd7c5adc-ed48-48af-a867-5d1dce769acf","Efficient MSPSO Sampling for Object Detection and 6D Pose Estimation in 3D Scenes","Xing, Xuejun (University of Chinese Academy of Sciences); Guo, Jianwei (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Nan, L. (TU Delft Urban Data Science); Gu, Qingyi (Chinese Academy of Sciences); Zhang, Xiaopeng (Chinese Academy of Sciences); Yan, Dong Ming (Chinese Academy of Sciences)","","2022","The point pair feature (PPF) is widely used in industrial applications for estimating 6D poses of known objects from unrecognized point clouds. The key to the success of PPF matching is to establish correct 3D correspondences between the object and the scene, i.e., finding as many valid similar point pairs as possible. Thus, a set of reference points in the scene should be sampled and paired with other points in the scene to create point pair features. However, efficient sampling of scene point pairs has been overlooked in existing frameworks. The novelty of our approach is a new sampling algorithm for selecting scene reference points based on the multi-subpopulation particle swarm optimization (MSPSO) guided by a probability map. We also introduce an effective pose clustering and hypotheses verification method to obtain the optimal pose. Moreover, we optimize the progressive sampling for multi-frame point clouds to improve processing efficiency. The experimental results show that our method outperforms previous methods by 6.6%, 3.9% in terms of accuracy on the public DTU and LineMOD datasets, respectively. We further validate our approach by applying it in a real robot grasping task.","3D point cloud; 6D pose estimation; Clustering algorithms; Deep learning; Image segmentation; Multi-subpopulation particle swarm optimization; Point pair features; Pose estimation; Robot kinematics; Robustness; Three-dimensional displays","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-27","","","Urban Data Science","","",""
"uuid:54515fe1-4b56-4403-b28c-0f506509b578","http://resolver.tudelft.nl/uuid:54515fe1-4b56-4403-b28c-0f506509b578","Ventilation regimes of school classrooms against airborne transmission of infectious respiratory droplets: A review","Ding, Er (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Airborne transmission of small respiratory droplets (i.e., aerosols) is one of the dominant transmission routes of pathogens of several contagious respiratory diseases, which mainly takes place between occupants when sharing indoor spaces. The important role of ventilation in airborne infection control has been extensively discussed in previous studies, yet little attention was paid to the situation in school classrooms, where children spend long hours every day. A literature study was conducted to identify the existing ventilation strategies of school classrooms, to assess their adequacy of minimizing infectious aerosols, and to seek further improvement. It is concluded that school classrooms are usually equipped with natural ventilation or mixing mechanical ventilation, which are not fully capable to deal with both long-range and short-range airborne transmissions. In general, the required ventilation designs, including both ventilation rates and air distribution patterns, are still unclear. Current standards and guidelines of ventilation in school classrooms mainly focus on perceived air quality, while the available ventilation in many schools already fail to meet those criteria, leading to poor indoor air quality (IAQ). New ways of ventilation are needed in school classrooms, where the design should be shifted from comfort-based to health-based. Personalized ventilation systems have shown the potential in protecting occupants from aerosols generated within short-range contact and improving local IAQ, which can be used to compensate the existing ventilation regimes. However, more studies are still needed before such new ventilation methods can be applied to children in school classrooms.","Airborne transmission; Classrooms; Indoor air quality; Respiratory droplets; Ventilation","en","review","","","","","","","","","","","Indoor Environment","","",""
"uuid:d97970dd-cf83-47a8-8a6d-86edf007a040","http://resolver.tudelft.nl/uuid:d97970dd-cf83-47a8-8a6d-86edf007a040","Integrated Digital and Analog Circuit Blocks in a Scalable Silicon Carbide CMOS Technology","Romijn, J. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2022","The wide bandgap of silicon carbide (SiC) has attracted a large interest over the past years in many research fields, such as power electronics, high operation temperature circuits, harsh environmental sensing, and more. To facilitate research on complex integrated SiC circuits, ensure reproducibility, and cut down cost, the availability of a low-voltage SiC technology for integrated circuits is of paramount importance. Here, we report on a scalable and open state-of-the-art SiC CMOS technology that addresses this need. An overview of technology parameters, including MOSFET threshold voltage, subthreshold slope, slope factor, and process transconductance, is reported. Conventional integrated digital and analog circuits, ranging from inverters to a 2-bit analog-to-digital converter, are reported. First yield predictions for both analog and digital circuits show great potential for increasing the amount of integrated devices in future applications.","4H-SiC; integrated SiC electronics; silicon carbide; silicon carbide CMOS; wide bandgap","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:b34ab1cd-5302-46e0-ab30-b3ec4fee9a24","http://resolver.tudelft.nl/uuid:b34ab1cd-5302-46e0-ab30-b3ec4fee9a24","Event-Triggered Adaptive Fault-Tolerant Synchronization Tracking Control for Multiple 6-DOF Fixed-Wing UAVs","Zhang, Boyang (Air Force Engineering University China); Sun, Xiuxia (Air Force Engineering University China); Liu, Shuguang (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Deng, Xiongfeng (Anhui Polytechnic University)","","2022","In contrast with most existing results concerning unmanned aerial vehicles (UAVs) wherein two-degree or only attitude/longitudinal dynamics are considered, this article proposes an event-triggered cooperative synchronization fault-tolerant control (FTC) methodology for multiple fixed-wing UAVs whose dynamics are six-degree-of-freedom (DOF) with twelf-state-variables subject to actuator faults, modeling uncertainties, and external disturbances. More precisely, an event-triggering mechanism is devised to determine the time instants of updating control signals, which reduces the signal transmission burden, while saving on system resources. The Zeno phenomenon is excluded in the sense of guaranteeing that the time between two consecutive switchings is lower bounded by a positive constant. The actuator faults as well as the network induced errors are handled via the bound estimation approach and some well-defined smooth functions. By strict Lyapunov arguments, all closed-loop signals are proved to be semi-globally uniformly ultimately bounded (SGUUB) and the synchronization tracking errors of speed and attitude converge to a residual set around origin whose size can be made arbitrarily small through selecting appropriate design parameters.","Actuators; Aerodynamics; Angular velocity; Attitude control; Nonlinear dynamical systems; Synchronization; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:4f3ad93c-f134-4a63-870c-53cb7da06ff5","http://resolver.tudelft.nl/uuid:4f3ad93c-f134-4a63-870c-53cb7da06ff5","Fuzzy Adaptive Constrained Consensus Tracking of High-Order Multi-agent Networks: A New Event-Triggered Mechanism","Wang, Ning (Air Force Engineering University China); Wang, Ying (Air Force Engineering University China); Wen, Guanghui (Southeast University); Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University China); Zhang, Fan (Sun Yat-sen University)","","2022","This article aims to realize event-triggered constrained consensus tracking for high-order nonlinear multiagent networks subject to full-state constraints. The main challenge of achieving such goals lies in the fact that the standard designs [e.g., backstepping, event-triggered control, and barrier Lyapunov functions (BLFs)] successfully developed for low-order dynamics fail to work for high-order dynamics. To tackle these issues, a novel high-order event-triggered mechanism is devised to update the actual control input, lowering the communication and computation burden. More precisely, compared with the conventional event-triggered mechanism, not only the amplitudes of control signals and a fixed threshold are considered but a monotonically decreasing function is introduced to allow a relatively big threshold, while guaranteeing consensus tracking error to be small. Then, a high-order tan-type BLF working for both constrained and unconstrained scenarios is incorporated into the distributed adding-one-power-integrator design for the purpose of confining full states within some compact sets all the time. A finite-time convergent differentiator (FTCD) is introduced to circumvent the ``explosion of complexity.'' The consensus tracking error is shown to eventually converge to a residual set whose size can be adjusted as small as desired through choosing appropriate design parameters. Comparative simulations have been conducted to highlight the superiorities of the developed scheme.","Backstepping; Event-triggered control (ETC); full-state constraints; high-order multiagent networks; Laplace equations; Lyapunov methods; Navigation; Standards; Task analysis; Upper bound","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:89294b2e-4c67-4867-b405-19337f7162bd","http://resolver.tudelft.nl/uuid:89294b2e-4c67-4867-b405-19337f7162bd","Deep-Learning-Based Compliant Motion Control of a Pneumatically-Driven Robotic Catheter","Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Ha, Xuan Thao (Katholieke Universiteit Leuven); Zhang, Yao (Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Borghesan, Gianni (Katholieke Universiteit Leuven); Niu, Kenan (Katholieke Universiteit Leuven); Trauzettel, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Menciassi, Arianna (Scuola Superiore Sant’Anna); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2022","In cardiovascular interventions, when steering catheters and especially robotic catheters, great care should be paid to prevent applying too large forces on the vessel walls as this could dislodge calcifications, induce scars or even cause perforation. To address this challenge, this paper presents a novel compliant motion control algorithm that relies solely on position sensing of the catheter tip and knowledge of the catheter's behavior. The proposed algorithm features a data-driven tip position controller. The controller is trained based on a so-called control Long Short-Term Memory Network (control-LSTM). Trajectory following experiments are conducted to validate the quality of the proposed control-LSTM. Results demonstrated superior positioning capability with sub-degree precision of the new approach in the presence of severe rate-dependent hysteresis. Experiments both in a simplified setup as well as in an aortic phantom further show that the proposed approach allows reducing the interaction forces with the environment by around 70%. This work shows how deep learning can be exploited advantageously to avoid tedious modeling that would be needed to precisely steer continuum robots in constrained environments such as the patient's vasculature.","Catheters; compliant motion control; Force; hysteresis; Hysteresis; LSTM; Motion control; pneumatic artificial muscle; Robot kinematics; Robot sensing systems; robotic catheter; Robots","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-27","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7db95ec2-6ff6-464b-af18-545a218d0a16","http://resolver.tudelft.nl/uuid:7db95ec2-6ff6-464b-af18-545a218d0a16","Built Environment Impacts on Rural Residents’ Daily Travel Satisfaction","Li, Haimei (Chengdu University of Technology); Zhang, Yuting (Chengdu University of Technology; Southwest Jiaotong University); Ao, Yibin (Chengdu University of Technology); Wang, Yan (Sichuan College of Architectural Technology); Wang, T. (TU Delft Design & Construction Management); Chen, Yunfeng (Purdue University)","","2022","The rapid urbanization in China urges scholars to investigate the impacts of built environment on the level of travel satisfaction of rural residents to improve their quality of life and make planning exercises more human-centric. This study samples six villages out of the 25 top rural areas in Chengdu, Sichuan, China, as the research object and constructs a structural equation model to explore the direct and indirect impacts of the built environment on daily travel satisfaction of rural residents. The research finds that building density (0.609), road density (0.569), the number of accessible markets (0.314), and private car ownership (0.02) have significant positive impacts on travel satisfaction. Public transport (−0.063) has a direct negative impact on travel satisfaction. Consequently, in order to further improve travel satisfaction, construction departments and rural planners should improve the building and road densities of new rural areas and increase the number of accessible markets. The convenience of rural public transport services also needs improvement.","travel preference; travel satisfaction; rural China; travel mode; built environment","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:f52fd38d-aae3-405a-a8e6-224b1b558863","http://resolver.tudelft.nl/uuid:f52fd38d-aae3-405a-a8e6-224b1b558863","Image Search Engine by Deep Neural Networks","Yao, Y. (Student TU Delft); Zhang, Q. (Student TU Delft); HU, Y. (Student TU Delft); Meo, C. (TU Delft Signal Processing Systems); Wang, Y. (TU Delft Signal Processing Systems); Nanetti, Andrea (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","We typically search for images by keywords, e.g., when looking for images of apples, we would enter the word “apple” as query. However, there are limitations. For example, if users input keywords in a specific language, then they may miss results labeled in other languages. Moreover, users may have an image of the object they want to obtain more information about, e.g., a landmark, but they may not know the name of it. In such scenario, word-based search is not adequate, while imagebased search would be ideally suited. These needs drive us to develop a purely content-based image search engine, meaning that users can search images with an image as query. Motivated by this use case with numerous applications, in this paper we propose and validate an image query based search engine...","","en","conference paper","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:f4e1f94d-0ec8-4f4a-82be-07b1cd2385e2","http://resolver.tudelft.nl/uuid:f4e1f94d-0ec8-4f4a-82be-07b1cd2385e2","Barrier Function-based Safe Reinforcement Learning for Formation Control of Mobile Robots","Zhang, Xinglong (National University of Defense Technology); Peng, Yaoqian (National University of Defense Technology); Pan, W. (TU Delft Robot Dynamics); Xu, Xin (National University of Defense Technology); Xie, Haibin (National University of Defense Technology)","Pappas, George J. (editor); Kumar, Vijay (editor)","2022","Distributed model predictive control (DMPC) concerns how to online control multiple robotic systems with constraints effectively. However, the nonlinearity, nonconvexity, and strong interconnections of dynamic system models and constraints can make the real-time and real-world DMPC implementations nontrivial. Reinforcement learning (RL) algorithms are promising for control policy design. However, how to ensure safety in terms of state constraints in RL remains a significant issue. This paper proposes a barrier function-based safe reinforcement learning algorithm for DMPC of nonlinear multi-robot systems under state constraints. The proposed approach is composed of several local learning-based MPC regulators. Each regulator, associated with a local system, learns and deploys the local control policy using a safe reinforcement learning algorithm in a distributed manner, i.e., with state information only among the neighbor agents. As a prominent feature of the proposed algorithm, we present a novel barrier-based policy structure to ensure safety, which has a clear mechanistic interpretation. Both simulated and real-world experiments on the formation control of mobile robots with collision avoidance show the effectiveness of the proposed safe reinforcement learning algorithm for DMPC.","Regulators; Heuristic algorithms; Reinforcement learning; Prediction algorithms; Formation control; Safety; Mobile robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:5e5022f8-2ee1-4803-9716-37d0ca5c399a","http://resolver.tudelft.nl/uuid:5e5022f8-2ee1-4803-9716-37d0ca5c399a","Electron-Irradiation-Induced Degradation of Transfer Characteristics in Super-Junction VDMOSFET","Jiang, Jing (Fudan University); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Interactive Intelligence; Southern University of Science and Technology); Liu, Jianhui (Sky Chip Interconnection Technology Co); Li, Jun (Sky Chip Interconnection Technology Co); Zhou, Dexiang (Sky Chip Interconnection Technology Co); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Chongqing University); Tan, C. (TU Delft Electronic Components, Technology and Materials; Chongqing University)","","2022","High electric-field stress is an effective solution to the recovery of irradiated devices. In this paper, the dependence of the recovery level on the magnitude of gate voltage and duration is investigated. Compared with the scheme of high gate-bias voltage with a short stress time, the transfer characteristics are significantly recovered by applying a low electric field with a long duration. When the electric field and stress time are up to a certain value, the threshold voltage almost approaches the limitation, which is less than that before irradiation. Meanwhile, the effect of temperature on the recovery of the irradiated devices is also demonstrated. The result indicates that a high temperature of 175 °C used for the irradiated devices’ annealing does not play a role in promoting the recovery of transfer characteristics. In addition, to obtain a deep-level understanding of threshold degradation, the first-principles calculations of three Si/SiO2 interfaces are performed. It is found that new electronic states can be clearly observed in the conduction bans and valence bands after the Si-H/-OH bonds are broken by electron irradiation. However, their distribution depends on the selection of the passivation scheme. Ultimately, it can be observed that the threshold voltage linearly decreases with the increase in interface charge density. These results can provide helpful guidance in the deep interpretation of threshold degradation and the recovery of the irradiated super-junction devices.","electron irradiation; transfer characteristics; degradation; Si/SiO2 interface; first-principles calculation; interface charge density; threshold variation","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:e8112a81-aa24-48b6-b463-66cd7dc7b814","http://resolver.tudelft.nl/uuid:e8112a81-aa24-48b6-b463-66cd7dc7b814","Impact of Institutional Pressures on External Program Manager Involvement: Evidence from Large Projects in China","Liu, Mingqiang (Tongji University); Zhu, Yongsong (Tongji University); Wei, Jianjun (Shanghai Shenkang Hospital); Le, Yun (Tongji University); Zhang, X. (TU Delft Design & Construction Management; Tongji University)","","2022","External program manager involvement can provide professional and systematic management services to owners. It can help to manage and coordinate different subprojects and ensure the achievement of the overall strategic goals of large projects. The promotion of external program manager involvement is not only efficiency-driven, but the institutional environment also has a significant impact. This study developed a theoretical model to explain how external program manager involvement is influenced by external institutional forces (coercive, mimetic, and normative pressures) and internal top management support. Based on a questionnaire survey, this study tested the research model through partial least-squares structural equation modeling. The empirical results revealed that coercive pressures have no significant impact on external program manager involvement; owners' top management support acts as a complete mediator between the mimetic pressures and external program manager involvement; normative pressures have the greatest impact on top management support and external program manager involvement, and top management support plays a key but partially mediating role. A direct contribution of this study is to reveal how different types of isomorphic pressures can be better exercised to facilitate the involvement of external program managers. Going further, by incorporating both external institutional pressures and internal top management support into the research model, this study provides insight into how organizations are influenced by external institutions to apply tools or approaches internally.","External program manager involvement; Institutional theory; Isomorphic pressures; Program management; Top management support","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:281edd71-6f3a-4662-a287-404201b6054c","http://resolver.tudelft.nl/uuid:281edd71-6f3a-4662-a287-404201b6054c","Implementation of Fully Coupled Electromigration Theory in COMSOL","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2022","In this paper, a 3D and fully coupled electromigration modeling is implemented using COMSOL. The fully coupled multi-physics theory has a unique set of partial differential equations, which cannot be directly simulated with the standard finite element software such as ABAQUS and ANSYS. With the weak form PDE modulus in COMSOL, the weak form of the governing equations is obtained and realized for a 3D finite element modeling of electromigration. The metal lines under totally constrained and stress-free conditions with a perfectly blocking condition are presented as benchmark problems, in which the finite element solutions are in excellent agreement with the analytical solutions.","Keywords-electromigration; general coupling theory; multiphysics modeling; finite element analysis","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","http://resolver.tudelft.nl/uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","Simulation and Verification or Cu@Ag Core-shell Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","O'Conner, L. (editor)","2022","With the increasing application of wide bandgap materials such as silicon carbide and gallium nitride in power devices, the working temperature of power devices has been pushed further. Therefore, it brings higher requirements for packaging materials. Sintered silver is a widely accepted chip connection material. However, silver suffers from high prices and electromigration. Therefore, a novel sintered material based on silver-copper core-shell structured particles raises the attention of researchers to solve this deficiency. To accelerate the development of new materials and their related processes, a four-sphere model of the silver-coated copper structure is established in this paper. The mathematical relationship between the porosity and thermal conductivity of sintered body and the actual sintering process was preliminarily established through the calculation based on a series of FEM simulations. The model was further validated through experiments. The modeling method and conclusion are utilized for future process adjustment, which is of great significance to accelerate the development, application, and reliability of new packaging materials.","die-attach material; power electronic packaging; simulation; Cu@Ag particles","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:04f563e0-5093-4bf4-887b-5ed07c0c9b3a","http://resolver.tudelft.nl/uuid:04f563e0-5093-4bf4-887b-5ed07c0c9b3a","Determinants of villagers’ satisfaction with post-disaster reconstruction: Evidence from surveys ten years after the Wenchuan earthquake","Ao, Yibin (Chengdu University of Technology); Zhong, Jinglin (Chengdu University of Technology); Zhang, Zijun (Chengdu University of Technology); Han, Lili (Chengdu University of Technology); Wang, Yan (Sichuan College of Architectural Technology); Chen, Yunfeng (Purdue University); Wang, T. (TU Delft Design & Construction Management)","","2022","Residents’ satisfaction with post-disaster reconstruction in earthquake-stricken areas directly affects their quality of life, which cannot be ignored in post-disaster reconstruction. More than 10 years after the Wenchuan earthquake, we took ten randomly selected villages in the five areas hardest-hit by the Wenchuan earthquake as research objects and obtained 483 valid completed questionnaires. The villagers were randomly sampled and descriptive statistical analysis, factor analysis, and ordered logistic regression were used to explore the factors and relationships influencing villagers’ satisfaction with post-disaster reconstruction in Wenchuan earthquake-stricken areas. The results show that: 1) the more rural residents know about the post-disaster reconstruction, the greater their level of satisfaction; 2) the more the annual income of families increases after resettlement, the greater the satisfaction of rural residents with the post-disaster reconstruction; 3) six public factors, namely the village committee acts as, housing construction quality, public service, policy of benefiting farmers, cultural environment, and hardware environment, all significantly positively affect residents’ overall satisfaction with post-earthquake reconstruction. This study thus enriches the theory of residents’ satisfaction studies and the practice of post-earthquake reconstruction.","earthquake-stricken area; post-disaster reconstruction; satisfaction analysis; factorial analysis; ordered logistic regression","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:ccc9e53c-1e3c-4f58-ba4a-09b8668b7f78","http://resolver.tudelft.nl/uuid:ccc9e53c-1e3c-4f58-ba4a-09b8668b7f78","Safety-oriented planning of expressway truck service areas based on driver demand","Ding, Wenlong (Tongji University); Wang, Y. (TU Delft Civil Engineering & Geosciences); Chu, Pengzi (Tongji University); Chen, Feng (Tongji University); Song, Yongchao (Chongqing Jiaotong University); Zhang, Ning (University of California); Lin, Dong (University of Aberdeen)","","2022","The rapid development of the economy has promoted the growth of freight transportation. The truck service areas on expressways, as the main places for truck drivers to rest, play an important role in ensuring the driving safety of trucks. If these service areas are constructed densely or provide a plentiful supply of parking areas, they are costly to construct. However, if the distance between two adjacent truck service areas is very large or the number of truck parking spaces in service areas is small, the supply will fail to meet the parking needs of truck drivers. In this situation, the continuous working time of truck drivers will be longer, and this is likely to cause driver fatigue and even traffic accidents. To address these issues, this paper established a non-linear optimization model for truck service area planning of expressways to optimize truck driving safety. An improved genetic algorithm is proposed to solve the model. A case study of a 215.5-kilometers-length section of the Guang-Kun expressway in China was used to demonstrate the effectiveness of the model and algorithm. As validated by this specific case, the proposed model and solution algorithm can provide an optimal plan for the layout of truck service areas that meet the parking needs of truck drivers while minimizing the service loss rate. The research results of this paper can contribute to the construction of truck service areas and the parking management of trucks on expressways.","expressway driving safety; improved genetic algorithm; non-linear optimization model; service loss rate; truck service areas","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","","","",""
"uuid:7fcaacb0-a534-44a7-91f9-26ece68e97f4","http://resolver.tudelft.nl/uuid:7fcaacb0-a534-44a7-91f9-26ece68e97f4","Optical ventricular cardioversion by local optogenetic targeting and LED implantation in a cardiomyopathic rat model","Nyns, Emile C.A. (Leiden University Medical Center); Jin, T. (TU Delft Electronic Components, Technology and Materials); Fontes, Magda S. (Leiden University Medical Center); van den Heuvel, Titus (Leiden University Medical Center); Portero, Vincent (Leiden University Medical Center); Bart, Cindy I. (Leiden University Medical Center); Zeppenfeld, Katja (Leiden University Medical Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials)","","2022","AIMS: Ventricular tachyarrhythmias (VTs) are common in the pathologically remodelled heart. These arrhythmias can be lethal, necessitating acute treatment like electrical cardioversion to restore normal rhythm. Recently, it has been proposed that cardioversion may also be realized via optically controlled generation of bioelectricity by the arrhythmic heart itself through optogenetics and therefore without the need of traumatizing high-voltage shocks. However, crucial mechanistic and translational aspects of this strategy have remained largely unaddressed. Therefore, we investigated optogenetic termination of VTs (i) in the pathologically remodelled heart using an (ii) implantable multi-LED device for (iii) in vivo closed-chest, local illumination. METHODS AND RESULTS: In order to mimic a clinically relevant sequence of events, transverse aortic constriction (TAC) was applied to adult male Wistar rats before optogenetic modification. This modification took place 3 weeks later by intravenous delivery of adeno-associated virus vectors encoding red-activatable channelrhodopsin or Citrine for control experiments. At 8-10 weeks after TAC, VTs were induced ex vivo and in vivo, followed by programmed local illumination of the ventricular apex by a custom-made implanted multi-LED device. This resulted in effective and repetitive VT termination in the remodelled adult rat heart after optogenetic modification, leading to sustained restoration of sinus rhythm in the intact animal. Mechanistically, studies on the single cell and tissue level revealed collectively that, despite the cardiac remodelling, there were no significant differences in bioelectricity generation and subsequent transmembrane voltage responses between diseased and control animals, thereby providing insight into the observed robustness of optogenetic VT termination. CONCLUSION: Our results show that implant-based optical cardioversion of VTs is feasible in the pathologically remodelled heart in vivo after local optogenetic targeting because of preserved optical control over bioelectricity generation. These findings add novel mechanistic and translational insight into optical ventricular cardioversion.","In vivo; Optogenetics; Remodelling; Ventricular tachycardias","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:d801dcfd-1b30-4823-89f1-37218a9ec4c3","http://resolver.tudelft.nl/uuid:d801dcfd-1b30-4823-89f1-37218a9ec4c3","Guest Editorial Learning From Noisy Multimedia Data","Zhang, Jian (University of Technology Sydney); Hanjalic, A. (TU Delft Intelligent Systems); Jain, Ramesh (University of California); Hua, Xiansheng (Alibaba Damo Academy); Satoh, Shin'ichi (National Institute of Informatics); Yao, Yazhou (Nanjing University of Science and Technology); Zeng, Dan (Shanghai University)","","2022","This special issue provides a premier forum for researchers in multimedia big data to share challenges and recent advancements in learning from noisy multimedia data. The multimedia age and its proliferation of devices and platforms is fueling exponential data growth. As computational power and deep learning algorithms rapidly evolve, the web has become a rich source of potential training data for robust machine learning, with search engines such as Google and Bing, Twitter, TikTok, Instagram, and short video sharing platforms offering large-scale data points in the hundreds of millions. The concurrent shift in the Internet to richer web data modalities such as text, audio, image, and video reveal further opportunities to leverage large-scale data for the automatic construction of a variety of datasets for model training and testing. However, the ubiquity of multimedia data means noise is a fundamental challenge, with a label noisea and a domain mismatcha the most critical issues in automatically collected datasets. Learning from noisy multimedia data tends towards poor performance, making it increasingly essential to address these challenges.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Intelligent Systems","","","",""
"uuid:d171f61f-57a8-4ee7-9ce5-f76ba3c90f64","http://resolver.tudelft.nl/uuid:d171f61f-57a8-4ee7-9ce5-f76ba3c90f64","Slab track-bridge interaction subjected to a moving train: an improved matrix formulation and truncation method","Zhang, Qiang (Student TU Delft); Zhang, X. (TU Delft Geo-engineering); Xu, Lei (Central South University China)","","2022","Modelling slab track-bridge interaction subject to a moving train usually involves solving complex high-dimensional matrix equations which is time-consuming. This research works to optimize the auto-assembling process in the slab track-bridge coupling matrices formulation and improve the computational efficiency by truncating the dynamic matrices used in time integral scheme. To achieve the above goals, the key issue is to appropriately couple the systems’ dynamic matrices in conditions where the elemental sizes of the track slab and the bridge are inconsistent in 3-D space. Besides, by firstly clarifying the degrees of freedom vector of the rail, the track slab and the bridge girder participated in each time step, dynamic matrices characterizing the train-slab track-bridge interaction are truncated with time to reduce the matrix size. This present study has demonstrated the solutions for above problems. Apart from model validations, some numerical examples are presented to show applicability of the proposed methods.","finite elements model; matrix truncation; moving train; numerical simulation; Railway engineering dynamics; slab track-bridge interaction","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:7e1b5401-6f16-4017-855c-dcece1179797","http://resolver.tudelft.nl/uuid:7e1b5401-6f16-4017-855c-dcece1179797","A Comprehensive Study on the Rejuvenation Efficiency of Compound Rejuvenators for the Characterization of the Bituminous Binder, Mortar, and Mixture","Li, Mingliang (Research Institute of Highway Ministry of Transport); Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Wu, Zhe (Jiangsu Aoxin Science & Technology); Zhang, Haopeng (Sinopec Fuel Oil Shandong); Fan, Weiyu (China University of Petroleum (East China)); Lin, P. (TU Delft Pavement Engineering); Xu, Jian (Research Institute of Highway Ministry of Transport)","","2022","This study aims to comprehensively investigate the rejuvenation efficiency of various self-developed compound rejuvenators on the physical, mechanical, and aging properties of aged bitumen, asphalt mortar, and mixture. The results revealed that the restoration capacity of vacuum distilled-oil rejuvenators on high-and-low temperature performance-grade of aged bitumen is more significant. In contrast, an aromatic-oil based rejuvenator is good at enhancing low-temperature grade and aging resistance. Moreover, the temperature and time of the curing conditions for mixing recycling of asphalt mixture were optimized as 150 °C and 120 min. Furthermore, the sufficient anti-rutting, structural stability, and moisture resistance of recycled asphalt mixture affirmed the rejuvenation efficiency of compound rejuvenators.","rejuvenation efficiency; compound rejuvenator; rejuvenated bitumen; asphalt mortar; asphalt mixture","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:595863ab-e156-4e03-8f28-5b1863c9bed3","http://resolver.tudelft.nl/uuid:595863ab-e156-4e03-8f28-5b1863c9bed3","Harmonic Balance Method for the stationary response of continuous systems with nonlinear hysteretic damping under harmonic excitation","Zhang, J.; Faragau, Andrei B. (TU Delft Dynamics of Structures); van der Esch, I.A.; Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2022","Under harmonic excitation, soil exhibits softening behaviour that can be captured through the so-called hyperbolic soil model. The response of systems with such a material model can elegantly be obtained using the classical Harmonic Balance Method (HBM). Soil also exhibits nonlinear hysteretic damping under harmonic excitation, feature which is not incorporated in the hyperbolic soil model. The response of a system that includes also the nonlinear hysteretic damping cannot be obtained using the classical HBM. This work demonstrates the application of an advanced HBM (more specifically, alternating frequency-time HBM) for finite and infinite systems that exhibit softening behaviour and nonlinear hysteretic damping. The purpose of this model is to, in the future, investigate the influence of the nonlinear hysteretic damping on the response of such systems, as opposed to linear viscous or hysteretic damping that is usually adopted. To conclude, we show that the advanced HBM is an effective tool for revealing fundamental characteristics of continuous systems with softening behaviour and nonlinear hysteretic damping whose stationary responses consist of either standing or propagating waves.","","en","abstract","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:02201e61-d511-4448-b594-79391f46dafd","http://resolver.tudelft.nl/uuid:02201e61-d511-4448-b594-79391f46dafd","A Leaky Enhanced Photo-Conductive Connected Array for Broadband Generation of THz Power","Bueno Lopez, J. (TU Delft Electronics); Huiskes, M. (Student TU Delft); Zhang, H. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2022","Photoconductive antennas are devices that provide power up to THz frequencies at a relatively low cost. However, the power radiated by each antenna is typically quite low and arrays have been proposed to increase it. In this paper we present the design of a leaky enhanced array architecture that surpasses the state of the art as it operates efficiently for frequencies up to 1THz, without excessive complications in the manufacturing. This architecture is compared with a ‘standard’ array, showing a broader bandwidth and a higher emitted detected signal.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:3016424c-d72a-4867-9cdb-82921d50f4ad","http://resolver.tudelft.nl/uuid:3016424c-d72a-4867-9cdb-82921d50f4ad","The influencing factors of evaporation residue of emulsified modified asphalt to optimize the environmental adaptability","Lin, Yan; Qian, Chengduo (China University of Petroleum (East China)); Shi, Jingtao; Zhang, Yuzhen; Ren, S. (TU Delft Pavement Engineering); Nan, Guozhi (China University of Petroleum (East China)); Kong, Xiangjun; Fan, Weiyu (China University of Petroleum (East China))","","2022","Compared with traditional asphalt, emulsified asphalt occurs to be a better low-temperature usability and environmental adaptability, which can reduce environmental pollution and energy consuming during road construction. The low-temperature ductility is a very important indicator to evaluate the environmental adaptability of emulsified asphalt. However, the relevance between microstructure and low-temperature ductility of modified asphalt is still rarely reported. Herein, we reported the first successful unfolding of the microscopic mechanism of ductility attenuation of the modified emulsified asphalt by combining experiments and characterization: Emulsifiers and additives had greater influence on the low-temperature ductility. Anionic and cationic emulsifiers had the similar ductility loss. Adding a non-ionic emulsifier OP-10 could be a solution to improve the ductility. The effect of the temperature change occurred less significant compared to the pH evolution of surfactant solution. The grey relation entropy (GRE) analysis revealed that the emulsifier structure (C/H ratio) would be the most important factor to affect the low-temperature ductility. The macro, micro and nano scales of modified emulsified asphalt were correlated, revealing in-depth that the aggregation and inter-chains interaction of styrene-butadiene-styrene (SBS) were the internal mechanism leading to the ductility loss. The change of glass transition temperature (Tg) was proposed to correlate the microstructural factor with the
ductility. Moreover, the combination of ductility test and bending beam rheometer (BBR) test could be an effective way to evaluate the low-temperature performance of asphalt.","Modified emulsified asphalt; Environmental adaptability; Evaporation residue; Ductility; SBS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","http://resolver.tudelft.nl/uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","An Alternative Micro LED Mass Transfer Technology: Self-Assembly","Ji, Liangzheng (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University)","","2022","Micro LED display technology has been spotlighted as the most promising technology compared to LCD and OLED. Its excellent advantages include higher brightness, self-illumination, higher resolution, lower power consumption, faster response, higher integration, higher stability, thinner thickness, longer life, etc. In terms of the unique benefits, it is attracting increasing attention from industries. With the commercialization of Micro LED technology, the following hurdles are identified: wafer manufacturing, full color, bonding, and mass transfer. Among them, mass transfer is so far considered as the most severe bottleneck. Several mass transfer technologies have emerged, including fine picking and placing, roll printing, laser transferring, and fluid self-assembly, which aim to solve the mass transfer problems. However, the aforementioned first 3 types of technologies still rely on the pick-and-place process, which is limited when the Micro LED die dimension shrinks to smaller scales due to processability and equipment precision. Fluidity self-assembly, on the other hand, will not be constrained by the Micro LED size and machine accuracy in the mass transfer process, which received increasing attention from researchers. In the self-assembly of component level, gravitational attraction, magnetic /electromagnetic fields, and capillary force are considered the mainstream force to facilitate the assembly process. Therefore, the component self-assembly becomes a prospective substitute for the Micro LED mass transfer solution, which overcomes the problems of the trade-off between throughput and the placement accuracy of the pick-and-place technology.","Micro LED; Mass transfer; Pick-and-place; Selfassembly","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","http://resolver.tudelft.nl/uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","Finite Element Modeling for Thermal Conductivity of Cement-based Encapsulation Materials","Gao, Hanyan (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhu, Yingcan (University of Southern Queensland); Guo, Ruiqian (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo; Yiwu Research Instiute of Fudan)","","2022","With the trend of miniaturization and the increasing power density, the operating temperature of electronic devices keeps climbing, especially for wide band-gap semiconductors such as silicon carbide and gallium nitride. The high operating temperature up to 250℃ brings challenges to encapsulation materials since traditional encapsulation materials such as epoxy resins and silicone gels hardly bear temperatures above 200℃. Calcium aluminate cement (CAC) was proved to be a promising encapsulation material, which owns high thermal stability with its operating temperature of up to 300℃. Based on its satisfied thermal stability and low cost, the thermal conductivity of CAC was researched in this work with different ratios of 10-μm-sphere-Alumina (Al 2 O 3 ) fillers at different temperatures, which formed μm-scale CAC-Al 2 O 3 composites. In this work, we focused on the thermal conductivity of CAC-Al 2 O 3 composites aiming for encapsulation applications in power electronics packaging. The thermal conductivities of μm-scale CAC-Al 2 O 3 composites by the laser-flash method from room temperature to 350℃ were firstly measured. Results showed with an increasing content of fillers, the TC of CACAl 2 O 3 will increase accordinglyIt also illustrated that calcium aluminate cement was a high thermal stable encapsulation material with thermal conductivity over epoxy resins. Then, the Finite Element Model (FEM) was established and calibrated by experimental data for thermal conductivity simulation. The FEM model accuracy reached 90%. Such models for new filler materials are effective to minimize material development by actual experiments and characterizations, for CAC composite with different fillers. It also provides an alternative method in predicting other physical properties of composites such as coefficient of thermal expansion, porosity, etc.","Encapsulation; Finite element modeling; Cement; Thermal conductivity; Electronic packaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","http://resolver.tudelft.nl/uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","Review of Laser Sintering of Nanosilver Pastes for Die Attachment: Technologies and Trends","Chen, Haixue (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo)","","2022","Nanosilver pastes have been regarded as the most promising die-attach materials for high-temperature and high-power applications due to their advantages such as excellent thermal conductivity, electrical conductivity, high temperature resistance, and good shear strength. However, the common hot pressing sintering process for nanosilver pastes has the limitations of long sintering time and complicated sintering processes. Thus, laser sintering has been proposed as a rapid sintering method that attracts increasing interest due to its advantages of high energy density, fast temperature rise, easy densification, etc. In this review, the recent advances in laser sintering processes were summarized, including pressure laser sintering, backside sintering, and hybrid bimodal laser sintering. The effects of various laser sintering process parameters on joint performance, such as laser power, sintering pressure, irradiation time, and defocusing amount, were further discussed. The rapid sintering mechanism of laser sintering silver nanoparticles(AgNPs) was revealed, while microscopic explanations need to be further explored. This review provided ideas and methods for subsequent researchers to develop rapid sintering methods for power electronic packaging.","Nanosilver; Laser sintering; Die Attachment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:90448b18-bbfa-45f3-8b55-ee1fdd80a3b8","http://resolver.tudelft.nl/uuid:90448b18-bbfa-45f3-8b55-ee1fdd80a3b8","A Crystal-Less Clock Generation Technique for Battery-Free Wireless Systems","Chang, Ziyi (Zhejiang University); Zhang, Yunshan (Zhejiang University); Yang, Changgui (Zhejiang University); Luo, Yuxuan (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Chen, Yong (University of Macau); Zhao, Bo (Zhejiang University)","","2022","The size of wireless systems is required to be reduced in many applications, such as ultra-low-power sensor nodes and wearable/implantable devices, where battery and crystal are the two main bottlenecks in system miniaturization. In recent years, battery-free radios based on wireless power transfer (WPT) have shown great potential in miniature wireless systems, while a reliable on-chip clock without a crystal remains a design challenge. Conventional methods utilized the RF WPT tone as the reference for clock generation, but the high RF frequency leads to high power consumption. In comparison, using a lower WPT frequency results in an antenna with a larger size. In this work, the 2nd-order inter-modulation (IM2) component of the two RF WPT tones is extracted to lock an on-chip oscillator, providing a low-jitter PVT-robust clock. In this way, the wireless systems can benefit from: 1) The clock recovery circuits operate at a low IM2 frequency, reducing the power consumption. 2) The WPT can be set to a high RF frequency to minimize the antenna. Fabricated in 65 nm CMOS process, the proposed crystal-less clock generator takes a small area of 0.023 mm2 in a wireless system chip. Measured results show -92 dBc/Hz@10 kHz phase noise and 6.8 μ W power.","Antennas; Battery-free; clock generator; Clocks; Crystals; Generators; injection locking; inter-modulation; Radio frequency; System-on-chip; Wireless communication; wireless power transfer (WPT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","http://resolver.tudelft.nl/uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","Bonding Process of Copper Foam-Silver Composite and Performance Characterization of the Joint","Lv, Guoping (Guilin University of Electronic Technology); Yan, Haidong (Zhejiang University); Yan, Haidong (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Wu, Xinke (Zhejiang University); Sheng, Kuang (Zhejiang University); Liu, Chaohui (National New Energy Vehicle Technology Innovation); Zhang, Yakun (National New Energy Vehicle Technology Innovation); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","As a key heat-dissipating and electrical interconnecting component in high-temperature power modules, die-attach and substrate-attach layers play an important role in effectively reducing the thermal resistance and improving the long-term reliability. Traditional substrate-attach materials limit the high-temperature applications of packaging modules due to their high thermal resistance and high-temperature reliability. To solve the above deficiency, a copper foam-silver composite was proposed in this paper, which was prepared by mixing copper foam solid skeleton with micron silver paste. According to the results of thermogravimetric analysis (TGA) of silver paste, the preheating process was determined and sintered at 270°C and 10MPa. The influence of different preparation technology on the quality of sintered joint was investigated. The morphology characteristics and distribution of sintered silver in the copper foam were observed by scanning electron microscope (SEM). The results show that the sintered silver of group C samples can be uniformly filled into the solid skeleton of copper foam, and the densification degree is high, without cracks, delamination, and holes. The shear strength can reach 55MPa.","large-area bonding; copper foam-Ag composite film; preparation process","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","http://resolver.tudelft.nl/uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","Inactivation of Escherichia coli and Staphylococcus aureus by using a UVC-LED module with a multi-wavelength setting","Lu, Zhiwei (Guilin University of Electronic Technology); Li, Xiaoling (Guilin University of Electronic Technology); Wei, Jinxiu (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors)","","2022","UVC-LED is known as a deep ultraviolet LED. The application development and disinfection efficiency of UVC-LED modules are important problems encountered when UVC-LED products are rushed into commercialization. In this article, a specific disinfection experiment with a UVC-LED module was combined to analyze the disinfection efficiency. UVC-LEDs with wavelengths of 260 and 280 nm were used and supplemented with UVA-LEDs with wavelengths of 360 and 390 nm. The module was packaged to investigate the inactivation of Escherichia coli and Staphylococcus aureus. Two new findings were obtained through the analysis and comparison of the experiments. First, the short wavelength from UVA might have an enhanced destructive effect on microorganisms when the radiation intensity of UVA-LED was sufficient with coupling UVA and UVC. Second, 260 nm UVC-LED lamp beads might have a shorter response time to inactivate microorganisms than 280 nm UVC-LED lamp beads. Bactericidal experiments near the surface and different radiation distances showed that the inactivation rate reached 99.9% after 1 min of exposure when the UVC-LED module was set at 260 or 280 nm wavelength lamp beads for disinfection. The disinfection efficiency of 280 nm UVC-LED lamp beads was higher than that of 260 nm UVC-LED lamp beads because of the increased UV intensity. The radiation distance was within 7.5 cm range, the exposure time was 60 s, the inactivation rate was over 99.9%, and the disinfection effect was remarkable. For current UVC-LED applications, such as near-surface UVC-LED, disinfection and air purification products have a high value.","UVC-LED; disinfection experiment; inactivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","http://resolver.tudelft.nl/uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","Finite Element Analysis of Power Module Packages with One-step Molding for Power Inductors","Li, Xiao (Fudan University); Tang, Jiuyang (Fudan University); Zhao, Jiayan (Mazo Technology Company Limited); Li, Jinbing (Mazo Technology Company Limited); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","","2022","With the development of 5G communication technology and the rise of power semiconductors, the switching frequency of the circuit keeps increasing, which pushes for miniaturization of power modules and related components. Therefore, in this paper, a one-step molding technology was proposed for a DC/DC buck converter power module. We proposed a method of using Soft Magnetic Powder filled Epoxy (SMPE) adhesive as a molding material to encapsulate a power module, which is a DC/DC buck converter power module contains several passive components, 1 power inductor, and a high-efficiency switching regulator with two integrated N-channel MOSFETs. On the basis of Finite Element Method (FEM), models were firstly established with component level moldings and checked with actual module samples for calibration. Based on the calibrated model, inductors without component level molding were then simulated. SMPE with 4~7μm insulated carbonyl ferrous powder were prepared and measured the magnetic relative permeability. Such material was investigated to pot the whole power module as a one-step molding, instead of separate molding for the power inductor and the power module. After that, thermal analysis and inductance were calculated and compared.","Finite element simulation; Power module packaging; Relative Permeability; Thermal management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:c9d55bd9-c248-42e4-af84-8bfb93bd91ac","http://resolver.tudelft.nl/uuid:c9d55bd9-c248-42e4-af84-8bfb93bd91ac","Effect of crack width and wet-dry cycles on the chloride penetration resistance of engineered cementitious composite (ECC)","Sun, Renjuan (Shandong University); Lu, Wei (Shandong University); Tawfek, Abdullah M. (Shandong University; Sana'a University); Guan, Yanhua (Shandong University); Hu, Xinlei (Shandong University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2022","The resistance of cracked ECC against chloride ingress is mainly governed by the accumulated crack width of all the cracks rather than the maximum width of multiple cracks. However, most studies focus on the influence of a single fine crack","Engineered Cementitious Composites; Chloride penetration resistance; Crack width; Wet-dry cycles; Chloride binding isotherm; Microstructure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Materials and Environment","","",""
"uuid:5242b9a3-e59e-41f9-acaa-5bbbfc9bfb34","http://resolver.tudelft.nl/uuid:5242b9a3-e59e-41f9-acaa-5bbbfc9bfb34","Micro-Mechanical Properties of Slag Rim Formed in Cement–Slag System Evaluated by Nanoindentation Combined with SEM","Zhang, Y. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","Slag rim mainly consists of secondary precipitations such as C–S–H gel phase and hydrotalcite-like phase, which originate from the hydration of slag. In this paper, the micro-mechanical properties of slag rim were characterized by nanoindentation in combination with SEM. It was found that, compared to the C–S–H gel phase, slag rim showed about a 15 GPa higher modulus of elasticity. At the early age, slag cement paste mainly consisted of low-density C–S–H gel phase, high-density C–S–H gel phase, and unhydrated slag particles, as well as calcium hydroxide based on the results of nanoindentation; at the later age, the system contained C–S–H gel phase, slag rim (a mixture of C–S–H gel phase and hydrotalcite-like phase), and unhydrated slag particles.","slag rim; nanoindentation combined with SEM; elastic modulus; hydrotalcite-like phase","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:eeb13bf4-3bfe-42ab-940b-0fea851e63b4","http://resolver.tudelft.nl/uuid:eeb13bf4-3bfe-42ab-940b-0fea851e63b4","Training and assessing perspective taking through A Hole New Perspective","Band, Ruben (Student TU Delft); Lips, Maarten (Student TU Delft); Prawira, Julivius (Student TU Delft); van Schagen, Jurgen (Student TU Delft); Tulling, Simon (Student TU Delft); Zhang, Ying (Student TU Delft); Benaiss, Aicha A. (Universiteit Leiden); van der Ham, Ineke J.M. (Universiteit Leiden); Bueno Perez, M.R. (TU Delft Computer Graphics and Visualisation); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","","2022","In recent years, the use of Augmented and Virtual Reality (ARNR) has taken a larger role within training and education of various fields, but not every individual experiences the benefits that AR and VR technology are thought to provide. The perspective taking skill of an individual may be a good indicator of the effectiveness that AR and VR training can achieve. However, we found that the tests in other research targeting small scale perspective taking are few and limited, as perspective taking is often hard to distinguish from mental rotation. Therefore, we designed and developed A Hole New Perspective, a serious game created specifically to test and train an individual’s perspective-taking ability. A Hole New Perspective focuses on the relationship between a 3D object floating in the air and a 2D hole on a moving wall. The core game mechanics consists of having the player rotate the object so that it fits in the hole, before the wall hits the object. Because players do not always have an aligned view with the hole, they have to call on their spatial perspective-taking abilities, in addition to mental rotation. We tested the game with a variety of test subjects, and compared these test results with the Perspective Taking/Spatial orientation Test. Early results have shown that performance in the game corresponds to mental rotation ability. Furthermore, there are indications that improvement in spatial ability through the game is selectively present for those with lower spatial abilities. This further substantiates the need for a more individualized approach when offering AR and VR in education. Additional training may be needed for some, but not all students.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-20","","","Computer Graphics and Visualisation","","",""
"uuid:212f640a-cc9a-457d-b45a-9b5f21bfd7d4","http://resolver.tudelft.nl/uuid:212f640a-cc9a-457d-b45a-9b5f21bfd7d4","Dynamic mechanical analysis of (Ca,Sr)AlSiN3: Eu2+ phosphor/silicone composites aged under the temperature–humidity–sulfur coupled condition","Feng, Shuo (Fudan University); Jiang, Tao (Hohai University); Chen, Wei (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2022","As a core packaging material of light color conversion, phosphor/silicone composite plays an indispensable role in light emitting diode (LED) packaging. At present, commercial LED packages mainly use blue LED chips to stimulate Yttrium Aluminum Garnet (YAG) yellow phosphor to reach a white color. However, (Ca,Sr)AlSiN 3 :Eu 2+ (CSASN) red phosphor is often added to improve the color-rendering performance given the absence of red light emission spectrum. However, inevitably harsh working conditions can induce the degradation of CSASN red phosphor, which will directly influence the mechanical properties of its silicone composites and challenge the reliability of its LED packaging. In this study, the coupling effects of temperature–humidity–sulfur on the mechanical degradation of CSASN phosphor/silicone composites were considered. The prepared CSASN phosphor/silicone test samples were first aged under high-temperature, high-humidity, and high-sulfur conditions. A series of dynamic mechanical analysis tests were then conducted to qualitatively evaluate their mechanical properties. Finally, the dynamic tension process and interfacial cracking of CSASN phosphor/silicone composites were simulated by using finite element analysis with cohesive modeling. The results showed that: (1) under coupled aging conditions, the mechanical properties of the phosphor/silicone composite decreased due to the reaction of phosphor with sulfur, water, and oxygen; (2) crack initiation and propagation were most likely to occur at the edge of the crack perpendicular to the tensile direction. The debonding of particles with silicone rather than the fracture of phosphors was one of the main aspects resulting in failure mechanisms; (3) the highly concentrated and localized phosphor in the silicone matrix and the irregular shape and arrangement of phosphor particles generated cracks in the phosphor/silicone composite.","LED packaging; phosphor/silicone composites; (Ca,Sr)AlSiN3; Eu2+; dynamic mechanical analysis; temperature– humidity–sulfur coupled effect","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:8c61d5ce-0e09-48a0-8ba1-5b9ec2e14b50","http://resolver.tudelft.nl/uuid:8c61d5ce-0e09-48a0-8ba1-5b9ec2e14b50","Antenna-coupled MKIDs for an Integral Field Unit at 7.8 THz","Pascual Laguna, A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Bueno Lopez, J. (TU Delft Electronics); Yates, S.J.C. (SRON Netherlands Institute for Space Research); Ferrari, Lorenza (SRON Netherlands Institute for Space Research); Murugesan, Vignesh (SRON Netherlands Institute for Space Research); Thoen, David (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Zhang, H. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing)","","2022","A focal plane array of extended-hemispherical silicon lenses coupled to aluminum coplanar-waveguide (CPW) Microwave Kinetic Inductance Detectors (MKIDs) has been designed to operate at 7.8 THz. Low-dispersive leaky-wave radiation has been used to efficiently illuminate the antireflection-coated lenses. To minimize the radiation loss from the antenna feeding lines at these high frequencies, the CPWs have been miniaturized and placed on a dielectric membrane. A test device has been fabricated and its experimental characterization in terms of sensitivity, optical coupling, and beam patterns is ongoing.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:df608e51-9401-4f64-aa17-4b5909044baf","http://resolver.tudelft.nl/uuid:df608e51-9401-4f64-aa17-4b5909044baf","Experimentally validated meso-scale fracture modelling of foamed concrete","Jiang, Nengdong (Shandong University); Ge, Zhi (Shandong University); Guan, Yanhua (Shandong University); Zuo, Zhiwu (Shandong Hi-speed Group); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2022","This work presents a study of mechanical properties of foamed concrete at the meso-scale based on a combination of X-ray computed tomography (XCT) technique and a discrete lattice type fracture model. The microstructure of the foamed concrete with different densities was obtained by XCT technique and binarized as two-phase (pore/solid) materials. The parameters (e.g., porosity, pore diameter and spacing distribution) of foamed concrete air‐void structure were characterized. The virtual specimens were subjected to computational uniaxial compression, Brazilian splitting and three-point bending test to calculate strengths and elastic modulus. The mechanical properties of solid phase were derived from the recent outcome of micromechanical models. Two types of element input parameters were used to investigate the influence of the input parameters on the simulated results. The modelling results (strength value and fracture pattern) were compared with the experiments. It shows that, without further calibration, the lattice model can predict the mechanical strength and crack pattern with good accuracy. The fracture toughness KIC was derived using three-point bending strength and the average pore diameter. The results indicate that the presence of air-void structure increases the brittleness and reduces the fracture toughness of the foamed concrete.","Foamed concrete; Mechanical properties; Discrete lattice model; Air‐void structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:3d41ce71-2b76-4b01-ab16-e81f044d9774","http://resolver.tudelft.nl/uuid:3d41ce71-2b76-4b01-ab16-e81f044d9774","Built environment and travel behavior in rural areas: A scientometric literature review","Ao, Yibin (Chengdu University of Technology); Li, Mingyang (Chengdu University of Technology); Ding, Xuan (Chengdu University of Technology); Zheng, Junjie (Chengdu University of Technology); Xiao, Shan (Chengdu University of Technology); Deng, Shulin (Chengdu University of Technology); Zhang, Zijun (Chengdu University of Technology); Wang, Yan (Sichuan College of Architectural Technology); Wang, T. (TU Delft Design & Construction Management); Martek, Igor (Deakin University)","","2022","With the rise of global urbanization, the rural built environment has undergone tremendous changes. As such, the rural built environment impacts on residents’ daily travel behavior is getting more researchers’ attention. To date, most of the research focuses on urban areas in developed countries. To understand the state-of-the-art of interplay between the rural built environment and travel behaviors and to identify future research directions, this study adopts a science mapping approach to identify the relevant topics, authors, journals, and countries of the research done. This study proceeds through bibliometric retrieval of articles from 2005, followed by scientometric analysis and qualitative discussion. 37 documents are found to compare urban and rural domains, with 28 on the rural built environment. Research gaps and the research trends are discussed, of which the main themes are multi-dimensional correlation comparison of rural transportation service systems and emerging transportation modes, the influence of rural social and cultural factors on travel behavior, and low-carbon sustainable transportation. This review provides empirical foundation for current state-of-the-art and identifies the future research directions, specifically for rural built environment impact on travel behavior.","rural built environment; travel behavior; science mapping; scientometric analysis; literature review","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:4bb660dd-31b0-4504-b467-0790dd2ae709","http://resolver.tudelft.nl/uuid:4bb660dd-31b0-4504-b467-0790dd2ae709","Antenna-in-Package (AiP) Using Through-Polymer Vias (TPVs) for a 122-GHz Radar Chip","Yi, H. (TU Delft Electronic Components, Technology and Materials); Öztürk, E. (Silicon Radar GmbH); Koelink, Marco (Chip Integration Technology Center); Krimmling, Jana (Silicon Radar GmbH); Damian, Andrei A. (NXP); Debski, Wojciech (Silicon Radar GmbH); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.)","","2022","High-performance IC-to-antenna interconnection is one of the key enablers for the mass production of high-end millimeter wave (mmW) radar systems above 100 GHz. In this work, a radar system with an on-package antenna array working at 122 GHz is presented. The antenna is placed on top of the molded package and the antenna-to-chip interconnection is realized by through-polymer via (TPV) technology. The detailed fabrication process of the radar antenna-in-package (AiP) with TPV is discussed. The results from the functional tests of the radar AiP are presented and benchmarked to a commercial quad-flat no-leads (QFN) package with an open antenna cavity. The detection margin between the echo signal and the constant false alarm rate (CFAR) threshold is approximately 10 dB higher for the TPV radar AiP compared with the benchmarked commercial QFN package.","0.13-µm silicon germanium bipolar complementary metal-oxide semiconductor (SiGe BiCMOS); film-assisted molding; metalized polymer; monostatic frequency modulated continuous-wave (FMCW) radar; patch antennas; radar antenna-in-package (AiP); SU-8; system-in-package (SiP); through-polymer via (TPV)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:3ab54ebc-9a7a-4ca3-909d-e133672e1346","http://resolver.tudelft.nl/uuid:3ab54ebc-9a7a-4ca3-909d-e133672e1346","The role of hydrotalcite-like phase and monosulfate in slag cement paste during atmospheric and accelerated carbonation","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","This paper identified carbonation products in the slag-rich cementitious systems upon three different exposure conditions, namely, long term exposure in the field, indoor natural exposure, and accelerated carbonation testing. Overall, mineralogy of the carbonation products was found to be fundamentally similar under different exposure environments. In the fully carbonated areas, no monosulfate and calcium hydroxide was observed, and calcium carbonate, carbonated hydrotalcite-like phase and Ca–Al AFm phases were identified as the main carbonate phases. In the mildly carbonated areas, monosulfate and calcium hydroxide clusters were detected again. With the continuous supply of CO2, monosulfate appeared to be consumed at first. Despite different exposure environments, carbonated Ca–Al AFm phases bound around 5% of CO2 penetrated into the matrix. Hydrotalcite-like phase was able to absorb more than 15% CO2 initially. However, this value decreased to around 10% in the fully carbonated areas. Therefore, more than 20% CO2 entered into hydrotalcite-like phase as well as Ca–Al AFm phases at first, and the involved reactions were harmless without any detrimental effect on cement matrix. Meanwhile, hydrotalcite-like phase was able to keep intact and its Mg/Al atomic ratio did not vary significantly during carbonation.","Field slag concrete; Indoor natural exposure; Accelerated carbonation testing; Hydrotalcite-like phase; Monosulfate; Thermodynamic modelling","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:1ebddd1f-1e6f-430d-9557-4981f70101aa","http://resolver.tudelft.nl/uuid:1ebddd1f-1e6f-430d-9557-4981f70101aa","Under Pandemic: Assessment of Ventilation in Secondary Schools in The Netherlands","Ding, Er (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","To investigate the sufficiency of ventilation during the COVID-19 pandemic for school children, a field study was conducted in 37 classrooms of 11 Dutch secondary schools between October 2020 and June 2021. All the classrooms were visited twice, before and after a three-month national lockdown, when different measures against COVID-19 were taken by the schools. For each visit, both CO2 concentrations and air temperature were measured during school hours, and detailed information on building/classroom characteristics, occupancy, and COVID-19 measures was collected. Results show that before the lockdown, CO2 concentrations in most classrooms exceeded the threshold levels of the Dutch Fresh Schools guidelines. The significantly lower CO2 concentrations measured after the lockdown, however, were mainly due to the decreased occupancy. Moreover, with windows and doors always being opened on purpose, the performance of different ventilation regimes could not be compared, while such behaviour may also lead to thermal discomfort for school children.","Classrooms; CO2 concentration; Children; COVID-19","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Indoor Environment","","",""
"uuid:e5f3f165-f17c-428b-b1a8-2b42760ec3c3","http://resolver.tudelft.nl/uuid:e5f3f165-f17c-428b-b1a8-2b42760ec3c3","Exploring the possibility of using CO2 as a proxy for exhaled particles to predict the risk of indoor exposure to pathogens","Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Airborne transmission has been confirmed as one of three principal ways of SARS-CoV-2 transmission. To reduce the transmission of SARS-CoV-2 indoors, understanding the distribution of respiratory droplets (or aerosols) present in human breath seems therefore important. To study whether the CO2 concentration can be used as a proxy for the number of exhaled particles present in an occupied space, the distribution of particles with different diameters (0.3, 0.5, 1.0, 2.5, 5.0 and 10 ?m) and CO2 concentrations were monitored in a classroom setting with six healthy subjects. Additionally, numbers of particles with the same sizes were measured in the breath of the same six healthy subjects separately. Results showed that (1) on the contrary to CO2, the main source of indoor particles came from outdoor air, and not from occupants; (2) the impacts of ventilation regimes on indoor particle numbers were different to the impacts on CO2 concentrations; and (3) almost no significant relationship between the number of indoor particles and CO2 concentration was observed. Based on these results, this study could therefore not conclude that the CO2 concentration in a classroom can be used as a proxy for the number of exhaled particles by the occupants.","Indoor particles; exhaled particles; carbon dioxide; classroom; ventilation","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:f4979f22-0319-4394-9a08-b18c341822d0","http://resolver.tudelft.nl/uuid:f4979f22-0319-4394-9a08-b18c341822d0","Carriage interior noise-based inspection for rail corrugation on high-speed railway track","Wei, Zilong (China Academy of Railway Sciences); Sun, Xianfu (China Academy of Railway Sciences); Yang, Fei (China Academy of Railway Sciences); Ke, Zaitian (China Academy of Railway Sciences); Lu, Tao (Southwest Jiaotong University); Zhang, P. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering)","","2022","The presence of rail corrugation enlarges the wheel-rail impact and exacerbates the failure of track components, and the situation becomes even worse under high train speed, which promotes the urgent need for an efficient and easily accessible inspection method. Conventional diagnosis approaches such as axle box acceleration (ABA) and image recognition measurements, however, require complex instrumentations on the running gear, restricting their applications on a wide range of operational trains. In this study, we investigate the capability of carriage interior noise in diagnosing rail corrugation on the high-speed railway (HSR). For this purpose, train-borne vibration & noise measurements were integrated with in-situ rail surface irregularity tests, to extract the characteristic carriage interior responses induced by rail corrugation. The measurements were conducted on two HSR tracks with different corrugation geometries, and the time–frequency distributions of interior noise were identified under different train speeds and with different track radii. Afterward, an interior noise-based inspection algorithm was proposed by proper correlation of the gained data, and was then demonstrated on a third HSR track with an unknown rail surface condition. The comparison between the proposed inspection algorithm and the widely-recognized ABA measurements indicates that the interior noise succeeded in identifying the position, typical wavelength and severity of rail corrugation under varying train speeds. The work advances a cost-effective and easily accessible way for the condition monitoring of railway tracks.","Carriage interior noise; Rail corrugation; High-speed railway; Acoustic inspection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-29","","","Railway Engineering","","",""
"uuid:116b6ded-f17d-40ca-bbf4-45ca8152ba93","http://resolver.tudelft.nl/uuid:116b6ded-f17d-40ca-bbf4-45ca8152ba93","A 76.5-92.6 GHz CMOS LNA Using Two-Port kQ-Product Theory for Transformer Design","Zhang, Youming (Southeast University); Wei, Zhennan (Southeast University); Tang, Xusheng (Southeast University); Zhang, L. (TU Delft Electronics; Southeast University); Huang, Fengyi (Southeast University)","","2022","This letter presents a convenient approach based on the two-port kQ-product theory to analyze the influence of interwinding capacitive coupling on the efficiency of the transformer. It is demonstrated that a transformer with proper size can benefit from the interwinding capacitive coupling to maximize its efficiency at a desired frequency. The proposed design approach is used in a W-band low-noise amplifier (LNA) fabricated with the 40-nm CMOS process to optimize the insertion loss of the input transformer-based balun. Thanks to the approach, the W-band LNA achieves a minimum noise figure of 5.7 dB, a maximum gain of 18.5 dB, and a 3-dB bandwidth of 76.5-92.6 GHz, while consuming 23.4 mW from a 0.9-V supply.","Baluns; Couplings; Gain; kQ-product; low-noise amplifier; millimeter-wave (mmWave); Noise measurement; Semiconductor device measurement; transformer; Transformers; W-band.; Windings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:3968d19a-1ae4-41d4-9491-e088c389da24","http://resolver.tudelft.nl/uuid:3968d19a-1ae4-41d4-9491-e088c389da24","Mitigating bias against non-native accents","Zhang, Y. (TU Delft Multimedia Computing); Zhang, Yixuan (Student TU Delft); Halpern, B.M. (TU Delft Multimedia Computing; Netherlands Cancer Institute; Universiteit van Amsterdam); Patel, T.B. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2022","Automatic speech recognition (ASR) systems have seen substantial improvements in the past decade; however, not for all speaker groups. Recent research shows that bias exists against different types of speech, including non-native accents, in state-of-the-art (SOTA) ASR systems. To attain inclusive speech recognition, i.e., ASR for everyone irrespective of how one speaks or the accent one has, bias mitigation is necessary. Here we focus on bias mitigation against non-native accents using two different approaches: data augmentation and by using more effective training methods. We used an autoencoder-based cross-lingual voice conversion (VC) model to increase the amount of non-native accented speech training data in addition to data augmentation through speed perturbation. Moreover, we investigate two training methods, i.e., fine-tuning and domain adversarial training (DAT), to see whether they can use the limited non-native accented speech data more effectively than a standard training approach. Experimental results show that VC-based data augmentation successfully mitigates the bias against non-native accents for the SOTA end-to-end (E2E) Dutch ASR system. Combining VC and speed perturbed data gave the lowest word error rate (WER) and the smallest bias against nonnative accents. Fine-tuning and DAT reduced the bias against non-native accents but at the cost of native performance.","bias mitigation; data augmentation; domain adversarial training; speech recognition; voice conversion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:26983a4f-39ca-4340-9b80-fb3f53b67636","http://resolver.tudelft.nl/uuid:26983a4f-39ca-4340-9b80-fb3f53b67636","A 121.4-dB DR Capacitively Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Microelectronics)","","2022","This article presents a class-D amplifier (CDA) with high dynamic range (DR). To eliminate the typically dominant noise contribution of a resistive feedback network, the input and feedback signals are chopped and applied to a capacitive feedback network. However, this leads to high-voltage (HV) transients at the input of the loop filter, which, due to timing and impedance mismatch in the chopped feedback network, could degrade linearity and even overstress low-voltage (LV) core devices. Robust processing of the HV chopped feedback signal is guaranteed with chopper timing skew correction, chopper impedance matching, and deadbanding. The prototype, implemented in a 180-nm bipolar-CMOS-DMOS (BCD) process, achieves 121.4 dB of DR, 5.9 dB higher than state-of-the-art closed-loop CDAs, and 8-μVRMS output-referred noise (A-weighted). It also achieves a peak total harmonic distortion (THD) + N of -109.8 dB and a peak efficiency of 93%/88% while driving 15 W/26 W into an 8-4-Ω load.","Audio power amplifier; capacitively coupled chopper amplifier; class-D amplifier (CDA); dynamic range (DR); noise","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-15","","Microelectronics","Electronic Instrumentation","","",""
"uuid:9d4da9d5-685d-4be6-8dbc-762b7a5ccbf1","http://resolver.tudelft.nl/uuid:9d4da9d5-685d-4be6-8dbc-762b7a5ccbf1","Integrated 64 pixel UV image sensor and readout in a silicon carbide CMOS technology","Romijn, J. (TU Delft Microelectronics); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Leijtens, Johan (Lens R&D BV); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2022","This work demonstrates the first on-chip UV optoelectronic integration in 4H-SiC CMOS, which includes an image sensor with 64 active pixels and a total of 1263 transistors on a 100 mm2 chip. The reported image sensor offers serial digital, analog, and 2-bit ADC outputs and operates at 0.39 Hz with a maximum power consumption of 60 μW, which are significant improvements over previous reports. UV optoelectronics have applications in flame detection, satellites, astronomy, UV photography, and healthcare. The complexity of this optoelectronic system paves the way for new applications such harsh environment microcontrollers.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:20e9db2d-9a78-4ad6-a046-d16ee1c31947","http://resolver.tudelft.nl/uuid:20e9db2d-9a78-4ad6-a046-d16ee1c31947","Cancer Diagnosis Using Terahertz-Graphene-Metasurface-Based Biosensor with Dual-Resonance Response: Nanomaterials","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wei, Jia (Guangzhou Regenerative Medicine and Health Guangdong Laboratory); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology)","","2022","Owing to the outstanding physical properties of graphene, its biosensing applications implemented by the terahertz metasurface are widely concerned and studied. Here, we present a novel design of the graphene metasurface, which consists of an individual graphene ring and an H-shaped graphene structure. The graphene metasurface exhibits a dual-resonance response, whose resonance frequency strongly varies with the geometrical parameters of the proposed metasurface, the carrier density of graphene, and the analyte composition. The transparency window, including width and position, can be artificially controlled by adjusting the geometrical parameters or the Fermi energy. Furthermore, the sensing parameters of the graphene metasurface for cancerous and normal cells are investigated, focusing on two factors, namely cell quantity and position on the metasurface. The simulated results clearly show that the theoretical sensitivity, figure of merit, and quantity of the graphene metasurface for breast cells reach 1.21 THz/RIU, 2.75 RIU (Formula presented.), and 2.43, respectively. Our findings may open up new avenues for promising applications in the diagnosis of cancers.","graphene metasurface; terahertz sensing; dual-resonance response; cancer diagnosis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:5d87dc93-c897-4abe-8182-9a606a6400a2","http://resolver.tudelft.nl/uuid:5d87dc93-c897-4abe-8182-9a606a6400a2","Patterning of fine-features in nanoporous films synthesized by spark ablation","Ji, X. (TU Delft Electronic Components, Technology and Materials); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Advances in semiconductor device manufacturing technologies are enabled by the development and application of novel materials. Especially one class of materials, nanoporous films, became building blocks for a broad range of applications, such as gas sensors and interconnects. Therefore, a versatile fabrication technology is needed to integrate these films and meet the trend towards device miniaturization and high integration density. In this study, we developed a novel method to pattern nanoporous thin films with high flexibility in material selection. Herein, Au and ZnO nanoparticles were synthesized by spark ablation and printed on a Ti/TiO2 adhesion layer, which was exposed by a lithographic stencil mask. Subsequently, the photoresist was stripped by a cost-efficient lift-off process. Nanoporous patterned features were thus obtained and the finest feature has a gap width of 0.6 μ fm and a line width of 2 μ fm. Using SEM and profilometers to investigate the structure of the films, it was demonstrated that the lift-off process had a minor impact on the microstructure and thickness. The samples presented a rough surface and high porosity, indicating a large surface-to-volume ratio. This is supported by the measured conductivity of Au nanoporous film, which is 12% of the value for bulk Au. As lithographic stencil printing is compatible with conventional lithographic pattering, this method enables further application on mass production of various nanoporous film-based devices in the future.","Nanoporous film; Spark ablation; Nanoparticle; Lift-off; Nanofabrication","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-08","","","Electronic Components, Technology and Materials","","",""
"uuid:e7fdb43f-e571-4ac6-b751-adea967db129","http://resolver.tudelft.nl/uuid:e7fdb43f-e571-4ac6-b751-adea967db129","Surface Modification of Steel Slag Aggregate for Engineering Application in Asphalt Mixture","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Meng, Jiandang (Puyang Branch Office of Henan Transport Development Group Co., Ltd.); Yang, Zhengxian (Fuzhou University); Jing, R. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Sheng, Yanping (Chang'an University)","","2022","The proper disposal of steel slag has always been a great challenge for the metallurgical industry in China and around the world. In this work, the steel slag aggregate (SSA) was surface pretreated (PSSA) and applied into asphalt mixture. The adhesive behavior between the bitumen and five different types of aggregates (i.e., limestone, diorite, diabase, SSA, PSSA) were evaluated based on the contact angle and binder bond strength tests. The pavement performance of three asphalt mixtures which contain normal aggregate, SSA and PSSA respectively, was analyzed by Marshall stability test, wheel-tracking rutting test, low-temperature bending creep test and water sensitivity test. The results showed that surface modification can improve the surface properties of SSA, reduce its contact angle with bitumen, and eventually lead to the improvement of adhesion between them. In addition to the satisfied low-temperature properties, PSSA was found to significantly improve the anti-rutting property and reduce the water sensitivity of asphalt mixture. This work is expected to promote an alternative application for recycling of SSA in pavement engineering.","pretreated steel slag aggregate; asphalt mixture; performance characterization; adhesion; surface energy","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a7e42e18-7278-4a91-b435-fc73a60dad3a","http://resolver.tudelft.nl/uuid:a7e42e18-7278-4a91-b435-fc73a60dad3a","Mnemosyne: Privacy-Preserving Ride Matching With Collusion-Resistant Driver Exclusion","Li, Meng (Hefei University of Technology); Gao, Jianbo (Hefei University of Technology); Zhu, Liehuang (Beijing Institute of Technology); Zhang, Zijian; Lal, C. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Alazab, Mamoun (Charles Darwin University)","","2022","Ride-Hailing Service (RHS) has drawn plenty of attention as it provides transportation convenience for riders and financial incentives for drivers. Despite these benefits, riders risk the exposure of sensitive location data during ride requesting to an untrusted Ride-Hailing Service Provider (RHSP). Our motivation arises from repetitive matching, i.e., the same driver is repetitively assigned to the same rider. Meanwhile, we introduce a driver exclusion function to protect riders' location privacy. Existing work on privacy-preserving RHS overlooks this function. While Secure k Nearest Neighbor (SkNN) facilitates efficient matching, the state-of-the-art neglects a collusion attack. To solve this problem, we formally define repetitive matching and strong location privacy, and propose Mnemosyne: privacy-preserving ride matching with collusion-resistant driver exclusion. We extend the simple integration of equality checking and item exclusion to a dynamic integration. We concatenate each prefix of an acceptable identity range to each location code when generating a ride request, i.e., secure mix index. We process each prefix of the driver identity to generate a ride response, i.e., a mix token. We build an indistinguishable Bloom-filter as an index to query the token. When matching riders with drivers, the colluding parties cannot distinguish identity prefixes from location codes. We build a prototype of Mnemosyne based on servers, smartphones, and a real-world dataset. Experimental results demonstrate that Mnemosyne outperforms existing work regarding strong location privacy and computational costs.","collusion attack; driver exclusion; privacy; repetitive matching; Ride-hailing service","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:1a37f21e-bcca-4285-bcfd-ade6e5d51ce2","http://resolver.tudelft.nl/uuid:1a37f21e-bcca-4285-bcfd-ade6e5d51ce2","New collaborative workflows - immersive co-design from sketching to 3d cad and production","Novoa Munoz, Mauricio (Western Sydney University); Howell, Bryan F. (Brigham Young University); Hoftijzer, J.W. (TU Delft Human Information Communication Design); Rodríguez Díaz, José Manuel (EUIPO Europa); Zhang, Wendy (University of Canterbury); Kramer, Nikolaj (VIA University College)","Bohemia, Erik (editor); Buck, Lyndon (editor); Grierson, Hilary (editor)","2022","Digital technologies have enabled design sketching to expand into new applications and domains. Inevitably, these new forms of visualisation require re-evaluating how we use drawing to see, visualise, understand, and fabricate products and services in design education and the profession. This paper presents a selection of discoveries after the authors performed research, made presentations and mediated workshops when face-to-face collaborations and travel were impossible because of the Covid-19 epidemic restrictions. Findings add to work intending to build a modern taxonomy for design sketching and visual knowledge while accounting for immersive virtual collaboration and distributed workflows from sketching to 3D CAD and 3D printing. These are among the first indications of a drive towards synthesising historically demarked design process stages into a singularity of actions that merge and move simultaneously among ideation, design, and production. Participants in two international conference workshops shared ideas and discussed their local circumstances relating to the potential use and acceptance of new technologies already researched and adopted in other disciplines such as computer science and entertainment. A critical consensus was that the challenge of new technologies for our design education and profession is not as much about technology and its tools as the process and steps that enable change. Significantly, conversation pointed towards a strategy that enhances and augments habits in design education and the profession as the means to modify and transform culture and practice.","Design sketching; immersive realities; technology diffusion; virtual reality; visualisation","en","conference paper","The Design Society","","","","","","","","","","Human Information Communication Design","","",""
"uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","http://resolver.tudelft.nl/uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","A 2m-Range 711uW Body Channel Communication Transceiver Featuring Dynamically-Sampling Bias-Free Interface Front End","Gu, Guanjie (Zhejiang University); Yang, Changgui (Zhejiang University); Li, Zhuhao (Zhejiang University); Feng, Xiangdong (Zhejiang University); Chang, Ziyi (Zhejiang University); Wang, Ting-Hsun (Zhejiang University); Zhang, Yunshan (Zhejiang University); Luo, Yuxuan (Zhejiang University); Zhang, Hong (Zhejiang University); Wang, Ping (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Chen, Yong (University of Macau); Zhao, Bo (Zhejiang University)","","2022","Body Channel Communication (BCC) offers a low-loss signal transmission medium for ultra-low-power wearable devices on human body [1]. However, the effective communication range on human body is limited to less than 1m in the state-of-the-art BCC transceivers [2], where the signal loss at the interface of body surface and BCC receiver remains to be one of the main bottlenecks. The limited communication range has blocked the popularization in many WBAN applications, such as signal transmission from to an intelligent insole to smart watch [3]. Relative to the high impedance of human body [4], the lower input impedance of BCC receiver induces significant signal loss. To reduce the interface loss, conventional interface front end (IFE) of BCC receivers was designed to be with a high input impedance [5], but the DC voltage bias decreased both the input impedance and signal gain of IFE.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:330d509e-7988-49fd-90ad-9b1b2405d504","http://resolver.tudelft.nl/uuid:330d509e-7988-49fd-90ad-9b1b2405d504","ZnO Nanoparticle Printing for UV Sensor Fabrication","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Orvietani, M. (TU Delft Electronic Components, Technology and Materials); Romijn, J. (TU Delft Microelectronics); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2022","In this work, a novel microfabrication-compatible production process is demonstrated and used to fabricate UV photoresistors made from ZnO nanoparticles. It comprises a simple room-temperature production method for synthesizing and direct-writing nanoparticles. The method can be used on a wide range of surfaces and print a wide range of materials. Here, it is used to synthesize a ZnO photoresistor for the first time. The sensor shows a two orders of magnitude lower resistance under UV-C exposure compared to darkness. The low cost and simplicity of this synthesis method enables cheap integration of UV-C sensors for human exposure monitoring or UV-output monitoring of light sources.","UV sensor; nanoparticles; zinc oxide; spark ablation; printed electronics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-08","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:b50c0aa0-a733-4649-88b7-8b29c3bebfcd","http://resolver.tudelft.nl/uuid:b50c0aa0-a733-4649-88b7-8b29c3bebfcd","A review on indoor environmental quality in sports facilities: Indoor air quality and ventilation during a pandemic","Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","Because of COVID-19, the indoor environmental quality (IEQ) in sports facilities has been a concern to environmental health practitioners. To develop an overall understanding of the available guidelines and standards and studies performed on IEQ in sports facilities, an extensive literature study was conducted, with the aim of identifying: (1) indicators that are being used to assess IEQ in different sports facilities; (2) indicators that are potentially interesting to be used to assess indoor air, in particular; (3) gaps in knowledge to determine whether sports facilities are safe, healthy and comfortable for people to stay and perform their activities. The outcome indicates that most current standards and previous investigations on IEQ in sports facilities mainly focused on dose-related indicators (such as ventilation rate), while building-related indicators (such as ventilation regime) and occupant-related indicators (such as IEQ preferences) were rarely considered. Little attention is given to the fact that ventilation systems may play an important role in the air quality of the location, and few investigations have been performed on the transmission of SARS-CoV-2. This study recommends more research into both occupant and building-related indicators as well as cross-modal effects between various IEQ factors for developing future standards on sports facilities.","sports facilities; indoor air quality; indoor environmental quality; ventilation; comfort; health","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:39bb8a70-5c65-405a-bf6c-4cb57acda7af","http://resolver.tudelft.nl/uuid:39bb8a70-5c65-405a-bf6c-4cb57acda7af","Towards Single Camera Human 3D-Kinematics","Bittner, M. (TU Delft Biomechatronics & Human-Machine Control; Vicarious Perception Technologies); Yang, W. (Student TU Delft); Zhang, X. (TU Delft Pattern Recognition and Bioinformatics); Seth, A. (TU Delft Biomechatronics & Human-Machine Control); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control)","","2022","Markerless estimation of 3D Kinematics has the great potential to clinically diagnose and monitor movement disorders without referrals to expensive motion capture labs; however, current approaches are limited by performing multiple de-coupled steps to estimate the kinematics of a person from videos. Most current techniques work in a multi-step approach by first detecting the pose of the body and then fitting a musculoskeletal model to the data for accurate kinematic estimation. Errors in training data of the pose detection algorithms, model scaling, as well the requirement of multiple cameras limit the use of these techniques in a clinical setting. Our goal is to pave the way toward fast, easily applicable and accurate 3D kinematic estimation . To this end, we propose a novel approach for direct 3D human kinematic estimation D3KE from videos using deep neural networks. Our experiments demonstrate that the proposed end-to-end training is robust and outperforms 2D and 3D markerless motion capture based kinematic estimation pipelines in terms of joint angles error by a large margin (35% from 5.44 to 3.54 degrees). We show that D3KE is superior to the multi-step approach and can run at video framerate speeds. This technology shows the potential for clinical analysis from mobile devices in the future.","3D-kinematics; 3D-kinematic estimation; OpenSim; pose estimation; musculoskeletal modelling; markerless motioncapture","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:77462a13-79c1-46a4-9669-9193ff673d73","http://resolver.tudelft.nl/uuid:77462a13-79c1-46a4-9669-9193ff673d73","N24News: A New Dataset for Multimodal News Classification","Wang, Zhen (Student TU Delft); Shan, X. (TU Delft Water Resources); Zhang, Xiangxie (Student TU Delft); Yang, J. (TU Delft Web Information Systems)","Calzolari, Nicoletta (editor); Bechet, Frederic (editor); Blache, Philippe (editor); Choukri, Khalid (editor); Cieri, Christopher (editor); Declerck, Thierry (editor); Goggi, Sara (editor); Isahara, Hitoshi (editor); Maegaard, Bente (editor); Mariani, Joseph (editor); Mazo, Helene (editor); Odijk, Jan (editor); Piperidis, Stelios (editor)","2022","Current news datasets merely focus on text features on the news and rarely leverage the feature of images, excluding numerous essential features for news classification. In this paper, we propose a new dataset, N24News, which is generated from New York Times with 24 categories and contains both text and image information in each news. We use a multitask multimodal method and the experimental results show multimodal news classification performs better than text-only news classification. Depending on the length of the text, the classification accuracy can be increased by up to 8.11%. Our research reveals the relationship between the performance of a multimodal classifier and its sub-classifiers, and also the possible improvements when applying multimodal in news classification. N24News is shown to have great potential to prompt the multimodal news studies.","Multimodal Dataset; News Article; Text Classification","en","conference paper","European Language Resources Association (ELRA)","","","","","","","","","","Water Resources","","",""
"uuid:f20e7bff-e7ba-4607-9458-6776bf94f0b5","http://resolver.tudelft.nl/uuid:f20e7bff-e7ba-4607-9458-6776bf94f0b5","Steady-state nonlinearity of open-loop reset systems","Zhang, X. (TU Delft Mechatronic Systems Design); Kaczmarek, M.B. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","In this paper, we introduce a new representation for open-loop reset systems. We show that at steady-state a reset integrator can be modelled as a parallel interconnection of the base-linear system and piece-wise constant nonlinearity. For sinusoidal input signals, this nonlinearity takes a form of a square wave. Subsequently, we show how the behaviour of a general open-loop reset system is related to the nonlinearity of a reset integrator. The proposed approach simplifies the analysis of reset elements in the frequency domain and provides new insights into the behaviour of reset control systems.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:7faa82b3-5a0d-4457-9a0b-37a4cdf52d32","http://resolver.tudelft.nl/uuid:7faa82b3-5a0d-4457-9a0b-37a4cdf52d32","How Fear of External Threats Plays Roles: An Examination of Supervisors’ Trait Anger, Abusive Supervision, Subordinate Burnout and CCB","Zhang, Wen (Beijing Normal University); Liu, Wei (TU Delft Design Aesthetics); Wu, Yingyee (Beijing Normal University); Ma, Chenlu (Beijing Normal University); Xiao, Xiyao (Beijing Normal University); Zhang, Xichao (Beijing Normal University)","","2022","In times of uncertainty, such as during COVID-19, many organizations experience profit decline, and employees develop a fear of external threats, such as organizational layoffs. However, most of the literature focuses on how people’s fear influences their well-being. Less is known about how employees’ fear of external threats influences their workplace behaviors. The current study proposes that supervisors’ fear of external threats stimulates those who are high in trait anger to behave in a more abusive way. Simultaneously, subordinates’ fear of external threats would strengthen the positive relationship between abusive supervision and their burnout and compulsory citizenship behaviors (CCB), as fear of external threats constrains their response options to abusive supervision. We tested the hypotheses with a multiwave and multisource survey study (N = 322 dyads) in China, and the results showed that supervisors’ fear of external threats strengthened the positive effect of trait anger on abusive supervision. Subordinates’ fear of external threats strengthens the positive relationships of abusive supervision with CCB and the mediating effect of abusive supervision in the relationship of supervisors’ trait anger with subordinates’ CCB. Our study enriches people’s understanding of how supervisors’ and subordinates’ fear of external threats may play roles in workplace behaviors.","abusive supervision; burnout; compulsory citizenship behaviors; fear of external threats; trait anger","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:144871f5-ad3b-42fb-aa26-709f065c58ae","http://resolver.tudelft.nl/uuid:144871f5-ad3b-42fb-aa26-709f065c58ae","Flexible Collision-free Platooning Method for Unmanned Surface Vehicle with Experimental Validations","Du, B. (TU Delft Transport Engineering and Logistics; Shanghai Jiao Tong University; Harbin Engineering University); Lin, Bin (Shanghai Jiao Tong University; Hainan University); Xie, Wei (Shanghai Jiao Tong University; Harbin Engineering University); Zhang, Weidong (Shanghai Jiao Tong University; Hainan University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2022","This paper addresses the flexible formation problem for unmanned surface vehicles in the presence of obstacles. Building upon the leader-follower formation scheme, a hybrid line-of-sight based flexible platooning method is proposed for follower vehicle to keep tracking the leader ship. A fusion artificial potential field collision avoidance approach is tailored to generate optimal collision-free trajectories for the vehicle to track. To steer the vehicle towards and stay within the neighborhood of the generated collision-free trajectory, a nonlinear model predictive controller is designed. Experimental results are presented to validate the efficiency of proposed method, showing that the unmanned surface vehicle is able to track the leader ship without colliding with the surrounded static obstacles in the considered experiments.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:c725169d-6752-4209-b379-ce406065406a","http://resolver.tudelft.nl/uuid:c725169d-6752-4209-b379-ce406065406a","An investigation on integration of computational thinking into engineering curriculum at delft university of technology","Zhang, X. (TU Delft Web Information Systems); Valle Torre, M. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","Järvinen, Hannu-Matti (editor); Silvestre, Santiago (editor); Llorens, Ariadna (editor); Nagy, Balàzs (editor)","2022","Our life is surrounded by digital devices. Engineering education is one of the cornerstones in higher education for future generations and computational thinking (CT) is deemed as a core component in various engineering curricula. The Delft University of Technology (TU Delft), is the largest technical university in the Netherlands and computing; computational concepts and activities have been integrated into curriculum for years at TU Delft. However, there is not a comprehensive investigation on integration of CT into Engineering Curriculum, this paper presents a case study of Master’s level engineering curricula investigating: 1) to what extend CT components are integrated; 2) in what way CT is interpreted and integrated in the curriculum; 3) what educational and assessment methods have been used. The results show that CT has been largely integrated into the investigated curriculum mostly with lectures being the educational method and programming assignments as a method for the assessment. Our analysis shows that understanding the context and patterns in problems and solutions was important in different courses and engineering disciplines, indicating possible directions for integration of CT into curriculum.","Engineering Education; Computational Thinking; Curriculum; Case Study","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:bbd59b44-5556-42d2-8330-7657e16d0b33","http://resolver.tudelft.nl/uuid:bbd59b44-5556-42d2-8330-7657e16d0b33","Distributed Model Predictive Contouring Control for Real-Time Multi-Robot Motion Planning","Xin, Jianbin (Zhengzhou University); Qu, Yaoguang (Zhengzhou University); Zhang, Fangfang (Zhengzhou University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2022","Existing motion planning algorithms for multi-robot systems must be improved to address poor coordination and increase low real-time performance. This paper proposes a new distributed real-time motion planning method for a multi-robot system using Model Predictive Contouring Control (MPCC). MPCC allows separating the tracking accuracy and productivity, to improve productivity better than the traditional Model Predictive Control (MPC) which follows a time-dependent reference. In the proposed distributed MPCC, each robot exchanges the predicted paths of the other robots and generates the collision-free motion in a parallel manner. The proposed distributed MPCC method is tested in industrial operation scenarios in the robot simulation platform Gazebo. The simulation results show that the proposed distributed MPCC method realizes real-time multi-robot motion planning and performs better than three commonly-used planning methods (dynamic window approach, MPC, and prioritized planning).","multi-robot system; path planning; model predictive contouring control; distributed optimization","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:04251832-8ca4-4137-a42c-7eee041fef5f","http://resolver.tudelft.nl/uuid:04251832-8ca4-4137-a42c-7eee041fef5f","Case Study of the Largest Concrete Earth Pressure Balance Pipe-Jacking Project in the World","Jiang, Xi (Tongji University; The University of Tennessee Knoxville); Zhang, X. (TU Delft Geo-engineering); Wang, Shuai (Shanghai Road and Bridge (Group) Co.,Ltd.); Bai, Yun (Tongji University); Huang, Baoshan (The University of Tennessee Knoxville)","","2022","Pipe jacking has been the dominant trenchless technology for constructing small (\2 m) to medium-diameter (\4 m) tunnels. Uncertainties and construction difficulties increase significantly when the diameter of the tunnel exceeds 4 m. This paper presents a case study of the largest concrete pipe-jacking tunnel project in the world, the sewerage tunnel along Jinshan Lake, Zhenjiang, China. In this project, an underwater tunnel with a diameter of 4.67 m was constructed by the earth pressure balance (EPB) pipe-jacking method. The case study reports project background, and geological and hydrogeology conditions. The key techniques such as the selection of pipe-jacking machine, jacking force estimation and control, design of intermediate jacking station, grouting process control, launching, and reception of the tunnel boring machine, trajectory control of pipe jacking, and ventilation and gas monitoring during the construction period were investigated and discussed. Furthermore, to overcome the technical difficulties associated with the oversized jacked tunnel, the corresponding countermeasures were adopted point by point, so that the safety of the whole project could be guaranteed. This study filled the knowledge gap of technical know-how for large-diameter (over 4.5 m) pipe-jacking tunnel and is expected to provide practical guide for future large-diameter pipe-jacking tunnels.","Grouting; Infrastructure; Lining; Pipe jacking; Tunnels and underground structures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","http://resolver.tudelft.nl/uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","Hydrogenated Boron Phosphide THz-Metamaterial-Based Biosensor for Diagnosing COVID-19: A DFT Coupled FEM Study","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Recent reports focus on the hydrogenation engineering of monolayer boron phosphide and simultaneously explore its promising applications in nanoelectronics. Coupling density functional theory and finite element method, we investigate the bowtie triangle ring microstructure composed of boron phosphide with hydrogenation based on structural and performance analysis. We determine the carrier mobility of hydrogenated boron phosphide, reveal the effect of structural and material parameters on resonance frequencies, and discuss the variation of the electric field at the two tips. The results suggest that the mobilities of electrons for hydrogenated BP monolayer in the armchair and zigzag directions are 0.51 and 94.4 cm2·V−1·s−1, whereas for holes, the values are 136.8 and 175.15 cm2·V−1·s−1. Meanwhile, the transmission spectra of the bowtie triangle ring microstructure can be controlled by adjusting the length of the bowtie triangle ring microstructure and carrier density of hydrogenated BP. With the increasing length, the transmission spectrum has a red-shift and the electric field at the tips of equilateral triangle rings is significantly weakened. Furthermore, the theoretical sensitivity of the BTR structure reaches 100 GHz/RIU, which is sufficient to determine healthy and COVID-19-infected individuals. Our findings may open up new avenues for promising applications in the rapid diagnosis of COVID-19.","hydrogenated boron phosphide monolayer; terahertz metamaterial; bowtie triangle ring microstructure; COVID-19 diagnosis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:e667a7b9-5468-4d83-a805-b0a8a9dbb890","http://resolver.tudelft.nl/uuid:e667a7b9-5468-4d83-a805-b0a8a9dbb890","Low Temperature Sapphire to Silicon Flip Chip Interconnects by Copper Nanoparticle Sintering","Ji, X. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Romijn, J. (TU Delft Microelectronics); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","The continuous trend to integrate more multi-functions in a package often involves, Heterogeneous Integration of multi-functional blocks in some kind of 3D stacking. The conventional flip chip for die-on-substrate technology applies solder for integration. However, solder joint integration has the disadvantages of restricting height, reflow issues and re-melting at high operating temperatures. Nanometallic particle sintering offers a potential solution for these solder related issues. Nanometallic particle sintering occurs at low temperature and does not reflow and melt at higher temperatures. Hence, it can be applied for quite precise alignment and integration technologies, such as photonic components on silicon for harsh environment applications. In order to test this concept, we use sapphire and Si wafers with different mechanical properties, which can lead to the coefficient of thermal expansion mismatch. The sapphire chip can operate at a higher temperature applied for ultraviolet photonics application. This report describes a novel approach using copper nanoparticles paste patterned through photolithographic stencil printing. The photoresist acts as the stencil mask, and a photoresist lift-off process is applied to strip the photoresist stencil. This process has the advantages of lithographic form factor and precision and provides a chip to chip interconnect with a standard height of 20 µm.","flip chip; heterogeneous integration; copper nanoparticle; nano Cu bump; low temperature sintering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:c0005b42-709d-441e-86f2-6174cb21f593","http://resolver.tudelft.nl/uuid:c0005b42-709d-441e-86f2-6174cb21f593","Geopolymeerbeton voor infrastructurele toepassingen (1): Ontwikkeling van zelfverdichtende mengsels","Zhang, Shizhe (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Hendrik, H. (Haitsma Beton); Scharringa, A. (Provincie Fryslân)","","2022","In Nederland wordt veel aandacht besteed aan geopolymeerbeton als een van de mogelijkheden om de CO₂-voetafdruk van beton te verlagen. Hoewel dit materiaal op laboratoriumschaal uitgebreid is onderzocht, zijn praktische toepassingen en ervaringen nog maar beperkt beschikbaar. Bovendien bestaan er voor een brede en grootschalige constructieve toepassing een aantal uitdagingen op technologisch en technisch gebied. Er is een onderzoeksproject gestart waarin een zelfverdichtend geopolymeerbeton (ZGB) is ontwikkeld voor toepassing in een prefab voorgespannen verkeersbrug. Dit onderzoek is uitgevoerd door het Microlab en de sectie Betonconstructies van de TU Delft, Haitsma Beton en de Universiteit Gent en en wordt door de provincie Fyslân ondersteund als launching customer.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:b65a0b38-a13c-4363-8543-0c88c00f751a","http://resolver.tudelft.nl/uuid:b65a0b38-a13c-4363-8543-0c88c00f751a","Development and analysis of a three-dimensional braided honeycomb structure","Li, Q. (Donghua University); Zhang, Honghua (Donghua University); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Li, Wei (Donghua University)","","2022","This paper presents the design and development of three-dimensional braided honeycomb structures. The basic principles and braiding process are described. The relationship between braiding parameters and honeycomb geometric parameters is established and several three-dimensional (3D) braided honeycomb fabrics are introduced. Based on the principle of the 3D braiding ‘four-step’ method, the interlaced state of yarns can be changed by controlling the movement of yarn carriers, so the separation and combination of the braid can be controlled, then 3D braided honeycomb fabric can be formed. As the number of braiding cycles of wall length increases, the relative density will gradually decrease, but the number of braiding cycles of free wall length has a greater effect on the relative density. When the number of columns of yarns participating in wall thickness increases, the relative density will gradually increase. The relative density also showed a positive correlation with the braiding angle. With the increase of the opening angle, the relative density gradually decreases, although there is a minimum point after which a little increase is observed. This paper provides significant guidance for designing various 3D braided honeycomb structures and evaluating their relative density, and can be a reference for the design and development of new honeycombs structures.","3D braiding; honeycomb; Jute; relative density","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-based Structures & Materials","","",""
"uuid:d971dfe6-d824-41b2-be2f-c088d02c99f1","http://resolver.tudelft.nl/uuid:d971dfe6-d824-41b2-be2f-c088d02c99f1","Characterization and mitigation of wheel-rail impact at a singular rail defect","Tao, Hongyu (Chengdu Municipal Transport Committee); Zhang, P. (TU Delft Railway Engineering)","","2022","Wheel-rail impact, which arises from structural discontinuities and short-wave defects, becomes more serious with higher train speeds and larger axle loads. The large impact load can accelerate the deterioration of vehicle-track components and induce a high level of impact noise. This paper aims to better understand the characteristics of wheel-rail impact and redevelop corresponding mitigation measures. First, a well-validated vehicle-track vertical interaction model with a singular rail defect is built up considering nonlinear Hertz contact. Then, the simulated wheel-rail impact force is characterized in both the time and frequency domains with different running speeds and defect geometries employing continuous wavelet transform. The identified characteristic frequencies are correlated to the track resonance modes. Afterward, a parameter sensitivity analysis of railpads, ballast, roadbed, and suspensions is performed to obtain the mitigation measures of wheel-rail impact. The results show that the wheel-rail impact force can be characterized by four stages in the time domain, the quasi-static stage before the impact, the forced vibration, the free vibration, and the quasi-static stage after the impact, respectively. Four characteristic frequencies are identified in the wheel-rail impact response: f1 at 45 Hz, f2 at 100 Hz, f3 at 260 Hz, and f4 at 810 Hz. Among them, f4 has the dominant vibration energy and is determined together by the pinned-pinned resonance mode and the defect excitation frequency. Characteristic frequencies f1, f2, and f3 correspond to the ballast, sleeper, and rail resonance modes, respectively, which are independent of the defect geometry. The increase of railpad stiffness can effectively reduce the maximum wheel-rail impact force and thus the impact factor. Larger railpad stiffness and damping can significantly reduce the dominant vibration energy at about 810 Hz of f4. This work can contribute to the optimization of vehicle-track parameters for a new design of more impact-resistant railways.","mitigation; parameter sensitivity analysis; rail defect; railpad; vehicle-track interaction model; wheel-rail impact","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:0fa99506-dced-467c-bc69-aec7f9449511","http://resolver.tudelft.nl/uuid:0fa99506-dced-467c-bc69-aec7f9449511","Foreword to the special section on Pacific Graphics 2020","Eisemann, E. (TU Delft Computer Graphics and Visualisation); Zhang, Fang Lue (Victoria University of Wellington)","","2022","","","en","contribution to periodical","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:61c36909-8745-4dd7-b4c8-9b9689c15b62","http://resolver.tudelft.nl/uuid:61c36909-8745-4dd7-b4c8-9b9689c15b62","Review on shallow geothermal promoting energy efficiency of existing buildings in Europe","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Qian, QK (TU Delft Design & Construction Management); Visscher, H.J. (TU Delft Design & Construction Management); Zhang, Guoqiang (Hunan University)","","2022","The energy-saving renovation of existing buildings has been attracted sufficient attention to reduce fossil fuels and mitigate global warming in Europe. The shallow geothermal for building cooling and heating, as an environmentally-friendly and cost-effective alternative, has been widely explored to promote energy efficiency of existing buildings. However, few studies conduct the comprehensive overview on the applications, developments, and existing issues of shallow geothermal promoting energy efficiency of existing buildings (SGPEEEB) in Europe. The objective of this paper is to review the current application status and future trends of SGPEEEB in Europe. First, the common utilization forms and classifications of used shallow geothermal technologies are introduced to further clarify the investigated subject. Then, the research and application status of SGPEEEB has also analyzed and discussed. At last, this study proposes the future trends and comments of SGPEEEB in Europe.","Application and development; Energy efficiency; European countries; Existing buildings; Shallow geothermal","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:79b6b850-85ec-42b9-9a39-c3a26a10fb4e","http://resolver.tudelft.nl/uuid:79b6b850-85ec-42b9-9a39-c3a26a10fb4e","Effects of temperature and grain size on diffusivity of aluminium: electromigration experiment and molecular dynamic simulation","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Understanding the atomic diffusion features in metallic material is significant to explain the diffusion-controlled physical processes. In this paper, using electromigration experiments and molecular dynamic (MD) simulations, we investigate the effects of grain size and temperature on the self-diffusion of polycrystalline aluminium (Al). The mass transport due to electromigration are accelerated by increasing temperature and decreasing grain size. Magnitudes of effective diffusivity (Deff) and grain boundary diffusivity (DGBs) are experimentally determined, in which theDeffchanges as a function of grain size and temperature, butDGBsis independent of the grain size, only affected by the temperature. Moreover, MD simulations of atomic diffusion in polycrystalline Al demonstrate those observations from experiments. Based on MD results, the Arrhenius equation ofDGBsand empirical formula of the thickness of grain boundaries at various temperatures are obtained. In total,DeffandDGBsobtained in the present study agree with literature results, and a comprehensive result of diffusivities related to the grain size is presented.","effective diffusivity; electromigration; grain boundary diffusivity; molecular dynamic simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:4beb8f41-497d-4865-9140-2535f0eeef48","http://resolver.tudelft.nl/uuid:4beb8f41-497d-4865-9140-2535f0eeef48","A systematic literature review on smart and personalized ventilation using CO2 concentration monitoring and control","Song, Ge (Hunan University); Ai, Zhengtao (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","Smart and personalized ventilation systems have been demonstrated with high performance in creating a healthy and energy-efficient indoor environment, but they have been rarely comprehensively summarized and explored in previous studies. With the progressive development of various terminal devices and control technologies, personalized ventilation based on intelligent control is potentially a promising way to achieve efficient control and energy savings in human micro-environments. This study comprehensively summarizes and analyzes the recent studies and common utilization forms of smart ventilation and PV systems that are based on CO2 concentration control, to pave path and provide some guidelines for their integration application for reducing energy consumption and improving indoor thermal comfort. Research shows that the combination of personalized ventilation and smart ventilation is an essential development for ventilation systems. Smart ventilation with demand control logic based on CO2 concentration has been mature enough to effectively improve the effectiveness and comfortable performance of personalized ventilation. However, switching from traditional air conditioning systems to personalized ventilation still requires improved sensors and intelligent control algorithms. In addition, this paper also summarizes the exploratory studies and potential application analysis of machine-learning theories to improve intelligent control of personalized ventilation. To this end, this paper identifies future tendencies for advanced theories, integrated systems, and devices in personalized ventilation systems.","CO concentration; Energy saving; Personalized ventilation; Smart control; Thermal comfort","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:7b8b833c-9b76-4855-ae82-2208e18af2e9","http://resolver.tudelft.nl/uuid:7b8b833c-9b76-4855-ae82-2208e18af2e9","Effect of the location pattern of rural residential buildings on natural ventilation in mountainous terrain of central China","Xie, Mingjing (Central South University China); Wang, Yuran (Central South University China); Liu, Zhengxuan (TU Delft Design & Construction Management; Central South University China; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","The use of natural ventilation in buildings to reduce the energy consumption and CO2 emission has been widely investigated and practiced, but few existing studies have considered the exploration and assessment of natural ventilation in different location patterns of rural residential buildings in the mountainous terrain of China. In this paper, the representative rural residential buildings are firstly selected in Huarong, Pingjiang and Liuyang regions of northern Hunan Province to carry out on-site survey works to determine building types, physical parameters and layout forms. Then, the wind tunnel experiments are carried out to investigate the effectiveness of natural ventilation under different location patterns, and the monitored results are compared with simulated data. The results show that the experiments and simulations are in satisfactory agreement. The experimental data also indicate that when the modelled distance of 120 mm (i.e. 12 m between the building and hilly terrain in practical application) is the best option for building natural ventilation. Based on the investigation and statistical data, the natural ventilation effectiveness under different location patterns and operational conditions is simulated using CFD methods, and it is obtained the most favourable location pattern for natural ventilation. The results show that the winter ventilation of buildings in the existing location pattern is significantly obstructed in the hilly terrain, which is favourable to the indoor thermal environment, however, the natural ventilation is compromised to a certain extent in summer. Furthermore, the findings also show that, regardless of the hilly terrain's height at 50 m or 150 m, the buildings are able to avoid natural ventilation in winter to the maximum extent when the distance between the buildings and the frontier of the hilly terrain is double that of the building height (i.e. 12 m). This study could contribute to theoretical instructions for optimum design of natural ventilation of rural residential buildings in the mountainous terrain of central China.","CFD simulation; Hilly terrains; Location pattern; Natural ventilation; Rural residential buildings; Wind tunnel experiment","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:3c72082e-7c3e-464f-aa02-51029c09e3aa","http://resolver.tudelft.nl/uuid:3c72082e-7c3e-464f-aa02-51029c09e3aa","A Review of Reviews on Computational Thinking Assessment in Higher Education","Zhang, X. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2022","There is an urgent need for educating the next generation of learners with digital tools and making use of digital practices and skills. Education on computational thinking (CT) is widespread around the world with a dominant focus on K- 12. Recently also higher education has come more to the focus of CTE. However, most of the work on CT in higher education has been focused on teaching and learning programming while less attention has been paid to the underlying skills and competences of CT in different domains. In this article 11 reviews were analyzed to identify constructs being assessed, methods and their characteristics for the delivery of assessment and the context in which the assessment were conducted. The findings indicate that there is certain consensus in the field on what constructs to measure. Last but not least, it was determined from our study that there are often no standards or principles followed for the design of assessment.","Computational Thinking; Assessment; Higher Education; Literature Review","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:d1a36f05-afa7-4ba4-8093-beeccc02aaac","http://resolver.tudelft.nl/uuid:d1a36f05-afa7-4ba4-8093-beeccc02aaac","Bio-Futures for Transplanetary Habitats: A Summary and Key Outcomes from the 2022 Symposium","van Ellen, Layla A. (Newcastle University); Belling, Anne-Sofie (Newcastle University); Brandić Lipińska, Monika (Newcastle University); Nerlich, Paula (Newcastle University); Azzopardi, Harry (Arup Sydney); Ciardullo, Christina (Yale School of Architecture); Dade-Robertson, Martyn (Newcastle University); Holt, Amy (International Space University); Hyry, Niina; James, Paul (University of Northumbria); James MacCowan, Richard (Biomimicry Innovation Lab); Deakin Roberts, Aled (The University of Manchester); Vermeulen, A.C.J. (TU Delft System Engineering); Zhang, Meng (University of Northumbria)","","2022","Bio-Futures for Transplanetary Habitats (BFfTH) is a Special Interest Group within the Hub for Biotechnology in the Built Environment that aims to explore and enable interdisciplinary research on transplanetary habitats and habitats within extreme environments through an emphasis on the bio-social and biotechnological relations. BFfTH organized the online and onsite networking symposium Bio-Futures for Transplanetary Habitats to examine how emerging biotechnologies, living materials, and more-than-human life can be implemented in habitat design and mission planning. The two day symposium aimed to serve as a catalyst in establishing an international network of collaborators across industry, academia and the private sector. It also aimed to support the development of novel methodologies to move beyond discipline-specific approaches in order to address and interrogate emerging questions surrounding potential transplanetary habitats and habitats in extreme environments. The symposium was divided into five sessions which hosted a minimum of three speakers each, these sessions were: Mycelium for Mars, Plants and Agriculture, Sustainable Habitats and Travels, Artistic Approach to Extremes Habitats, and Novel Biotechnologies for Space Habitats. This paper presents key outcomes from the symposium sessions, moderated panel, and informal discussions. The trends in ongoing research are identified and summarized following the use of biotechnology and bio-design to ensure and support safety, sustainability, habitability, reliability, crew efficiency, productivity and comfort in extreme environments both here on Earth and off-world. Moving beyond pure design and engineering innovation, the outcomes of this symposium also further interrogates sociotechnical imaginaries. Biodesign-based and biotechnologically-enabled transplanetary futures are investigated to understand how we want these futures to behave, feel and be experienced. The symposium hosted a wide range of topics including: innovative material-driven processes for the design of transplanetary habitats; socio-political concerns or ethical implications to be taken into account; technology transfer and transitioning towards a sustainable built environment on Earth; multi-species narratives and relations to sustain human and other-than-human life in transplanetary habitats; sociotechnical considerations in propagating and sustaining Earthbound life beyond Earth environments; and sustainable living on Earth through a holistic systems thinking approach. BFfTH further reflects on what potential bio-social and biotechnological research is needed to sustain life in an extraterrestrial environment in the future and how it can help with transitioning towards a more sustainable built environment here on Earth in the present.","","en","conference paper","","","","","","","","","","","System Engineering","","",""
"uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","http://resolver.tudelft.nl/uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","A generalised analytical framework for active earth pressure on retaining walls with narrow soil","Lai, F. (TU Delft Geo-engineering; Southeast University); Zhang, Ningning (Rheinisch-Westfälische Technische Hochschule); Liu, Songyu (Southeast University); Yang, Dayu (Southeast University)","","2022","Active earth pressure on retaining structures supporting a narrow column of soil cannot be properly analysed using Coulomb's theory. Finite element limit analysis (FELA) shows that the soil form multiple failure surfaces if the soil column is sufficiently narrow. This paper proposes a framework for active earth pressure estimation for narrow soils by combining an arched differential element method and a sliding wedge method. The analytical framework considers both soil friction and cohesion, soil arching effects and shear stress between adjacent differential elements. The solution obtained is validated against experimental data and FELA results. Through parametric studies, the effect on the active earth pressure of the aspect ratio, the soil friction, the soil cohesion and the wall-soil interface roughness are examined. To facilitate the use of the proposed framework in design, a modified active earth pressure coefficient and an application height of active thrust are provided.","analytical approach; Earth pressure; finite element limit analysis; narrow soil; retaining structure; soil arching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:36a3fc08-0d3d-4429-bee4-831699c71f7b","http://resolver.tudelft.nl/uuid:36a3fc08-0d3d-4429-bee4-831699c71f7b","Evaluation of the fatigue properties for the long-term service asphalt pavement using the semi-circular bending tests and stereo digital image correlation technique","Cheng, Long (Southeast University); Zhang, Lei (Southeast University); Liu, X. (TU Delft Pavement Engineering); Yuan, Fang (Southeast University); Ma, Yang (Southeast University); Sun, Yinqing (Southeast University)","","2022","Reliable assessment of the fatigue resistance of asphalt pavement with a long-term service is critically crucial for the rational formulation of original pavement utilization strategies in reconstruction and expansion projects. Currently, the pavement performance evaluation indicators are mainly used to guide pavement preventive maintenance, and its applicability in reconstruction and expansion projects of the freeway is limited. This paper aims to propose an evaluation method of fatigue resistance of asphalt concrete utilizing semi-circular bending (SCB) tests and stereo digital image correlation (stereo-DIC) techniques. A total of 27 asphalt concrete cores were drilled from the three freeways (K84, K124, and K165) with a service life of more than 20 years, and the SCB specimens were produced to conduct the SCB fracture and fatigue tests. During the SCB test, the stereo-DIC technique was employed to monitor the evolution process of the strain distribution and crack length for the specimens. K-dimension tree neighbor-searching algorithm (K-d tree algorithm) was used to effectively measure the change of crack length corresponding to each fatigue load cycle. Meanwhile, the strain threshold of asphalt concrete crack initiation was determined by the bilinear softening cohesive zone model (CZM) to ensure the accuracy of the crack length calculated by the K-d tree algorithm. Furthermore, the relationship between crack growth rate and stress intensity, which was used to fit the Paris law parameters, was determined. The CZM and DIC results indicated that the strain threshold of asphalt concrete crack should be set as 2000 με when using the K-d tree algorithm to determine the crack length. With the stress ratio increase, the Paris law parameter A increased wavily, and the parameter n decreased steadily, while the threshold of the stress intensity factor increased steadily. The Paris law master curves could characterize the fatigue performance of various road sections at a wide load range. The residual fatigue life of K84, K124, K165 the road sections were 2.13E + 08, 3.57E + 08, and 1.02E + 07, respectively.","CZM; Fatigue performance; K-d tree algorithm; Paris law; SCB test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:01128df0-d17b-4182-939f-893ebf6b6555","http://resolver.tudelft.nl/uuid:01128df0-d17b-4182-939f-893ebf6b6555","Morphodynamic changes in the Yangtze Estuary under the impact of the Three Gorges Dam, estuarine engineering interventions and climate-induced sea level rise","Cheng, H. Q. (East China Normal University; Institute of Eco-Chongming (IEC)); Chen, W. (Helmholtz-Zentrum Geesthacht - Zentrum für Material- und Küstenforschung GmbH); Li, J. F. (East China Normal University; Institute of Eco-Chongming (IEC)); Jiang, Y. H. (China Geological Survey); Hu, X. (Shanghai Chengtou Group Corporation); Zhang, X. L. (Shanghai Municipal Planning and Natural Resources Bureau); Zhou, F. N. (Changjiang Water Resources Commission); Hu, F. X. (East China Normal University); Stive, M.J.F. (TU Delft Coastal Engineering)","","2022","The estuarine turbidity maximum (ETM) in the Yangtze Estuary Delta (YED) is muddy by definition and lacks bottom undulations. However, since 2013, a remarkable change has occurred in the YED. Recent images detected by a multibeam echosounder system, SeaBat 7125, for the first time have confirmed widespread regions of subaqueous dunes in the Yangtze ETM channel. This abnormal change is the result of morphodynamic transformation from the combination of an abrupt decline in sediment supply resulting from the construction of the Three Gorges Dam (TGD) and hydrodynamic changes caused by sea level rise. The latter includes anthropogenic-induced sea level rises (from land subsidence and coastal engineering) of 7–37 cm and a climate-induced sea level rise of 8 cm during the past four decades. Obvious evidence of hydrodynamic changes includes tidal amplification, i.e., a 10–28 cm rise in the tidal range, 42–65 cm rise in the lowest tidal level in the dry season, 45–67 cm rise in the highest tidal level in the flood season and 10–30% increase in the amplitude of the major tidal component. These findings will likely have global implications in formulating strategies to combat the superimposed effects of human interventions and climate change on upstream river and downstream coastal developments.","estuarine turbidity maxima; sea level rise; subaqueous dunes; tidal amplification; Yangtze Estuary","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:25561465-a7f7-4781-89e0-ce9a005a254c","http://resolver.tudelft.nl/uuid:25561465-a7f7-4781-89e0-ce9a005a254c","Prediction of gas leakage and dispersion in utility tunnels based on CFD-EnKF coupling model: A 3D full-scale application","Cai, Jitao (China University of Mining and Technology (Beijing)); Wu, Jiansong (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Kong, Desheng (China University of Mining and Technology (Beijing)); Zhang, Xiaole (ETH Zürich)","","2022","Natural gas compartment accommodated in utility tunnels is beneficial in meeting the pressing demand of energy supply and sustainable urban environment. However, the leaking gas characterized by flammable and explosive can pose a huge threat to the safe operation of the utility tunnel. When an unexpected gas leakage accident happens in the actual situation, the prior information associated with the leakage source is commonly unclear or unknown. Therefore, the absence of an available tool for reasonable leakage and dispersion prediction in the above scenario precludes the timely and appropriate emergency response treatment. In this study, a three-dimensional source term estimation (3D-STE) model with the combination of the computational fluid dynamics (CFD) and ensemble Kalman filter (EnKF) algorithm is proposed to achieve spatiotemporal gas concentration prediction and gas emission source estimation. In the proposed approach, the observation data can be incorporated into the gas dispersion simulations continuously, thus the simulation results can be revised by the observation data and the source term estimation of gas leakage can be achieved by employing the EnKF algorithm. A twin experiment is employed to validate the effectiveness and practicability of the proposed model. The results show that the proposed model can revise the prior errors in the gas leakage rate significantly and obtain an accurate prediction of gas concentration distribution as well as gas leakage rate. A feasible framework is also proposed serving as a good paradigm for the 3D-STE model application. This study helps for consequence assessment and emergency response of gas leakage accidents in utility tunnels.","Computational fluid dynamics; Ensemble Kalman filter; Gas leakage; OpenFOAM; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:69f97604-bdda-466f-8482-91a0884fcfff","http://resolver.tudelft.nl/uuid:69f97604-bdda-466f-8482-91a0884fcfff","Chemoenzymatic intermolecular haloether synthesis","Chen, Shaohang (Wuhan University of Science and Technology); Zhang, Jiaan (Wuhan University of Science and Technology); Zeng, Zhigang (Wuhan University of Science and Technology); Dai, Zongjie (Chinese Academy of Sciences); Wang, Qinhong (Chinese Academy of Sciences); Wever, Ron (Universiteit van Amsterdam); Hollmann, F. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis; Chinese Academy of Sciences)","","2022","A chemoenzymatic method for the synthesis of haloethers is presented. A combination of enzymatic hypohalite synthesis with spontaneous oxidation of alkenes and nucleophilic attack by various alcohols enabled the synthesis of a wide range of haloethers. The reaction system has been characterised and current imitations have been worked out. In the present, aqueous reaction system, hydroxyhalide formation represents the main undesired side reaction. Nevertheless, semi-preparative scale synthesis of a range of haloethers is demonstrated.","Chemoenzymatic synthesis; Ether synthesis; Haloetherification; Vanadium chloroperoxidase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:b112e021-91f2-484b-9ea3-b11faeb6c0b3","http://resolver.tudelft.nl/uuid:b112e021-91f2-484b-9ea3-b11faeb6c0b3","Coalescence kinetics and microstructure evolution of Cu nanoparticles sintering on substrates: a molecular dynamics study","Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Gao, Chenshan (Southern University of Science and Technology; Chongqing University); Liu, Yang (Harbin University of Science and Technology); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Nano copper sintering technology has great potential to be widely applied in the wide-bandgap semiconductor packaging. In order to investigate the coalescence kinetics of copper nano particles for this application, a molecular dynamic (MD) simulation was carried out at low temperature on a special model containing two substrate and multiple particles in between. Accordingly, thorough microstructure and dislocation investigation was conducted to identify the atomic-scale evolution in the system. The corresponding findings could provide evidence on the new particle-substrate sintering mechanism. Furthermore, atomic trajectories tracking method was applied to study the rotation behavior of different sized nano particles. New rotation behavior and mechanism were described. Additionally, the study on the size effect of copper particles on the sintering process and coalescence mechanism was conducted via comparing the microstructural and dislocation distribution of 3 nm, 4 nm and 5 nm models. Finally, by comparing the MSD results at low and high temperature for each model, the dominant coalescence dynamics changes were obtained.","Cu nanoparticle; Microstructural analysis; Molecular dynamics; Sintering dynamics; Sintering on substrate","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:365b262d-347d-4e0f-b786-0358f5f09763","http://resolver.tudelft.nl/uuid:365b262d-347d-4e0f-b786-0358f5f09763","A mass-, kinetic energy- and helicity-conserving mimetic dual-field discretization for three-dimensional incompressible Navier-Stokes equations, part I: Periodic domains","Zhang, Y. (TU Delft Computational Design and Mechanics); Palha, A. (TU Delft Aerodynamics); Gerritsma, M.I. (TU Delft Aerodynamics); Rebholz, Leo G. (Clemson University)","","2022","We introduce a mimetic dual-field discretization which conserves mass, kinetic energy and helicity for three-dimensional incompressible Navier-Stokes equations. The discretization makes use of a conservative dual-field mixed weak formulation where two evolution equations of velocity are employed and dual representations of the solution are sought for each variable. A temporal discretization, which staggers the evolution equations and handles the nonlinearity such that the resulting discrete algebraic systems are linear and decoupled, is constructed. The spatial discretization is mimetic in the sense that the finite dimensional function spaces form a discrete de Rham complex. Conservation of mass, kinetic energy and helicity in the absence of dissipative terms is proven at the discrete level. Proper dissipation rates of kinetic energy and helicity in the viscous case are also proven. Numerical tests supporting the method are provided.","de Rham complex; Helicity conservation; Kinetic energy conservation; Mass conservation; Mimetic discretization; Navier-Stokes equations","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:74fb5c77-62b9-424a-a513-2b8fedfbbd29","http://resolver.tudelft.nl/uuid:74fb5c77-62b9-424a-a513-2b8fedfbbd29","Concept and design of a metastructure-based multi-stable surface","Zhang, Y. (TU Delft Computational Design and Mechanics); Tichem, M. (TU Delft Micro and Nano Engineering); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2022","Metastructures composed of snapping beams are capable of deforming into a series of stable states, enabling them to realize shape reconfigurations. In this paper, we present the design of a metastructure-based morphing surface that is able to exhibit a series of stable configurations with different curvatures. Using theoretical, numerical, and experimental approaches, we study the snap-through transition between the initially flat and the curved stable configurations. Effects of geometric parameters on the snap-through and curvatures are systematically investigated. Results show that the beam thickness is important for tuning the snap-through response, while the curvature can be tuned by changing the beam height and the horizontal span of the structures. Furthermore, an analytical model is developed to investigate the structural nonlinear deformations. It is shown that the proposed model can predict the snap-through transition properly. The structural stability can be controlled by setting proper values for t/L and h/L (t, h, and L represents the beam thickness, height and span, respectively). Finally, it is demonstrated that based on two-dimensional arrangements of bi-stable elements, various stable configurations, like corrugations in different directions, can be imposed to the surface.","Curved stable configuration; Morphing surface; Multi-stable metastructure; Snap-through","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:059269fb-75c2-40df-9464-9bddab57d2ea","http://resolver.tudelft.nl/uuid:059269fb-75c2-40df-9464-9bddab57d2ea","Spreading speeds and monostable waves in a reaction-diffusion model with nonlinear competition","Zhang, Qiming (Zhejiang Institute of Meteorological Sciences); Han, Yazhou (China Jiliang University); van Horssen, W.T. (TU Delft Mathematical Physics); Ma, Manjun (Zhejiang Institute of Meteorological Sciences)","","2022","In this paper the wave propagation dynamics of a Lotka-Volterra type of model with cubic competition is studied. The existence of traveling waves and the uniqueness of spreading speeds are established. It is also shown that the spreading speed is equal to the minimal speed for traveling waves. Furthermore, general conditions for the linear or nonlinear selection of the spreading speed are obtained by using the comparison principle and the decay characteristics for traveling waves. By constructing upper solutions, explicit conditions to determine the linear selection of the spreading speed are derived.","Cubic competition; Linear selection; Lotka-Volterra model; Minimal wave speed; Spreading speed","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-29","","","Mathematical Physics","","",""
"uuid:32f3c456-d017-47bb-afaf-d57fe15a8123","http://resolver.tudelft.nl/uuid:32f3c456-d017-47bb-afaf-d57fe15a8123","Experimental study and discrete element analysis on lateral resistance of windblown sand railway","Zhang, Zhihai (Beijing Jiaotong University); Xiao, Hong (Beijing Jiaotong University); Wang, Y. (Beijing Jiaotong University); Fang, Jia (Beijing Jiaotong University); Nadakatti, M. M. (KLSGIT); Wang, Haoyu (TU Delft Mechanics and Physics of Structures)","","2022","The lateral resistance of ballast bed is an important parameter to prevent track expansion and maintain track stability. The invasion of sand particles can cause the change of lateral resistance of ballast bed and affect the stability of track structure, but little attention has been paid to the change characteristics of nonlinear lateral resistance of sandy ballast bed. In this paper, the field tests on the lateral resistance of windblown sand ballast bed were carried out to establish a multiscale three-dimensional discrete element model of sleeper-ballast bed. A systematic analysis on the evolution of lateral resistance, resistance to lateral deformation, micro-contact characteristics and lateral stability of ballast bed is performed. The results show that sand intrusion can increase the lateral resistance of ballast bed, which is approximately 40 % higher than that of clean ballast bed. In nonlinear strengthening stage and yield stage, the enhancement effect of sand particles on the lateral resistance of ballast bed is relatively weaker in comparison with the linear growth stage. With the increase in sand intrusion depth, the lateral resistance and resistance work of ballast bed both gradually go up, and the contribution of ballast shoulder to lateral resistance tends to play a leading role. Sand intrusion can increase the lateral stiffness of ballast bed and reduce the elasticity of track structure. Therefore, the maintenance operation should be carried out in time for the section with severe sandstorm.","Contact force; Cyclic loading; Discrete element method; Lateral resistance; Lateral resistance work; Windblown sand ballast bed","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechanics and Physics of Structures","","",""
"uuid:c06e7e41-4c93-461a-8739-7ae84fb7bdc3","http://resolver.tudelft.nl/uuid:c06e7e41-4c93-461a-8739-7ae84fb7bdc3","Multi-source unsupervised soft sensor based on joint distribution alignment and mapping structure preservation","Zhang, Z. (Taiyuan University of Technology; Beijing Institute of Technology); Yan, Gaowei (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Fang, Yaling (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2022","Aiming at the problem of mismatch between real-time data distribution and modeling data distribution caused by the change of working conditions in industrial process, which leads to the performance deterioration of the soft sensor model, a multi-source unsupervised soft sensor method based on joint distribution alignment and mapping structure preservation is proposed. Firstly, the method uses the hypergraph to establish the complex structure of feature and label, and clusters the hypergraph matrix in multiple views to completely construct the class pseudo label; then dynamic distribution alignment is used to adapt marginal distribution and conditional distribution between the data of historical working conditions and the current working conditions, and the hypergraph Laplacian operator is introduced for manifold regularization to prevent the mapping relationship between feature and label from being destroyed; finally, similar working conditions are introduced to further enhance the robustness of the model. The experimental results show that compared with the traditional unsupervised soft sensor methods, the method used in this paper can effectively improve the prediction accuracy of the model.","Dynamic distribution alignment; Hypergraph; Multi-view clustering; Soft sensor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:e4c0a283-4419-481c-b95b-2d0c34bd249f","http://resolver.tudelft.nl/uuid:e4c0a283-4419-481c-b95b-2d0c34bd249f","Magnetocaloric effect in the (Mn,Fe)2(P,Si) system: From bulk to nano","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Taake, Chris (Bielefeld University); Huang, B. (TU Delft RST/Fundamental Aspects of Materials and Energy); You, X. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ojiyed, Hamutu (External organisation); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep); Caron, Luana (Bielefeld University; Institute for Solar Fuels); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","In the field of nanoscale magnetocaloric materials, novel concepts like micro-refrigerators, thermal switches, microfluidic pumps, energy harvesting devices and biomedical applications have been proposed. However, reports on nanoscale (Mn,Fe)2(P,Si)-based materials, which are one of the most promising bulk materials for solid-state magnetic refrigeration, are rare. In this study we have synthesized (Mn,Fe)2(P,Si)-based nanoparticles, and systematically investigated the influence of crystallite size and microstructure on the giant magnetocaloric effect. The results show that the decreased saturation magnetization (Ms) is mainly attributed to the increased concentration of an atomically disordered shell, and with a decreased particle size, both the thermal hysteresis and Tc are reduced. In addition, we determined an optimal temperature window for annealing after synthesis of 300–600 °C and found that gaseous nitriding can enhance Ms from 120 to 148 Am2kg−1 and the magnetic entropy change (ΔSm) from 0.8 to 1.2 Jkg−1K−1 in a field change of Δμ0H = 1 T. This improvement can be attributed to the synergetic effect of annealing and nitration, which effectively removes part of the defects inside the particles. The produced superparamagnetic particles have been probed by high-resolution transmission electron microscopy, Mössbauer spectra and magnetic measurements. Our results provide important insight into the performance of giant magnetocaloric materials at the nanoscale.","(Mn,Fe)2(P,Si); Magnetization; Magnetocaloric materials; Nanoparticles; Phase transition","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:f6d09e83-b104-480d-81c9-bae95821d498","http://resolver.tudelft.nl/uuid:f6d09e83-b104-480d-81c9-bae95821d498","Joint energy consumption optimization method for wing-diesel engine-powered hybrid ships towards a more energy-efficient shipping","Wang, Kai (Dalian Maritime University); Xue, Yu (Dalian Maritime University); Xu, Hao (Dalian Maritime University); Huang, Lianzhong (Dalian Maritime University); Ma, Ranqi (Dalian Maritime University); Zhang, Peng (Dalian Maritime University); Jiang, X. (TU Delft Transport Engineering and Logistics); Yuan, Yupeng (University of Cambridge; MOST); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Sun, Peiting (Dalian Maritime University)","","2022","Wing-diesel engine-powered hybrid ships can effectively reduce fuel consumption and CO2 emissions by using wind energy as the auxiliary driving power. The energy optimization management of the hybrid system can further improve the ship's energy efficiency. To achieve this purpose, it is significant to establish an effective energy consumption model for the energy optimization management of the hybrid system. Therefore, an energy consumption model is established based on the energy conversion analysis of the hybrid power system in this paper. This model can effectively describe the energy consumption of the hybrid ship under different navigational environmental conditions. Then, a joint optimization method of the wing attack angle and of the sailing speed for the hybrid ship is proposed by adopting a swarm intelligence optimization algorithm, in order to reduce energy consumption and CO2 emissions of the hybrid ship under different navigational environmental conditions. Finally, the energy consumption optimization potentials by adopting the hybrid power system and the proposed joint optimization method are analyzed. The results show that the energy consumption and CO2 emissions along a typical route can be reduced by about 4.5%. This study provides an important basis for future practical operations of wing-diesel engine-powered hybrid ships.","Carbon neutrality; Energy consumption optimization; Hybrid ship; Low carbon shipping; Wind energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-29","","","Transport Engineering and Logistics","","",""
"uuid:1b01c624-e944-41a5-9269-82e56a680158","http://resolver.tudelft.nl/uuid:1b01c624-e944-41a5-9269-82e56a680158","Sintering mechanism of Ag nanoparticle-nanoflake: a molecular dynamics simulation","Li, Shizhen (Harbin University of Science and Technology; Southern University of Science and Technology); Liu, Yang (Harbin University of Science and Technology); Ye, H. (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","This paper studied the behaviors of sintering between Ag nanoparticle (NP) and nanoflake (NF) in the same size by molecular dynamics simulation. Before the sintering simulation, the melting simulation of NF was carried out to calculate the melting points of NFs and investigate the thermostability of NF. The Lindemann index and potential energy showed that the melting points of NF were significantly size-dependent. During the heating process, the sharp corner of NF transformed to the round corner and could bend spontaneously lower than melting points. In sintering simulation, the sintering process of NF-NP showed a metastable stage before equilibrium. Under low sintering temperature (500 K), the degree of plasticity sintering mechanism of NF-NP was more prominent, which generated more defects, such as amorphous atoms, dislocations, and stacking faults, than NP-NP. The sintered products of NF-NP also presented a better neck size and shrinkage than NP-NP in the same size. A new sintering behavior was observed: NF was bent toward the NP during the sintering. The bending curvature of NF increased as the thickness or the length/width decreased. For the NF with the ratio of length/width to thickness of 5:1, bending could further significantly facilitate neck growth. At 700 K, the plasticity mechanism dominated both the sintering processes of NF-NP and NP-NP. And NF-NP showed a larger diffusivity than NP-NP. At last, we investigated the effects of crystal misorientation, and found that a tilted grain boundary generated in the neck. The NF had the trend of rotation to decrease the crystal misorientation.","Melting; Molecular dynamics; Nanoflake; Nanoparticle; Sintering","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:540b161f-f7eb-4842-9c28-3c8130efac9d","http://resolver.tudelft.nl/uuid:540b161f-f7eb-4842-9c28-3c8130efac9d","High-temperature nanoindentation characterization of sintered nano-copper particles used in high power electronics packaging","Fan, Jiajie (Fudan University); Jiang, Dawei (Hohai University); Zhang, Hao (School of Materials Science and Engineering; Nanyang Technological University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Nano-copper sintering is one of new die-attachment and interconnection solutions to realize the wide bandgap semiconductor power electronics packaging with benefits on high temperature, low inductance, low thermal resistance and low cost. Aiming to assess the high-temperature reliability of sintered nano-copper die-attachment and interconnection, this study characterized the mechanical properties of sintered nano-copper particles using the high-temperature nanoindentation tests. The results showed that: firstly, the hardness and indentation modulus of the sintered nano-copper particles increased rapidly when the loading rate increased below 0.2 mN·s−1 and then stabilized, and decreased with increased applied load up to 30 mN. Next, by extracting the yield stress and strain hardening index, a plastic stress–strain constitutive model at room temperature for sintered nano-copper particles was obtained. Finally, the high temperature nanoindentation tests were performed at 140 ˚C–200 ˚C on the sintered nano-copper particles prepared under different assisted pressures, which showed that a high assisted pressure resulted in the reduced temperature sensitivity of hardness and indentation modulus. The creep tests indicated that high operation temperature resulted in a high steady-state creep rate, which negatively impacted the creep resistance of sintered nano-copper particles, while the higher assisted pressure could improve the creep resistance.","High-temperature creep; Nano-copper sintering; Nanoindentation; Power electronics packaging; Reliability","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f76d5cd9-482f-4e24-bff8-a0ec22e4da31","http://resolver.tudelft.nl/uuid:f76d5cd9-482f-4e24-bff8-a0ec22e4da31","A facile method to prepare oriented boron nitride-based polymer composite with enhanced thermal conductivity and mechanical properties","Chen, Jing (Fudan University; Chinese Academy of Sciences; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zeng, Xiao Liang (Chinese Academy of Sciences); Ye, Huai Yu (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2022","Hexagonal boron nitride (BN) is often used as filler to improve the thermal conductivity of polymer matrix due to its high thermal conductivity. However, previously reported BN-based composites always have a high in-plane thermal conductivity, which is not beneficial for vertical heat dissipation. In addition, high BN content results in the deterioration of the mechanical properties. Here, we report a feasible method to prepare a BN/silicone rubber (SiR) composite with oriented BN in organosilicon matrix via a vacuum-assisted self-assembly technique. The BN/SiR composite displays a 1270% higher (2.74 W/(m·K)) thermal conductivity than that of neat organosilicon matrix (0.20 W/(m·K)). The oriented BN nanosheets increase the polymer's adhesive force and exhibit excellent compression cycle performance. In turn, these features support its superiority as thermal interface material in the light-emitting diode chips heat dissipation application.","Boron nitride; Mechanical property; Polymer composites; Thermal conductivity; Vacuum-assisted self-assembly method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:bbb7ec2b-9469-4730-9b4a-7ea97937e1fd","http://resolver.tudelft.nl/uuid:bbb7ec2b-9469-4730-9b4a-7ea97937e1fd","Effect of slags of different origins and the role of sulfur in slag on the hydration characteristics of cement-slag systems","Zhang, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","The effect of slag of different origins (synthetic slag produced in the laboratory and commercial slag collected from different steel factories) with comparable chemical composition, amorphous content and particle size distribution, on the hydration characteristics of slag cement was investigated. In order to study the effect of sulfur in slag, a model cement paste of a C3S-slag blend was also produced. It was found that origin of slag has very little impact on the hydration process of cement-slag system. Synthetic slag shows a comparable compressive strength gain to commercial slag at 1 day, and from then on, a lower compressive strength is reached by it compared with that of commercial slag until 28 days. The sulfur in slag starts to participate in reaction after 1 day and it dominates the rate of heat release in calorimetric measurement, consistent with the result of compressive strength test. It significantly affects the elemental composition of the cementitious matrix at 7 days, and higher Al/Si and S/Ca ratios can be detected in cement-commercial slag blend at 7 days. The sulfur is involved in the formation of AFm-phase, such as calcium monosulfoaluminate, and the thermodynamic modelling shows that upon the gradual incorporation of sulfur in slag, calcium monosulfoaluminate precipitates continuously with the consumption of strätlingite and portlandite. The conclusion obtained in the paper provides a basis to understand the role of sulfur in slag on the hydration process of slag cement","CS-slag system; Commercial and synthetic slag; Origin; Sulfur","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:85c5fd2a-4d06-4a36-a163-af978d0f9a99","http://resolver.tudelft.nl/uuid:85c5fd2a-4d06-4a36-a163-af978d0f9a99","Influence of liquid-binder ratio on the performance of alkali-activated slag mortar with superabsorbent polymer","Yang, Zhengxian (Fuzhou University); Shi, Peng (Fuzhou University; Politecnico di Torino); Zhang, Yong (Fuzhou University); Li, Z. (TU Delft Materials and Environment)","","2022","The influences of liquid-binder ratio and mixing sequence on the performance of superabsorbent polymer (SAP)-containing alkali-activated slag (AAS) mortar are investigated in this study. It is found that the SAP absorbs much less liquid in upper supernatant of AAS than in water. Mixing SAP with liquid first induces a larger absorption capacity of the SAP than mixing it with solid first. Increasing the liquid-binder ratio improves the flowability but reduces the strength of AAS mortar with SAP. Nonetheless, the strength of internally cured mixtures is higher than that of the reference even with an extra liquid-binder ratio of 0.09. The reason behind lies in the refinement of capillary and gel porosity by internal curing, despite the presence of large voids originated from SAP. The autogenous shrinkage of AAS paste is reduced significantly by the incorporation of SAP but the further mitigating effect of increased liquid-binder ratio is limited.","Alkali activated slag; Compressive strength; Liquid-binder ratio; Pore structure; Superabsorbent polymer","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a7bd4881-35a8-4fab-824f-f27803ef2034","http://resolver.tudelft.nl/uuid:a7bd4881-35a8-4fab-824f-f27803ef2034","Elutriation and agglomerate size distribution in a silica nanoparticle vibro-fluidized bed","Feng, Zhen (Southeast University); Liu, Daoyin (Southeast University); Zhang, Wangle (Xi’an Modern Chemistry Research Institute, Xi'an); Feng, Hao (Xi’an Modern Chemistry Research Institute, Xi'an); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2022","Fluidization of nanoparticle agglomerates is a promising technique to process nanoparticles. However, possible elutriation of small agglomerates may cause significant loss of bed material. To obtain the elutriation behavior under stable operation, in this study the elutriation fraction of silica nanoparticle agglomerates is measured in a vibro-fluidized bed, which is operated for several hours. Among conditions with different fluidizing gas velocities, Ug, and vibration strength, Λ, the lowest elutriation fraction measured is around 5% after 7-hour fluidization. The elutriation fraction increases significantly with Ug, while varies slightly with Λ. To help elucidating the elutriation behavior, the agglomerates at three different locations (bed surface, splash zone, and bed outlet) are sampled and their size distributions are determined. The elutriation rate constant is found to be much smaller than the literature results for ordinary particles, and the reasons are discussed in detail. Finally, an empirical correlation considering size distribution is proposed to fit the elutriation rate constant for the conditions in this study.","Agglomerate size distribution; Elutriation; Elutriation rate constant; Fluidization; Nanoparticle agglomerate","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Product and Process Engineering","","",""
"uuid:70518569-822f-498f-b95e-9fc160329f54","http://resolver.tudelft.nl/uuid:70518569-822f-498f-b95e-9fc160329f54","Developing a new acoustic emission source classification criterion for concrete structures based on signal parameters","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Fennis, Sonja A.A.M. (Ministry of Infrastructure and the Environment); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2022","Acoustic emission (AE) signal parameters can be used to classify the source type in concrete structures. However, signal parameters are influenced by the wave propagation from the source to the receiver, leading to wrong source classification results, especially for monitoring large concrete structures. This paper experimentally evaluates the influence of wave travel distance on signal parameters on a full-scale shear test of a reinforced concrete beam. The evaluated signal parameters include the RA value, average frequency, peak frequency, frequency centroid, and partial power. The evaluation reveals the limitation of using RA value - average frequency trends in large scale structural concrete members. Based on the evaluation, we propose a new source classification criterion using peak frequency or partial power, which can effectively classify the source type. The new criterion is also validated in a reinforced concrete slab test, which is another structural type. Based on the new criterion, we suggest a sensor layout that is suitable for source classification for large concrete structures. The results of this paper can help developing a reliable solution for real-time source classification for large concrete structures in general.","Acoustic emission source classification; Concrete structures; Concrete tensile cracking; Friction; Signal parameters; Wave propagation in concrete","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:d143227b-7d20-4fd3-9176-353874eb3e53","http://resolver.tudelft.nl/uuid:d143227b-7d20-4fd3-9176-353874eb3e53","An improved stress recovery technique for the unfitted finite element analysis of discontinuous gradient fields","Zhang, J. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2022","Stress analysis is an all-pervasive practice in engineering design. With displacement-based finite element analysis, directly-calculated stress fields are obtained in a post-processing step by computing the gradient of the displacement field—therefore less accurate. In enriched finite element analysis (EFEA), which provides unprecedented versatility by decoupling the finite element mesh from material interfaces, cracks, and structural boundaries, stress recovery is further aggravated when such discontinuities get arbitrarily close to nodes of the mesh; the presence of small area integration elements often yields overestimated stresses, which could have a detrimental impact on nonlinear analyses (e.g., damage or plasticity) since stress concentrations are just a nonphysical numerical artifact. In this article, we propose a stress recovery procedure for enhancing the stress field in problems where the field gradient is discontinuous. The formulation is based on a stress improvement procedure (SIP) initially proposed for low-order standard finite elements. Although generally applicable to all EFEA, we investigate the technique with the Interface-enriched Generalized Finite Element Method and compare the procedure to other post-processing smoothing techniques. We demonstrate that SIP for EFEA provides an enhanced stress field that is more accurate than directly-calculated stresses—even when compared with standard FEM with fitted meshes.","enriched finite element analysis; interface-enriched generalized FEM; stress improvement procedure; stress recovery","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:b5a1ddec-2b50-449f-88a3-ab0855a8070d","http://resolver.tudelft.nl/uuid:b5a1ddec-2b50-449f-88a3-ab0855a8070d","Robust tube-based model predictive control with Koopman operators","Zhang, Xinglong (National University of Defense Technology); Pan, W. (TU Delft Robot Dynamics); Scattolini, Riccardo (Politecnico di Milano); Yu, Shuyou (Jilin University); Xu, Xin (National University of Defense Technology)","","2022","Koopman operators are of infinite dimension and capture the characteristics of nonlinear dynamics in a lifted global linear manner. The finite data-driven approximation of Koopman operators results in a class of linear predictors, useful for formulating linear model predictive control (MPC) of nonlinear dynamical systems with reduced computational complexity. However, the robustness of the closed-loop Koopman MPC under modeling approximation errors and possible exogenous disturbances is still a crucial issue to be resolved. Aiming at the above problem, this paper presents a robust tube-based MPC solution with Koopman operators, i.e., r-KMPC, for nonlinear discrete-time dynamical systems with additive disturbances. The proposed controller is composed of a nominal MPC using a lifted Koopman model and an off-line nonlinear feedback policy. The proposed approach does not assume the convergence of the approximated Koopman operator, which allows using a Koopman model with a limited order for controller design. Fundamental properties, e.g., stabilizability, observability, of the Koopman model are derived under standard assumptions with which, the closed-loop robustness and nominal point-wise convergence are proven. Simulated examples are illustrated to verify the effectiveness of the proposed approach.","Convergence; Koopman operators; Model predictive control; Nonlinear systems; Robustness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Robot Dynamics","","",""
"uuid:1f7ee5aa-b2ab-4e76-982d-a1cb4588de7f","http://resolver.tudelft.nl/uuid:1f7ee5aa-b2ab-4e76-982d-a1cb4588de7f","Identification of metro-bikeshare transfer trip chains by matching docked bikeshare and metro smartcards","Ma, Xinwei (Hebei University of Technology); Zhang, Shuai (Hebei University of Technology); Jin, Yuchuan (KTH Royal Institute of Technology); Zhu, Minqing (Hebei University of Technology); Yuan, Y. (TU Delft Transport and Planning)","","2022","Metro-bikeshare integration, an important way of improving the efficiency of public transportation, has grown rapidly during the last decades in many countries. However, most previous analysis of metro-bikeshare transfer trips were based on limited sample size and the number of recognized metro-bikeshare trips were not sufficient. The primary objective of this study is to derive a method to recognize metro-bikeshare transfer trips. The two data sources are provided by Nanjing Metro Company and Nanjing Public Bicycle Company over the same period from 9–29 March 2016. The identifying method includes three steps: (1) Matching Card Pairs (2) Filtering Card Pairs and (3) Identifying Card Pairs. The case study indicates that the Support Vector Classification (SVC) performs best with a high prediction accuracy of 95.9% using seamless smartcards. The identifying method is then used to recognize the transfer trips from other types of cards, resulting in 17,022 valid metro-bikeshare transfer trips made by 2948 travelers. Finally, travel patterns extracted from the two groups of identified transfer trips are analyzed comparatively. The method proposed presents new opportunities for analyzing metro-bikeshare transfer trip characteristics.","Identifying method; Metro-bikeshare integration; Prediction model; Smartcard","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:a7ae1c3e-dd7c-4760-8c5f-95cddd17c975","http://resolver.tudelft.nl/uuid:a7ae1c3e-dd7c-4760-8c5f-95cddd17c975","Eligibility traces and forgetting factor in recursive least-squares-based temporal difference","Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Zhang, Z. (Southeast University); Liu, Di (Southeast University; University Medical Center Groningen)","","2022","We propose a new reinforcement learning method in the framework of Recursive Least Squares-Temporal Difference (RLS-TD). Instead of using the standard mechanism of eligibility traces (resulting in RLS-TD((Formula presented.))), we propose to use the forgetting factor commonly used in gradient-based or least-square estimation, and we show that it has a similar role as eligibility traces. An instrumental variable perspective is adopted to formulate the new algorithm, referred to as RLS-TD with forgetting factor (RLS-TD-f). An interesting aspect of the proposed algorithm is that it has an interpretation of a minimizer of an appropriate cost function. We test the effectiveness of the algorithm in a Policy Iteration setting, meaning that we aim to improve the performance of an initially stabilizing control policy (over large portion of the state space). We take a cart-pole benchmark and an adaptive cruise control benchmark as experimental platforms.","eligibility traces; instrumental variable method; least squares; reinforcement learning; temporal difference","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:a149edd6-ef1b-476c-a0f3-ec1a1ddb5d17","http://resolver.tudelft.nl/uuid:a149edd6-ef1b-476c-a0f3-ec1a1ddb5d17","A safety investment optimization model for power grid enterprises based on System Dynamics and Bayesian network theory","Wu, Jiansong (China University of Mining and Technology (Beijing)); Zhang, Linlin (China University of Mining and Technology (Beijing)); Bai, Yiping (China University of Mining and Technology (Beijing)); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science)","","2022","In recent years, frequent large-scale power grid accidents have caused serious economic losses and bad social impact, which has drawn great attention from power grid enterprises. As one of the key elements of production, safety investment plays an important role in improving the safety level and reducing accident loss. In this paper, System dynamics (SD) and Bayesian network (BN) are integrated to develop a novel safety investment optimization model for power grid enterprises, which takes into account the impact of safety investment factors on accidents and the interactions between them. Based on sensitivity analysis, critical safety investment factors are determined to form the subsystem of the SD model. Subsequently, the optimal safety investment strategy is determined by a three-step simulation. The simulation results show that there are barrel effects and a diminishing marginal utility in safety investment. The proposed safety investment optimization model is practical to provide technical supports and guidance for determining an effective safety investment strategy in power grid enterprises.","Bayesian network; Optimization; Power grid; Safety investment; Safety investment strategy; System dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:ce3725d3-0cb1-4bda-b789-b4683b5aed28","http://resolver.tudelft.nl/uuid:ce3725d3-0cb1-4bda-b789-b4683b5aed28","Acceleration of corrosion of 304 stainless steel by outward extracellular electron transfer of Pseudomonas aeruginosa biofilm","Huang, Luyao (University of Science and Technology Beijing; Global Energy Interconnection Research Institute Co., Ltd.); Chang, Weiwei (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Huang, Ye (Chinese Academy of Sciences); Li, Z. (TU Delft Team Arjan Mol); Lou, Yuntian (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Jiang, Chengying (Chinese Academy of Sciences); Li, Xiaogang (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2022","The influence of outward extracellular electron transfer (EET) of Pseudomonas aeruginosa in accelerating corrosion of 304 stainless steel was investigated. With less NO3− available as electron acceptor, P. aeruginosa biofilm accelerated the pitting corrosion. The ICP-MS and XPS results indicated that P. aeruginosa promoted the bioreductive dissolution of iron oxides in the passive film of stainless steel. Using in situ scanning electrochemical microscopy, we established a relationship between this accelerated deterioration of the passive film and the EET process mediated by the conversion of the redox states of pyocyanin secreted by P. aeruginosa.","Extracellular electron transfer; Microbiological corrosion; Pseudomonas aeruginosa; Stainless steel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-13","","","Team Arjan Mol","","",""
"uuid:b20e5945-bf01-447e-b771-2af092301668","http://resolver.tudelft.nl/uuid:b20e5945-bf01-447e-b771-2af092301668","Subwavelength spinning of particles in vector cosine-Gaussian field with radial polarization","Zhao, Rui (Shandong University of Technology); Jiang, M. (TU Delft ImPhys/Optics); Zhang, Shuoshuo (Shenzhen University); Man, Zhongsheng (Shandong University of Technology; Shandong Normal University); Wang, Benyi (Shandong University of Technology); Ge, Xiaolu (Shandong University of Technology); Zhang, Wenfei (Shandong University of Technology); Zhang, Yuquan (Shenzhen University); Fu, Shenggui (Shandong University of Technology)","","2022","A new type of radially polarized (RP) cosine-Gaussian (CG) field is proposed. Through the analytical model, it is found that such RP CG beam exhibits completely different focusing properties from the reported RP plane waves. More importantly, a stable three-dimensional trap of Rayleigh particle accompanied by a subwavelength spin motion can be easily achieved using this RP CG beam.","Cosine-Gaussian field; Diffractive optics; Optical trapping; Radial polarization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Optics","","",""
"uuid:70aa6d55-9c5e-45b1-93e2-54f0967849d8","http://resolver.tudelft.nl/uuid:70aa6d55-9c5e-45b1-93e2-54f0967849d8","Nonlinear influence of excess Mn on the magnetoelastic transition in (Mn,Cr)2Sb","Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ojiyed, Hamutu (External organisation); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","The influence of excess Mn on the magnetoelastic ferromagnetic-to-antiferromagnetic transition Tt in the magnetocaloric compound (Mn,Cr)2Sb has been studied. With increasing excess Mn the magnetoelastic transition temperature for (Mn,Cr)2Sb initially increases and then decreases. This trend is accompanied by a strong reduction of the (Mn,Cr)Sb secondary phase. With increasing excess Mn a higher Cr content was found in the (Mn,Cr)Sb secondary phase in comparison to the matrix phase. This competition for Cr leads to a nonlinear dependence of Tt with increasing excess Mn at a fixed nominal Cr content. However, we observed that Tt depends linear on the c/a ratio for a wide range of temperatures from 170 to 350 K. A compositional diagram of the c/a ratio was constructed to assist the selection of (Mn,Cr)2Sb alloys with a desired transition temperature.","Exchange inversion; Magnetocaloric materials; Magnetoelastic transition","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:1cfb6b33-55e4-4f70-8b6e-28fa004904a5","http://resolver.tudelft.nl/uuid:1cfb6b33-55e4-4f70-8b6e-28fa004904a5","Revealing the influence of Mo addition on interphase precipitation in Ti-bearing low carbon steels","Dong, Haokai (Tsinghua University; South China University of Technology); Chen, Hao (Tsinghua University); Riyahi khorasgani, Ahmadreza (Center for Interface-Dominated High Performance Materials); Zhang, Boning (Tsinghua University); Zhang, Yongjie (Tohoku University); Wang, Zhenqiang (Harbin Engineering University); Zhou, Xiaosheng (Tsinghua University); Wang, Wei (Baosteel Research Institute); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2022","Mo is widely used as an effective microalloying element to improve mechanical performance of interphase precipitation steels, but the precise role of Mo in interphase precipitation behavior is not fully understood. In this contribution, interphase precipitation behavior in a series of Ti-Mo-bearing low carbon steels is systematically studied, and the role of Mo in interphase precipitates and its coarsening behavior is revisited. It is found that (Ti, Mo)C precipitates instead of TiC are formed in the Mo-containing alloys, and the average site fraction of Mo in (Ti, Mo)C is almost independent of the bulk Mo content. Moreover, the number density of interphase precipitates can be substantially enhanced by a minor addition of Mo, albeit it does not further rise with increasing the bulk Mo content. This is because the Mo fraction in (Ti, Mo)C rather than the bulk Mo content governs the driving force for precipitation nucleation and the interfacial energy of the (Ti, Mo)C/α and (Ti, Mo)C/γ interfaces. In addition to the reduced interfacial energy, decrease of Ti trans-interface diffusivity has been identified as another key reason for the enhanced carbide coarsening resistance in Mo-containing alloys.","(Ti, Mo)C; Carbide nucleation; Coarsening resistance; Interfacial energy; Interphase precipitation; Trans-interface diffusivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-16","","","Novel Aerospace Materials","","",""
"uuid:eb65ef6d-b2da-4aa7-b52d-0cad956218d6","http://resolver.tudelft.nl/uuid:eb65ef6d-b2da-4aa7-b52d-0cad956218d6","On tailoring fracture resistance of brittle structures: A level set interface-enriched topology optimization approach","Zhang, J. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2022","We propose a fully immersed topology optimization procedure to design structures with tailored fracture resistance under linear elastic fracture mechanics assumptions for brittle materials. We use a level set function discretized by radial basis functions to represent the topology and the Interface-enriched Generalized Finite Element Method (IGFEM) to obtain an accurate structural response. The technique assumes that cracks can nucleate at right angles from the boundary, at the location of enriched nodes that are added to enhance the finite element approximation. Instead of performing multiple finite element analyses to evaluate the energy release rates (ERRs) of all potential cracks—a procedure that would be computationally intractable—we approximate them by means of topological derivatives after a single enriched finite element analysis of the uncracked domain. ERRs are then aggregated to construct the objective function, and the corresponding sensitivity formulation is derived analytically by means of an adjoint formulation. Several numerical examples demonstrate the technique's ability to tailor fracture resistance, including the well-known benchmark L-shaped bracket and a multiple-loading optimization problem for obtaining a structure with fracture resistance anisotropy.","Enriched finite element analysis; Interface-enriched generalized finite element method (IGFEM); Level set; Linear elastic fracture mechanics; Stress recovery technique; Topological derivatives","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:9093a751-ec23-4dc1-a4c2-a566f4adac61","http://resolver.tudelft.nl/uuid:9093a751-ec23-4dc1-a4c2-a566f4adac61","An overview of the waste hierarchy framework for analyzing the circularity in construction and demolition waste management in Europe","Zhang, Chunbo (Universiteit Leiden); Hu, Mingming (Universiteit Leiden; Chongqing University); Di Maio, F. (TU Delft Resources & Recycling); Sprecher, B. (TU Delft Design for Sustainability); Yang, Xining (Universiteit Leiden); Tukker, Arnold (Universiteit Leiden; TNO)","","2022","The construction sector is the biggest driver of resource consumption and waste generation in Europe. The European Union (EU) is making efforts to move from its traditional linear resource and waste management system in the construction sector to a level of high circularity. Based on the theory of circular economy, a new paradigm called waste hierarchy was introduced in the EU Waste Framework Directive. This work uses the framework of the waste hierarchy to analyze the practice of construction and demolition waste (CDW) management in Europe. We explore the evolution of the waste hierarchy in Europe and how it compares with the circular economy. Then, based on the framework, we analyze the performance of CDW management in each EU member state. Innovative treatment methods of CDW, focusing on waste concrete, is investigated. This brings insight into optimizing and upgrading the CDW management in light of advanced technologies and steering the pathway for transitioning the EU towards a circular society.","Circular economy; Concrete; Construction and demolition waste (CDW); Europe; Waste hierarchy; Waste management","en","review","","","","","","","","","","","Resources & Recycling","","",""
"uuid:2130dab1-4112-491a-8cf9-ef5c72cbb9a6","http://resolver.tudelft.nl/uuid:2130dab1-4112-491a-8cf9-ef5c72cbb9a6","Enhanced reversibility of the magnetoelastic transition in (Mn,Fe)2(P,Si) alloys via minimizing the transition-induced elastic strain energy","Miao, Xuefei (Nanjing University of Science and Technology); Gong, Yong (Nanjing University of Science and Technology); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); You, Yurong (Nanjing University of Science and Technology); Caron, Luana (Bielefeld University; Helmholtz-Zentrum Berlin); Qian, Fengjiao (Nanjing University of Aeronautics and Astronautics); Xu, Feng (Nanjing University of Science and Technology); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","Magnetocaloric materials undergoing reversible phase transitions are highly desirable for magnetic refrigeration applications. (Mn,Fe)2(P,Si) alloys exhibit a giant magnetocaloric effect accompanied by a magnetoelastic transition, while the noticeable irreversibility causes drastic degradation of the magnetocaloric properties during consecutive cooling cycles. In the present work, we performed a comprehensive study on the magnetoelastic transition of the (Mn,Fe)2(P,Si) alloys by high-resolution transmission electron microscopy, in situ field- and temperature-dependent neutron powder diffraction as well as density functional theory calculations (DFT). We found a generalized relationship between the thermal hysteresis and the transition-induced elastic strain energy for the (Mn,Fe)2(P,Si) family. The thermal hysteresis was greatly reduced from 11 to 1 K by a mere 4 at.% substitution of Fe by Mo in the Mn1.15Fe0.80P0.45Si0.55 alloy. This reduction is found to be due to a strong reduction in the transition-induced elastic strain energy. The significantly enhanced reversibility of the magnetoelastic transition leads to a remarkable improvement of the reversible magnetocaloric properties, compared to the parent alloy. Based on the DFT calculations and the neutron diffraction experiments, we also elucidated the underlying mechanism of the tunable transition temperature for the (Mn,Fe)2(P,Si) family, which can essentially be attributed to the strong competition between the covalent bonding and the ferromagnetic exchange coupling. The present work provides not only a new strategy to improve the reversibility of a first-order magnetic transition but also essential insight into the electron-spin-lattice coupling in giant magnetocaloric materials.","(Mn,Fe)(P,Si); Hysteresis; Magnetocaloric effect; Neutron diffraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:311fbf95-9d5c-4076-adb7-83b78d833cd0","http://resolver.tudelft.nl/uuid:311fbf95-9d5c-4076-adb7-83b78d833cd0","The second-order magnetic phase transition and magnetocaloric effect in all-d-metal NiCoMnTi-based Heusler alloys","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Westra, Kevin (Student TU Delft); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","The novel all-d-metal Ni(Co)MnTi based magnetic Heusler alloys provide an adjustable giant magnetocaloric effect and good mechanical properties. We report that the second-order magnetic phase transition can be tailored in this all-d-metal NiCoMnTi based Heusler system by optimizing the Mn/Ti ratio, resulting in a reversible ferromagnetic-to-paramagnetic magnetic transition. A candidate material Ni33Co17Mn30Ti20 with a magnetic entropy change ∆Sm of 2.3 Jkg−1K−1 for a magnetic field change of 0–5 T, has been identified. The TC and saturation magnetization MS can be controlled by adjusting the Ni/Co concentration and doping non-magnetic Cu atoms. The compositional maps of TC and MS have been established. Density functional theory (DFT) calculations reveal a direct correlation between the magnetic moments and the Co content. By combining XRD, SQUID, SEM and DFT calculations, the (micro)structural and magnetocaloric properties have been investigated systematically. This study provides a detailed insight in the magnetic phase transition for this all-d-metal Ni(Co)MnTi-based Heusler alloy system.","All-d-metal; Heusler alloys; Magnetocaloric effect; Ni(Co)MnTi; SOMT","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:0817df44-5800-4f89-ad86-8b1a9b72b419","http://resolver.tudelft.nl/uuid:0817df44-5800-4f89-ad86-8b1a9b72b419","A Series of Ternary Metal Chloride Superionic Conductors for High-Performance All-Solid-State Lithium Batteries","Liang, Jianwen (University of Western Ontario); van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy); Luo, Jing (University of Western Ontario); Li, Xiaona (University of Western Ontario); Chen, Ning (TU Delft Photovoltaic Materials and Devices; Canadian Ligth Source, Saskatoon); Adair, Keegan R. (University of Western Ontario); Li, Weihan (University of Western Ontario); Li, Junjie (University of Western Ontario); Hu, Yongfeng; Liu, Jue (Oak Ridge National Laboratory); Zhang, Li; Zhao, W. (TU Delft RST/Storage of Electrochemical Energy); Parnell, S.R. (TU Delft RID/TS/Instrumenten groep); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2022","Understanding the relationship between structure, ionic conductivity, and synthesis is the key to the development of superionic conductors. Here, a series of Li3-3xM1+xCl6 (−0.14 < x ≤ 0.5, M = Tb, Dy, Ho, Y, Er, Tm) solid electrolytes with orthorhombic and trigonal structures are reported. The orthorhombic phase of Li–M–Cl shows an approximately one order of magnitude increase in ionic conductivities when compared to their trigonal phase. Using the Li–Ho–Cl components as an example, their structures, phase transition, ionic conductivity, and electrochemical stability are studied. Molecular dynamics simulations reveal the facile diffusion in the z-direction in the orthorhombic structure, rationalizing the improved ionic conductivities. All-solid-state batteries of NMC811/Li2.73Ho1.09Cl6/In demonstrate excellent electrochemical performance at both 25 and −10 °C. As relevant to the vast number of isostructural halide electrolytes, the present structure control strategy guides the design of halide superionic conductors.","all-solid-state Li batteries; energy storage; halides; solid-state electrolytes; superionic conductors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:de13d354-9ca5-49c4-a30c-35d50ec19671","http://resolver.tudelft.nl/uuid:de13d354-9ca5-49c4-a30c-35d50ec19671","Rheology of alkali-activated slag pastes: New insight from microstructural investigations by cryo-SEM","Sun, Yubo (Universiteit Gent); Zhang, Shizhe (TU Delft Materials and Environment); Rahul, A. V. (Universiteit Gent); Tao, Yaxin (Universiteit Gent); Van Bockstaele, Filip (Universiteit Gent); Dewettinck, Koen (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2022","This study aims to interpret the early-stage rheology of alkali-activated slag (AAS) paste from microstructure perspectives. The microstructures visualized by cryogenic scanning electron microscopy (cryo-SEM) revealed the essential distinction between hydroxide and silicate-activated slag pastes. The hydroxide-based mixture showed typical suspension features, where slag particles were dispersed in the hydroxide activators. In the hydroxide media, even at very early ages (5 min), the solid grains were attached to each other through rigid connections of reaction products, which resulted in high yield stress. As for the silicate-based mixtures, an emulsion phase has been observed between slag particles, which consists of discontinuous water droplets and continuous silicate gels. Fine emulsions with smaller water droplets were observed as the silicate modulus of activators increased, which dispersed the slag particles but on the other hand improved the viscosity of the paste. With increasing water to binder ratio, both yield stress and viscosity of AAS pastes significantly reduced.","Alkali-activated materials; Blast furnace slag; Cryo-SEM; Microstructure; Rheology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:71a6e4c5-3555-41bd-ae25-aaf095709d68","http://resolver.tudelft.nl/uuid:71a6e4c5-3555-41bd-ae25-aaf095709d68","Structural analysis and parametric study ballasted track in sandy regions","Xiao, Hong (Beijing Jiaotong University); Zhang, Zhihai (Beijing Jiaotong University); Chi, Yihao (Beijing Jiaotong University); Wang, Xiaoyu (Beijing Jiaotong University); Wang, Haoyu (TU Delft Mechanics and Physics of Structures); Jiang, Ziqing (China Academy of Railway Sciences)","","2022","The sand intrusion in railway tracks in sandy regions can significantly change the mechanical behaviour of tracks and thus threaten the safety of train operation. This paper presents substantial field tests on both sandy and clean railway tracks to study the effect of sand intrusion on the longitudinal resistance of ballast bed and the vibration behaviour of track structures. After that, a 3D multi-scale the discrete element model is developed to study the micro-contact between ballast particles and the vibration behaviour of sandy tracks during train passing in detail. Also, the effect of train speeds and axle loads on the mechanical behaviour of sandy tracks is discussed. The results show that the sand intrusion increases the vibration acceleration amplitude of rail and sleeper by 11.3% and 50.3%, while ballast bed decreases by 44.9%. Besides, the sand intrusion significantly changes the energy distribution in the track, wherein the frequencies of the highest energy of rail and sleeper are increased while that of the ballast bed is decreased. The parametric study shows the high train speed can cause the increase in overall acceleration of the ballast bed and high axle load can cause an increase in the micro-contact forces between ballast particles, diffusion angle of the contact force chain, displacements of ballast particles, acceleration of ballast particles, and sleeper displacements.","Ballasted track; Contact force; Desert railway; Discrete element method; Vibration acceleration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechanics and Physics of Structures","","",""
"uuid:3bc52e39-46a3-43ef-9a9b-84bb6d93d893","http://resolver.tudelft.nl/uuid:3bc52e39-46a3-43ef-9a9b-84bb6d93d893","Effects of bacteria-embedded polylactic acid (PLA) capsules on fracture properties of strain hardening cementitious composite (SHCC)","He, S. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Schlangen, E. (TU Delft Materials and Environment)","","2022","Strain hardening cementitious composite (SHCC) is a special class of ultra-ductile material which has autogenous self-healing capability due to its intrinsic tight crack widths. To further improve its healing ability, healing agent (HA) can be incorporated in SHCC, enabling it also the autonomous self-healing mechanism. In this study, the effects of adding bacteria-embedded polylactic acid (PLA) capsules on the mechanical properties of SHCC with different amounts of HA (i.e., 1.25%, 2.5%, 5% by weight to binder) were investigated. Experiments were conducted to examine the composite performance, matrix properties and single fiber pullout behavior of the SHCCs, followed by microscopy characterization of the fiber/matrix interface microstructure. Results show that the inclusion of the PLA-HA up to 5% by weight to binder influenced the tensile performance (i.e., tensile strength and ductility) of SHCC only to a very small extent but significantly reduced the average residual crack widths. The inclusion of HA at a high dosage (5%) increased the crack tip toughness (Jtip) of the matrix by lowering elastic modulus and increasing fracture toughness. Single fiber pullout results show that the fiber/matrix bond properties were enhanced by the addition of the HA, which can be attributed to the formation of a denser interfacial transition zone (ITZ) with less calcium hydroxide crystals as revealed by the scanning electron microscope (SEM) micrographs. The improved bond properties led to higher fiber bridging complementary energy and thus partially sustained the tensile strain capacity as verified by the micromechanical model.","Elastic modulus; Fracture toughness; ITZ; Self-healing; SHCC","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:876aa936-ad3f-479b-a30a-0208e67d9894","http://resolver.tudelft.nl/uuid:876aa936-ad3f-479b-a30a-0208e67d9894","A multi-axis robot-based bioprinting system supporting natural cell function preservation and cardiac tissue fabrication","Zhang, Z. (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Wu, Chenming (Tsinghua University); Dai, C. (TU Delft Materials and Manufacturing); Shi, Qingqing (Chinese Academy of Sciences); Fang, G. (TU Delft Materials and Manufacturing; The University of Manchester); Xie, Dongfang (Chinese Academy of Sciences); Zhao, Xiangjie (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Liu, Yong Jin (Tsinghua University); Wang, C.C. (TU Delft Materials and Manufacturing; The University of Manchester); Wang, Xiu Jie (University of Chinese Academy of Sciences; Chinese Academy of Sciences)","","2022","Despite the recent advances in artificial tissue and organ engineering, how to generate large size viable and functional complex organs still remains as a grand challenge for regenerative medicine. Three-dimensional bioprinting has demonstrated its advantages as one of the major methods in fabricating simple tissues, yet it still faces difficulties to generate vasculatures and preserve cell functions in complex organ production. Here, we overcome the limitations of conventional bioprinting systems by converting a six degree-of-freedom robotic arm into a bioprinter, therefore enables cell printing on 3D complex-shaped vascular scaffolds from all directions. We also developed an oil bath-based cell printing method to better preserve cell natural functions after printing. Together with a self-designed bioreactor and a repeated print-and-culture strategy, our bioprinting system is capable to generate vascularized, contractible, and long-term survived cardiac tissues. Such bioprinting strategy mimics the in vivo organ development process and presents a promising solution for in vitro fabrication of complex organs.","3D bioprinting; Artificial organ engineering; Cardiac tissue fabrication; Print-and-culture; Six degree-of-freedom robot","en","journal article","","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:bf5aad15-88f5-42ab-8afb-943de92362a7","http://resolver.tudelft.nl/uuid:bf5aad15-88f5-42ab-8afb-943de92362a7","Sulfur-Rich Ageing Mechanism of Silicone Encapsulant Used in LED Packaging: An Experimental and Molecular Dynamic Simulation Study","Chen, Wei (Fudan University; Changzhou Institute of Technology Research for Solid State Lighting); Chen, Ye (Changzhou Institute of Technology Research for Solid State Lighting; Hohai University); Cao, Yixing (Fudan University); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changzhou Institute of Technology Research for Solid State Lighting)","","2022","In a light-emitting diode (LED) package, silicone encapsulant serves as a chip protector and enables the light to transmit, since it exhibits the advantages of high light transmittance, high refractive index, and high thermal stability. However, its reliability is still challenged under harsh operation conditions. In this study, the optical and mechanical properties of silicone encapsulant, including appearance, light transmittance, Young’s modulus, and tensile strength, were experimentally monitored during the sulfur-rich ageing process. Meanwhile, the Fourier transform infrared (FTIR) spectroscopy and molecular dynamics (MD) simulation were used to reveal its degradation mechanism. The results show that 1) in the sulfur (S8)-rich ageing process, the severe vulcanization reaction occurred in silicone encapsulant assisted only by high temperature and high moisture, with the existence of H2S as the reaction product of S8 and H2O vapor. 2) Vulcanization characterized by the formation of the sulfhydryl (-SH) group lowered both optical and mechanical properties of silicone encapsulant. 3) The hydrolysis reaction featured by the formation of the hydroxyl (-OH) group decreased the mechanical performances of silicone encapsulant but brought slight harm to its optical performances.","degradation mechanism; humidity; LED packaging; molecular dynamics; silicone encapsulant; sulfur-rich","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:1148f23f-7bbd-4a9d-9e9b-a4f72d908f43","http://resolver.tudelft.nl/uuid:1148f23f-7bbd-4a9d-9e9b-a4f72d908f43","Direct microbial electron uptake as a mechanism for stainless steel corrosion in aerobic environments","Zhou, Enze (Northeastern University); Li, Feng (Tianjin University); Zhang, Dawei (University of Science and Technology Beijing); Xu, Dake (Northeastern University); Li, Zhong (Northeastern University); Jia, Ru (Ohio University); Song, Hao (Tianjin University); Gu, Tingyue (Ohio University); Homborg, A.M. (Netherlands Defence Academy); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2022","Shewanella oneidensis MR-1 is an attractive model microbe for elucidating the biofilm-metal interactions that contribute to the billions of dollars in corrosion damage to industrial applications each year. Multiple mechanisms for S. oneidensis-enhanced corrosion have been proposed, but none of these mechanisms have previously been rigorously investigated with methods that rule out alternative routes for electron transfer. We found that S. oneidensis grown under aerobic conditions formed thick biofilms (∼50 µm) on stainless steel coupons, accelerating corrosion over sterile controls. H2 and flavins were ruled out as intermediary electron carriers because stainless steel did not reduce riboflavin and previous studies have demonstrated stainless does not generate H2. Strain ∆mtrCBA, in which the genes for the most abundant porin-cytochrome conduit in S. oneidensis were deleted, corroded stainless steel substantially less than wild-type in aerobic cultures. Wild-type biofilms readily reduced nitrate with stainless steel as the sole electron donor under anaerobic conditions, but strain ∆mtrCBA did not. These results demonstrate that S. oneidensis can directly consume electrons from iron-containing metals and illustrate how direct metal-to-microbe electron transfer can be an important route for corrosion, even in aerobic environments.","Direct electron transfer; Microbiologically influenced corrosion; Porin-cytochrome conduit; Shewanella oneidensis; Stainless steel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-05","","","Team Arjan Mol","","",""
"uuid:f97f5df1-6fa2-43bf-b37a-9a13e3dc4042","http://resolver.tudelft.nl/uuid:f97f5df1-6fa2-43bf-b37a-9a13e3dc4042","Controllable Simultaneous Bifacial Cu-Plating for High-Efficiency Crystalline Silicon Solar Cells","Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Yang, G. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); O'Connor, D. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Gopalakrishnan, Anirudh (Student TU Delft); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Bifacial (BF) copper-plated crystalline silicon solar cell is an attractive topic to concurrently reduce silver consumption and maintain good device performance. However, it is still challenging to realize a high aspect ratio (AR) of the metal fingers. Herein, a new type of hybrid-shaped Cu finger is electromagnetically fabricated in a BF plating process. Cyclic voltammetry is employed to disclose the electrochemical behaviors of cupric ions in monofacial and simultaneous BF Cu-plating processes, such that the controllability of the plating process could be assessed. The optimal hybrid Cu finger is composed of a rectangular bottom part and a round top part, such that an utmost effective AR value of 1.73 is reached. In BF Cu-plating, two sub-three-electrode electrochemical cells are employed to realize equal metal finger heights on both sides of the wafer. Compared to our low thermal-budget screen-printing metallization, the Cu-plated silicon heterojunction devices show both optical and electrical advantages (based on lab-scale tests). The champion BF Cu-plated device shows a front-side efficiency of 22.1% and a bifaciality factor of 0.99.","bifacial silicon heterojunction solar cells; Cu-plating; finger shapes; simultaneous electroplating","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:7f6a4faa-1fb0-41f7-8ac8-801e9f7a1250","http://resolver.tudelft.nl/uuid:7f6a4faa-1fb0-41f7-8ac8-801e9f7a1250","Towards bifacial silicon heterojunction solar cells with reduced TCO use","Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); van Duffelen, Max (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Reducing indium consumption, which is related to the transparent conductive oxide (TCO) use, is a key challenge for scaling up silicon heterojunction (SHJ) solar cell technology to terawatt level. In this work, we developed bifacial SHJ solar cells with reduced TCO thickness. We present three types of In2O3-based TCOs, tin-, fluorine-, and tungsten-doped In2O3 (ITO, IFO, and IWO), whose thickness has been optimally minimized. These are promising TCOs, respectively, from post-transition metal doping, anionic doping, and transition metal doping and exhibit different opto-electrical properties. We performed optical simulations and electrical investigations with varied TCO thicknesses. The results indicate that (i) reducing TCO thickness could yield larger current in both monofacial and bifacial SHJ devices; (ii) our IWO and IFO are favorable for n-contact and p-contact, respectively; and (iii) our ITO could serve well for both n-contact and p-contact. Interestingly, for the p-contact, with the ITO thickness reducing from 75 nm to 25 nm, the average contact resistivity values show a decreasing trend from 390 mΩ cm2 to 114 mΩ cm2. With applying 25-nm-thick front IWO in n-contact, and 25-nm-thick rear ITO use in p-contact, we obtained front side efficiencies above 22% in bifacial SHJ solar cells. This represents a 67% TCO reduction with respect to a reference bifacial solar cell with 75-nm-thick TCO on both sides.","bifacial solar cell; silicon heterojunction solar cell; TCO reduction; transparent conductive oxide (TCO)","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:1b17a5c1-88f6-47d6-b25e-0340eb05dbe8","http://resolver.tudelft.nl/uuid:1b17a5c1-88f6-47d6-b25e-0340eb05dbe8","Tailoring precipitation/properties and related mechanisms for a high-strength aluminum alloy plate via low-temperature retrogression and re-aging processes","Hou, L. G. (University of Science and Technology Beijing; Brunel University; Nanjing Advanced Transportation Equipment New Technology Research Institute); Yu, H. (Chinese Academy of Geological Sciences); Wang, Y. W. (University of Science and Technology Beijing); You, L. (University of Science and Technology Beijing); He, Z. B. (University of Science and Technology Beijing); Wu, C. M. (Nanjing Advanced Transportation Equipment New Technology Research Institute); Eskin, D. G. (Brunel University; National Research Tomsk State University); Katgerman, L. (TU Delft Team Kevin Rossi; Katgerman Aluminium Technology); Zhuang, L. Z. (University of Science and Technology Beijing); Zhang, J. S. (University of Science and Technology Beijing)","","2022","The retrogression and re-aging (RRA) processes, aimed mainly at tailoring intergranular precipitates, could significantly improve the corrosion resistance (i.e., stress corrosion cracking resistance) without considerably decreasing the strength, which signifies that an efficient control of the size, distribution and evolution of intergranular and intragranular precipitates becomes critical for the integrated properties of the (mid-)thick high-strength Al alloy plates. Compared to RRA process with retrogression at 200 °C (T77), this study investigated the impact of a modified RRA process (MT77) with lower retrogression temperatures (155-175 °C) and first-stage under-aging on the properties of a high-strength AA7050 Al alloy, in combination with detailed precipitate characterization. The study showed that the strength/microhardness of the RRA-treated alloys decreased with raising retrogression temperature and/or prolonging retrogression time, along with the increased electrical conductivity. The rapid responsiveness of microstructure/property typical of retrogression at 200 °C was obviously postponed or decreased by using MT77 process with longer retrogression time that was more suitable for treating the (mid-)thick plates. On the other hand, higher retrogression temperature facilitated more intragranular η precipitates, coarse intergranular precipitates and wide precipitate free zones, which prominently increased the electrical conductivity alongside a considerable strength loss as compared to the MT77-treated alloys. With the preferred MT77 process, the high strength approaching T6 level as well as good corrosion resistance was achieved. However, though a relatively homogeneous through-thickness strength was obtained, some small discrepancies of properties between the central and surface areas of an 86-mm thick 7050 Al alloy plate were observed, possibly related to the quenching sensitivity. The precipitate evolution and mechanistic connection to the properties were discussed and reviewed for high-strength Al alloys along with suggestions for further RRA optimization.","Aluminum alloy; Grain boundary; Heat treatment; Precipitation; Strength","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-09","","","Team Kevin Rossi","","",""
"uuid:b64a3da0-470a-40a0-b250-c392b2c7015e","http://resolver.tudelft.nl/uuid:b64a3da0-470a-40a0-b250-c392b2c7015e","Molecular Dynamics Simulation of Sintering Densification of Multi-Scale Silver Layer","Liang, Peijie (Guilin University of Electronic Technology); Pan, Zhiliang (Guilin University of Electronic Technology); Tang, Liang (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); He, Siliang (Guilin University of Electronic Technology; Guangdong Academy of Sciences); Yan, Haidong (Guilin University of Electronic Technology; Zhejiang University)","","2022","Based on molecular dynamics (MD), in this study, a model was established to simulate the initial coating morphology of silver paste by using a random algorithm, and the effects of different sizes of particles on sintering porosity were also analyzed. The MD result reveals that compared with the sintering process using large-scale silver particles, the sintering process using multi-scale silver particles would enhance the densification under the same sintering conditions, which authenticates the feasibility of adding small silver particles to large-scale silver particles in theory. In addition, to further verify the feasibility of the multi-scale sintering, a semi in-situ observation was prepared for a sintering experiment using micro-nano multi-scale silver paste. The feasibility of multi-scale silver sintering is proved by theoretical and experimental means, which can provide a meaningful reference for optimizing the sintering process and the preparation of silver paste for die-attach in powering electronics industry. In addition, it is hoped that better progress can be made on this basis in the future.","initial coating morphology; molecular dynamics; random algorithm; semi in-situ observation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:21bfffee-8b27-4753-b0ef-128c9e173e0d","http://resolver.tudelft.nl/uuid:21bfffee-8b27-4753-b0ef-128c9e173e0d","Preference-Based Multi-Objective Optimization for Synchromodal Transport Using Adaptive Large Neighborhood Search","Zhang, Y. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2022","Decision-makers in synchromodal transport (ST) have different preferences toward different objectives, such as cost, time, and emissions. To solve the conflicts among objectives and obtain preferred solutions, a preference-based multi-objective optimization model is developed. In ST, containers need to be transferred across modes, therefore the optimization problem is formulated as a pickup and delivery problem with transshipment. The preferences of decision-makers are usually expressed in linguistic terms, so weight intervals, that is, minimum and maximum weights, are assigned to objectives to represent such vague preferences. An adaptive large neighborhood search is developed and used to obtain non-dominated solutions to construct the Pareto frontier. Moreover, synchronization is an important feature of ST and it makes available resources fully utilized. Therefore, four synchronization cases are identified and studied to make outgoing vehicles cooperate with changes of incoming vehicles’ schedules at transshipment terminals. Case studies in the Rhine-Alpine corridor are designed and the results show that the proposed approach provides non-dominated solutions which are in line with preferences. Moreover, the mode share under different preferences is analyzed, which signals that different sustainability policies in transportation will influence the mode share.","Container; Freight systems; Intermodal freight transport; Logistic; Multimodal; Optimization; Planning and logistics","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:405f342d-f901-4259-ac5b-bbef8c023161","http://resolver.tudelft.nl/uuid:405f342d-f901-4259-ac5b-bbef8c023161","Chemoenzymatic Hunsdiecker-Type Decarboxylative Bromination of Cinnamic Acids","Li, Huanhuan (Chinese Academy of Sciences); Younes, S.H.H. (TU Delft BT/Biocatalysis; Sohag University); Chen, Shaohang (Chinese Academy of Sciences); Duan, Peigao (Xi’an Jiaotong University); Cui, Chengsen (Chinese Academy of Sciences; National Center of Technology Innovation for Synthetic Biology, Tianjin); Wever, Ron (Universiteit van Amsterdam); Zhang, Wuyuan (Chinese Academy of Sciences; National Center of Technology Innovation for Synthetic Biology, Tianjin); Hollmann, F. (TU Delft BT/Biocatalysis)","","2022","In this contribution, we report chemoenzymatic bromodecarboxylation (Hunsdiecker-type) of α,ß-unsaturated carboxylic acids. The extraordinarily robust chloroperoxidase from Curvularia inaequalis (CiVCPO) generated hypobromite from H2O2 and bromide, which then spontaneously reacted with a broad range of unsaturated carboxylic acids and yielded the corresponding vinyl bromide products. Selectivity issues arising from the (here undesired) addition of water to the intermediate bromonium ion could be solved by reaction medium engineering. The vinyl bromides so obtained could be used as starting materials for a range of cross-coupling and pericyclic reactions.","biocatalysis; decarboxylation; Hunsdiecker reaction; unsaturated carboxylic acids; vanadium chloroperoxidase; vinyl bromides","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:8bad6752-3045-4aad-9c7e-4e9b9c033f23","http://resolver.tudelft.nl/uuid:8bad6752-3045-4aad-9c7e-4e9b9c033f23","Friction effects on quasi-steady dam-break wave propagation on horizontal beds","Nielsen, Peter (University of Queensland); Xu, Beibei (Hohai University); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk; University of Queensland); Zhang, Shaotong (Ocean University of China)","","2022","The propagation of dam-break waves on different rough beds was observed to be quasi-steady in the range < [CDATA[11.3 < x/h dam, where is measured from the dam position. These quasi-steady propagation speeds converge with the steady ideal fluids model of Stoker (Water Waves, 1957, Interscience) when the tailwater depth becomes greater than, in the range <[CDATA[0.001< k_s/h_{dam}, where is the roughness and the depth behind the dam. Hence, this convergence encourages the use of Stoker's steady, ideal fluid solution to develop more general models, including friction effects due to bed roughness and/or viscosity. The new experimental data support a MacLaurin series for the celerity, in analogy with the series in terms of, derived for Stoker's model, being the tailwater depth. Compared with the retarding effect of the tailwater, 1 mm of roughness is found to be equivalent to 13 mm of tailwater, and 1 m of viscous length (, where is the kinematic viscosity and g the acceleration due to gravity) is equivalent to 1700 m of tailwater. While the MacLaurin series quantifies the similar effects of small roughness and small tailwater depths acting separately, the new data illustrate for the first time the complex interplay between tailwater and roughness on 'wet beds' with many details yet to be investigated. In particular, it was shown that a small amount of tailwater on a rough bed acts as a lubricant, so that is an increasing function of for <[CDATA[h 2.","Hydraulics; Wave Breaking","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:52e4a923-eb7e-421e-9544-70457e362d04","http://resolver.tudelft.nl/uuid:52e4a923-eb7e-421e-9544-70457e362d04","A 5GS/s 360MHz-BW 68dB-DR Continuous-Time 1-1-1 Filtering MASH ΔΣ ADC in 40nm CMOS","Liu, Qilong (Eindhoven University of Technology; NXP Semiconductors); Breems, Lucien (Eindhoven University of Technology; NXP Semiconductors); Zhang, Chenming (Eindhoven University of Technology; NXP Semiconductors); Bajoria, Shagun (Eindhoven University of Technology; NXP Semiconductors); Bolatkale, M. (TU Delft Electronic Instrumentation; NXP Semiconductors); Rutten, Robert (NXP Semiconductors); Radulov, Georgi (Eindhoven University of Technology)","","2022","In the pursuit of ever larger bandwidths, in recent years GHz-rate continuous-time (CT) oversampled ADCs have been reported in literature that achieve bandwidths of hundreds of MHz and have even exceeded the GHz barrier [1]-[3]. As impressive as these bandwidths are for CT ADCs, the required ADC architectures are complex, are sensitive to layout parasitics due to the high sampling rates, and most important of all, are power hungry, consuming several hundreds of mW. In this paper, we propose a filtering rnulti-stage noise-shaping (MASH) ΔΣ ADC architecture that overcomes the abovementioned drawbacks. Passive delay compensating filters [4] are used to realize broadband and deep suppression of the input signal component at the internal filter nodes of the ADC. As a result, no interstage DACs are needed, which are commonly required to generate the quantization error replicas in a MASH ΔΣ ADC, saving substantial power and greatly reducing the parasitic load of the high-speed critical nodes. Moreover, because of the absence of signal content at the internal filter nodes, the backend stages of the MASH architecture have relaxed linearity requirements and can be implemented with simple low-power Gm-C filters. Precise excess loop delay and excess phase compensation are accomplished with a partly resistive and capacitive stabilization DAC, enabling very-high-speed operation of the ΔΣ loops. The realized MASH ADC is sampled at 5GHz and achieves 68dB/65dB DR/peak SNDR over a 360MHz bandwidth, -78dBc THD at -1dBFS for a 115MHz input signal, and consumes 158mW. Implemented in a mature 40nm CMOS technology, the ADC occupies only 0.21 mm2 core area, achieves 2× lower power, 5dB higher Schreier FOM and 2× lower Walden FOM compared to state-of-the-art broadband CT ADCs in advanced 16nm-28nm nodes [1]-[3].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:c2929764-de32-42ee-953e-1f45502f7771","http://resolver.tudelft.nl/uuid:c2929764-de32-42ee-953e-1f45502f7771","Regulating the reaction zone of electrochemical CO2 reduction on gas-diffusion electrodes by distinctive hydrophilic-hydrophobic catalyst layers","Rabiee, Hesamoddin (University of Queensland; University of Southern Queensland); Ge, Lei (University of Queensland; University of Southern Queensland); Zhao, Jing (University of Queensland); Zhang, Xueqin (University of Queensland); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage; University of Queensland); Hu, Shihu (University of Queensland); Smart, Simon (University of Queensland); Rufford, Thomas E. (University of Queensland); Zhu, Zhonghua (University of Queensland)","","2022","Regulating the rational wettability on gas-diffusion electrodes (GDEs) plays a pivotal role to improve the efficiency of CO2RR via fine-tuning the reaction zone and boosting the formation of triple-phase interfaces. Herein, we present a wettability regulation strategy that modulates the triple-phase reaction zone in the catalyst layer of GDEs. This strategy was employed on a flow-through hollow fiber GDE coated with a Bi-embedded catalyst layer. Compared to other ex-situ methods (e.g., adding wetting agents) affecting the bulk of electrocatalysts or catalyst layer, we create distinctive hydrophilic-hydrophobic regions within the catalyst layer. Catalyst layer with hydrophilic-hydrophobic regions outperforms the fully hydrophilic one by facilitating the species transport, boosting triple-phase interface formation, and maximizing the active sites. This regulation strategy showed stable wettability during CO2RR cathodic conditions, evidenced by the direct measurement of penetration depth. The electrode with the regulated wettability exhibited over 80% catalyst utilization and 4 times higher formate partial current density (~150 mA cm−2 with FEformate> 90%) compared to the untreated electrode, outperforming other GDEs employed for CO2RR to formate in the same concentrations of bicarbonate. The finding of this versatile microenvironment regulation strategy can be extended to GDEs used for other gas-phase reactions.","Electrochemical CO reduction; Formate production; Gas-diffusion electrode; Hollow fiber; Microenvironment regulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:8d3bd003-db49-426d-9832-5cb78fcdb8ed","http://resolver.tudelft.nl/uuid:8d3bd003-db49-426d-9832-5cb78fcdb8ed","Quantifying oxygen diffusion in bitumen films using molecular dynamics simulations","Gao, Y. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); Zhang, Chao (Harbin Institute of Technology); Liu, X. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering)","","2022","Bitumen in asphalt pavements reacts slowly with atmospheric oxygen, resulting in oxidative ageing. This oxidative reaction is strongly dependent on the physical diffusion of the oxygen into the bitumen. This study aims to use molecular dynamics (MD) simulation to investigate the oxygen diffusion into the bitumen film and analyse the effects of anti-ageing compounds (AACs) on the oxygen diffusion. The MD diffusion simulations using a Polymer Consistent Force Field (PCFF) were conducted on a bitumen-air bi-layer model at different temperatures. Fick's second law was used to calculate the diffusion coefficient of the oxygen in the bitumen film. It is found that the oxygen diffusion coefficients ranged from 6.67 × 10−10 to 7.45 × 10−11 m2/s for the unmodified and AAC-modified bitumens at the simulating temperatures of 25, 50 and 100 °C. Irganox acid and DLTDP (Dilauryl thiodipropionate):furfural showed two different anti-aging mechanisms, i.e., reducing the oxygen physical diffusion and controlling the chemical oxidative reaction. Reducing the oxygen diffusivity by constructing a network in the bitumen to retard oxygen diffusion and increase the transport path is an efficient way to slow down the bitumen aging without the antioxidant consumption. This work proposed a MD-based computational approach, contributing to 1) determination of the oxygen diffusion coefficient of the existing bitumen that is extremely challenging for the experimental measurement and 2) instruction of developing new antioxidant.","Anti-ageing compounds; Bitumen; Molecular dynamics (MD); Oxygen; Physical diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Pavement Engineering","","",""
"uuid:9a2a58e9-b3a1-4491-b59e-3f779d398e9b","http://resolver.tudelft.nl/uuid:9a2a58e9-b3a1-4491-b59e-3f779d398e9b","Mechanical properties and healing efficiency of 3D-printed ABS vascular based self-healing cementitious composite: Experiments and modelling","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2022","Cracking is one of the main causes for deterioration of concrete structures. Self-healing concrete with 3D-printed vascular networks has excellent potential for autonomous self-healing. This approach is scarcely investigated: no studies have been devoted to the influence of printing parameters on the properties of vascular based self-healing concrete. In this work, three-dimensional vascular structures with complex geometry were designed and printed with 4 different sets of printing parameters. First, the influence of the four, nominally identical, vascular networks on the initial flexural strength of self-healing concretes was experimentally investigated. In parallel, numerical modeling with a concrete damaged plasticity model (CDPM) in Abaqus software is used to simulate the influence of vascular networks on the mechanical properties of the self-healing composite. After the 4-point bending tests, epoxy resin is injected into the vascular networks as the healing agent to seal the cracks. Then, flexural strength regain and watertightness recovery were also measured. Based on the obtained results, we found that vascular based self-healing concretes have lower initial flexural strengths than the reference sample, as expected. The magnitude of the strength drop is shown to depend strongly on the printing parameters: the specimens with horizontally-printed vascular networks have higher flexural strength than the vertically-printed counterparts. Furthermore, vascular networks with a smaller printing layer-height have less influence on the initial flexural strength of vascular-based self-healing concrete compared to those with the larger printing layer-height. In terms of watertightness recovery, all tested vascular based self-healing samples showed a full (100%) recovery, which means that the printing direction and printing layer-height do not have an obvious effect on the watertightness recovery in this study. Numerical simulations of the mechanical performance of the composites with the CDPM show good agreement with the experiments, although printing quality of the vascular network influences the simulation accuracy. These simulations show great potential of using numerical simulations to design vascular based self-healing concrete in order to minimize a drop in mechanical properties, without compromising the healing efficiency. Overall, the designed 3D-printed vascular self-healing concretes show remarkable strength regain and watertightness recovery and provide a good basis for further research.","3D printing; Numerical simulation; Printing parameter; Vascular based self-healing concrete","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:20b031a8-354c-4bfc-9c03-927e20308325","http://resolver.tudelft.nl/uuid:20b031a8-354c-4bfc-9c03-927e20308325","The effect of slag chemistry on the reactivity of synthetic and commercial slags","Zhang, Y. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","In this paper, both synthetic slag and commercial slag covering the common composition range were employed to estimate the correlation between slag chemistry and reactivity through hydraulicity and dissolution tests. It was found that slag reactivity was favorably affected by increasing Al2O3 and MgO contents, while the adverse effect of decreasing CaO/SiO2 ratio could be compensated by higher amounts of Al2O3 and/or MgO. When calorimetric measurement was used to assess the reactivity of slag, the effect of sulfur species incorporated in commercial slag should be taken into consideration as a small quantity of it could lead to a major difference of cumulative heat release due to the formation of ettringite. Moreover, a novel graphical method was proposed to estimate the reactivity of slag considering its chemical composition from a new perspective, i.e. a cartesian coordinate system based on (CaO/SiO2)−(MgO + Al2O3).","Chemical composition; Graphical method; Reactivity; Sulfur species; Synthetic and commercial slags","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:74962a1c-a4d3-4b9f-87b7-465e57a02b7f","http://resolver.tudelft.nl/uuid:74962a1c-a4d3-4b9f-87b7-465e57a02b7f","Effect of superabsorbent polymer introduction on properties of alkali-activated slag mortar","Yang, Zhengxian (Fuzhou University); Shi, Peng (Fuzhou University; Politecnico di Torino); Zhang, Yong (Fuzhou University); Li, Z. (TU Delft Materials and Environment)","","2022","Internal curing by superabsorbent polymer (SAP) has been applied in alkali-activated slag (AAS) systems by a few previous studies with the purpose to mitigate the autogenous shrinkage. However, the effects of SAP on other properties of AAS have been rarely studied. In this paper, the workability, strength, permeability, and frost resistance of AAS mortar with synthesized SAP are investigated besides the autogenous shrinkage. Two SAP introducing ways (dry mixing and wet mixing) are considered. It is found that the flowability of AAS mortar decreases with the increase of SAP dosage regardless of the introducing way. The strength and permeability increase with the SAP dosage when it is below a certain amount depending on the mixing way. The autogenous shrinkage can be mitigated significantly by the incorporation of SAP and the mitigating effect is more pronounced by wet mixing. The frost resistance becomes better when more SAP is introduced in either way. The mechanisms behind these phenomena are explained based on the characterization results on the reaction kinetics, reaction products and pore structure of the mixtures with SAP.","Alkali activated slag; Autogenous shrinkage; Frost resistance; Permeability; Strength; Superabsorbent polymer","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f5ca6212-16ac-42a7-bc99-645f21ea2213","http://resolver.tudelft.nl/uuid:f5ca6212-16ac-42a7-bc99-645f21ea2213","Effect of Impact and Bearing Parameters on Bird Strike with Aero-Engine Fan Blades","Wu, Bin (Tianjin University); Hedayati, R. (TU Delft Novel Aerospace Materials); Li, Zhehua (Tianjin University); Aghajanpour, Mahsa (University of Tehran); Zhang, Guichang (Civil Aviation University of China); Zhang, Junhong (Tianjin University; Tianjin Ren’ai College); Lin, Jiewei (Tianjin University)","","2022","Bird strikes are one major accident for aircraft engines and can inflict heavy casualties and economic losses. In this study, a smoothed particle hydrodynamics (SPH) mallard model has been used to simulate bird impact to rotary aero-engine fan blades. The simulations were performed using the finite element method (FEM) at LS-DYNA. The reliability of the material model and numerical method was verified by comparing the numerical results withWilberk’s experimental results. The effects of impact and bearing parameters, including bird impact location, bird impact orientation, initial bird velocity, fan rotational speeds, stiffness of the bearing, and the damping of the bearing on the bird impact to aero-engine fan blade are studied and discussed. The results show that both the impact location and bird orientation have significant effects on the bird strike results. Bird impact to blade roots is the most dangerous scenario causing the impact force to reach 390 kN. The most dangerous orientation is the case where the bird’s head is tilted 45° horizontally, which leads to huge fan kinetic energy loss as high as 64.73 kJ. The bird’s initial velocity affects blade deformations. The von Mises stress during the bird strike process can reach 1238 MPa for an initial bird velocity of 225 m/s. The fan’s rotational speed and the bearing stiffness affect the rotor stability significantly. The value of bearing damping has little effect on the bird strike process. This paper gives an idea of how to evaluate the strength of fan blades in the design period.","Bearing parameter; Bird strike; Engine fan; Impact parameter; SPH","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:d2a7228c-a655-4342-b5f7-425e7d423052","http://resolver.tudelft.nl/uuid:d2a7228c-a655-4342-b5f7-425e7d423052","Probability density field of acoustic emission events: Damage identification in concrete structures","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Naaktgeboren, N.M. (Ministry of Infrastructure and the Environment); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2022","This paper proposes a new damage identification method, namely, the probability density field of acoustic emission (AE) events. This new method provides a different perspective to deal with the uncertainties in the source localization process. We treat the source location as a random variable, and estimate its probability density field based on a probability density function. The function was found from simulations where various uncertainties were included. The probability of AE events falling in a certain space range is the integral of the probability densities over that range. We apply the new method in a failure test of a full-scale reinforced concrete beam. The resultant probability density field clearly reflects the crack patterns of the specimen and a close relationship with the crack width.","Acoustic emission event density; Acoustic emission source localization; Concrete structures; Damage identification; Probability density function; Source localization error","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:cdeb396c-838a-4369-b921-fb626a2c9dc5","http://resolver.tudelft.nl/uuid:cdeb396c-838a-4369-b921-fb626a2c9dc5","Simulation of Poyang Lake water levels and outflow under historical extreme hydrological scenarios","Yu, M. (TU Delft Water Resources; Hohai University; Nanjing Hydraulic Research Institute); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; Southeast University; IHE Delft Institute for Water Education); Wood, Paul (Loughborough University); Wei, Li (Hydrology Monitoring Center of Jiangxi Province, Nanchang); Wang, Guoqing (Nanjing Hydraulic Research Institute); Zhang, Jianyun (Nanjing Hydraulic Research Institute); Li, Qiongfang (Hohai University)","","2022","Due to an intensification of anthropogenic activities and climate change in recent decades, the hydrological connections and relationships between rivers and lakes have been significantly modified globally. Poyang Lake is one of the largest freshwater lakes globally and is one of the few that remain naturally connected to the Yangtze River. To investigate the full hydrological conditions (extreme high and low discharge) of Poyang Lake outflow under current bathymetric conditions, a large-scale 1D- and 2D-coupled high-resolution hydrodynamic model of the Poyang Lake basin–Yangtze River system was developed. We simulated the outflow and water levels of Poyang Lake under nine different extreme hydrological scenarios with high precision and computational efficiency. We propose (1) a novel partition calibration method to characterize the roughness coefficient of large water bodies in complicated geographical terrain both for wet and dry seasons; (2) a new method for setting initial conditions for hydrodynamic simulation of large water bodies subject to strong hydrological regulation. Results indicated that (1) maximum outflow and water levels will reach 37,200 m3/s and 22.28 m when Poyang Lake basin floodwater coincides with flooding on the Yangtze River; (2) precipitation over the lake has increased outflow but this has had very limited influence on its changing hydrological pattern; (3) the effect of hydrological conditions within the system differs for both the lake outflow and water level. The research provides important reference conditions for the application of the InfoWorks ICM model in future applications and studies of large river–lake systems.","flood and drought; hydrodynamic model; outflow; Poyang Lake; rainfall; Yangtze River","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1741d5e6-996c-47fb-b9a4-abfee4cabb45","http://resolver.tudelft.nl/uuid:1741d5e6-996c-47fb-b9a4-abfee4cabb45","Practical aspects of thermomechanical modeling in electronics packaging: A case study with a SiC power package","Ye, G. (TU Delft Electronic Components, Technology and Materials; China University of Petroleum (East China)); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","In this paper, we presented several practical aspects for building robust and reliable finite element models in thermomechanical modeling in electronics packaging using finite element analysis. Firstly, for layered or patterned structures, a homogenized equivalent model, with equivalent orthotropic material properties, gives excellent agreement with the exact finite element model solutions. Such a simplified finite element model provides an efficient way for structural parameter optimization. Secondly, the finite element mesh should keep the fixed size and shape at the location of interest where the singular point exists. This approach provides a simple way for relative stress comparison in different designs, although the absolute value of stress components has no actual meaning. Thirdly, to further eliminate the mesh dependency, the volume averaging method can be used. We extended the local volume averaging method for large-area die attach problems. Fourthly, in this paper, we presented a comparison study between linear elastic and nonlinear viscoplastic analysis, and demonstrated that in some cases, two different types of analysis give opposite trend results. Lastly, we demonstrated that with the use of different stress components, the conclusions may be different. We also provided an ANSYS APDL script in the supplemental material as a benchmark example.","Finite element analysis; Homogenization; Power package; Stress singularity; Thermomechanical modeling; Volume averaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:1af5edc9-1184-4bb7-85ba-014af3649369","http://resolver.tudelft.nl/uuid:1af5edc9-1184-4bb7-85ba-014af3649369","Smart protective coatings with self‐sensing and active corrosion protection dual functionality from pH-sensitive calcium carbonate microcontainers","Liu, Tong (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Huang, Yao (University of Science and Technology Beijing); Hao, Xiangping (University of Science and Technology Beijing); Terryn, H.A. (Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft Team Arjan Mol); Li, Xiaogang (University of Science and Technology Beijing)","","2022","Herein, we report the development of a self-sensing and active corrosion protection coating which incorporates pH-sensitive multilayer chitosan/alginate-covered CaCO3 microcontainers containing 1,10-phenanthrolin-5-amine (APhen). The microcontainers can respond to pH variation to release APhen which serves not only as a corrosion indicator but also as an inhibitor. An epoxy coating doped with 5 wt% microcontainers exhibited improved corrosion performance and was capable of inhibiting corrosion spreading from the damaged area in a 3.5 wt% NaCl solution. The salt spray test showed that corrosion damage can be quickly detected by the appearance of a red color within 2 min.","Active corrosion protection; Corrosion; Corrosion sensing; Microcontainers; Organic coatings","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-23","","","Team Arjan Mol","","",""
"uuid:11855787-7e73-4228-bf67-657822f2fc06","http://resolver.tudelft.nl/uuid:11855787-7e73-4228-bf67-657822f2fc06","Morphological wave attenuation of the nature-based flood defense: A case study from Chongming Dongtan Shoal, China","Mi, Jie (Shanghai Normal University); Zhang, Min (Shanghai Normal University); Zhu, Zhenchang (Guangdong University of Technology); Vuik, V. (TU Delft Coastal Engineering); Wen, Jiahong (Shanghai Normal University); Gao, Hongkai (East China Normal University); Bouma, Tjeerd J. (Universiteit Utrecht)","","2022","The risk of coastal storm flooding is deteriorating under global warming, especially for the heavily urbanized deltaic cities, like Shanghai. The Nature-Based Flood Defense (NBFD), as an eco-friendly design alternative for hard infrastructure against coastal flooding, is gaining attention. Nevertheless, the vulnerability of saltmarsh due to the biological instability, resulting in the uncertainties on coastal protection, is considered the bottleneck challenge that hinders the broad application of the NBFD concept. We argue that except for direct wave attenuations by the above-ground vegetation during storms, the gradual sediment trapping and consolidating during the non-storm period is a more crucial function of coastal saltmarsh, which mitigates storm waves by forming a broader and higher intertidal morphology. This benefit is an important value of saltmarsh-based coastal protection but is largely neglected in many NBFD studies. Taking Chongming Dongtan Shoal (CDS) as a case study, we demonstrated that over 2/3th wave attenuation during storms is contributed by the saltmarsh morphology, and less than 1/3th is from the saltmarsh vegetation. The relative contribution of the saltmarsh morphology on wave mitigation is even enhanced under the increasing storm grades from 100 yrs. to 5000 yrs. return levels. To promote this idea for broader application, the cost-benefit analysis of three artificial NBFD solutions (e.g., submerged breakwater, timber piles, and sand nourishment) are compared. We identified an optimal measure of the submerged breakwater for CDS, which minimizes the ecological impact and maximizes the cost-benefit. Moreover, the wave-free zone behind the breakwater increases the chance of vegetation establishment, helps suspended sediment trapping, hence fostering a beneficent cycle for saltmarsh restoration. In summary, ignoring the contribution of saltmarsh morphology on wave attenuation largely underestimated the benefits of vegetation-based coastal protection, which should be greatly emphasized to provide a solid basis for developing NBFD.","Coastal protection; Cost-benefit analysis; Numerical simulation; Saltmarsh morphology; Yangtze estuary","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Coastal Engineering","","",""
"uuid:8fec341a-39cf-4864-8570-8d51a8285e23","http://resolver.tudelft.nl/uuid:8fec341a-39cf-4864-8570-8d51a8285e23","Interactions between Diffuse Light and Cucumber (Cucumis sativus L.) Canopy Structure, Simulations of Light Interception in Virtual Canopies","Zhang, Yingyu (Shanghai Academy of Agricultural Sciences); Yang, Juan (Shanghai Academy of Agricultural Sciences); van Haaften, M.A. (TU Delft System Engineering; Inholland University of Applied Sciences); Li, Linyi (Shanghai Academy of Agricultural Sciences); Lu, Shenglian (Guangxi Normal University); Wen, Weiliang (National Engineering Research Center for Information Technology in Agriculture); Zheng, Xiuguo (Shanghai Academy of Agricultural Sciences); Pan, Jian (Shanghai Jiao Tong University); Qian, Tingting (Shanghai Academy of Agricultural Sciences)","","2022","Plant photosynthesis and biomass production are associated with the amount of intercepted light, especially the light distribution inside the canopy. Three virtual canopies (n = 80, 3.25 plants/m2) were constructed based on average leaf size of the digitized plant structures: ‘small leaf’ (98.1 cm2), ‘medium leaf’ (163.0 cm2) and ‘big leaf’ (241.6 cm2). The ratios of diffuse light were set in three gradients (27.8%, 48.7%, 89.6%). The simulations of light interception were conducted under different ratios of diffuse light, before and after the normalization of incident radiation. With 226.1% more diffuse light, the result of light interception could increase by 34.4%. However, the 56.8% of reduced radiation caused by the increased proportion of diffuse light inhibited the advantage of diffuse light in terms of a 26.8% reduction in light interception. The big-leaf canopy had more mutual shading effects, but its larger leaf area intercepted 56.2% more light than the small-leaf canopy under the same light conditions. The small-leaf canopy showed higher efficiency in light penetration and higher light interception per unit of leaf area. The study implied the 3D structural model, an effective tool for quantitative analysis of the interaction between light and plant canopy structure.","3D structural model; Canopy structure; Diffuse light; Light interception; Plant simulation; Virtual cucumber canopies","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:35c0dcac-5a5d-40ad-8216-231f265353ac","http://resolver.tudelft.nl/uuid:35c0dcac-5a5d-40ad-8216-231f265353ac","A comparison between alkali-activated slag/fly ash binders prepared with natural seawater and deionized water","Ren, Jie (Shenzhen University; University of Colorado); Sun, Hongfang (Shenzhen University); Li, Qun (Shenzhen University); Li, Z. (TU Delft Materials and Environment); Zhang, Xiaogang (Shenzhen University); Wang, Yanshuai (Shenzhen University); Li, Linfei (University of Colorado); Xing, Feng (Shenzhen University)","","2022","In this research, the effects of natural seawater (SW) on the properties of alkali-activated slag/fly ash (AASF) are studied. AASF prepared with deionized water is set as the reference mixture. The results showed that the use of natural SW resulted in a prolonged setting time and lower heat release, but no obvious impact on the flowability of AASF specimens. The long-term compressive strength became higher when SW was used, whereas the corresponding flexural strength and fractural toughness turned lower. The use of SW induced the formation of new products that were not identified in the reference mixture, such as Cl–hydrocalumite and gypsum. In addition, it is evidenced that the dissolution of fly ash (FA) particles was significantly delayed with the incorporation of SW. All these results were related to the various ions introduced by the natural SW and their interactions with the alkaline activator as well as the precipitation of salts on slag and FA surfaces or in the matrix.","alkali-activated slag/fly ash; hydration kinetics; mechanical properties; microstructure; seawater; setting time","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:3bbaa07a-58eb-4443-b5df-f88301aa8ce6","http://resolver.tudelft.nl/uuid:3bbaa07a-58eb-4443-b5df-f88301aa8ce6","More efficient enzymatic cascade reactions by spatially confining enzymes via the SpyTag/SpyCatcher technology","Zhong, Xuanru (South China University of Technology); Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Zhang, Xizhen (South China University of Technology); Zhang, Jiahui (South China University of Technology); Wu, Bin (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Inteligent Bio-manufacturing Co, Guangdong)","","2022","Hydrocarbon synthesis from (waste)oils enabled by a cascade of lipase-catalysed hydrolysis and decarboxylase-catalysed decarboxylation has become an active area of research en route to alternative, biobased fuels. However, Poor substrate transport efficiency is a major issue causing low reaction rates. This study focused on a protein self-assembly strategy based on SpyTag/SpyCatcher to overcome diffusion limitations. For this, two fusion proteins, TLL-Linker-SpyCatcher based on the lipase from Thermomyces lanuginosus and CvFAP-Linker-SpyTag based on the fatty acid photodecarboxylase from Chlorella variabilis were designed. A covalent multi-enzyme complex (TLL-CvFAP) was formed spontaneously by self-assembly of each enzyme. The effects of temperature, pH and molar ratio of self-assembled components on assembly efficiency were investigated. The results showed that the multi-enzyme complex TLL-CvFAP reached about 60% after 12 h of assembly, and the enzyme activity of the multienzyme complex was increased by about 50% compared to that of the corresponding non-assembled enzymes. Under optimized conditions 10 mM soybean oil were converted into 25 mM of the corresponding hydrocarbons, suggesting a good potential of biofuel synthesis.","CvFAP; Hydrocarbon biofuels; Multienzyme complex; SpyTag/SpyCatcher; TLL","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-23","","","BT/Biocatalysis","","",""
"uuid:9293861c-ad3e-42e9-84b3-48f4834933b3","http://resolver.tudelft.nl/uuid:9293861c-ad3e-42e9-84b3-48f4834933b3","Report of RILEM TC 267-TRM phase 2: Optimization and testing of the robustness of the R3 reactivity tests for supplementary cementitious materials","Avet, François (EPFL STI IMX LMC); Li, Xuerun (EPFL STI IMX LMC); Ben Haha, Mohsen (HeidelbergCement AG); Bernal, Susan A. (University of Leeds); Bishnoi, Shashank (Indian Institute of Technology Delhi); Cizer, Özlem (Katholieke Universiteit Leuven); Cyr, Martin (Université de Toulouse); Ye, G. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment)","","2022","The results of phase 1 of an interlaboratory test, coordinated by the RILEM TC 267-TRM “Tests for Reactivity of Supplementary Cementitious Materials” showed that the R3 (rapid, relevant, reliable) test method, by measurement of heat release or bound water, provided the most reliable and relevant determination of the chemical reactivity of supplementary cementitious materials (SCMs), compared to other test methods. The phase 2 work, described in this paper aimed to improve the robustness of the test procedure and to develop precision statements for the consolidated test procedure. The effect of the pre-mixing and mixing conditions, and the impact of the mix design on the test method robustness were assessed and fixed for optimal conditions to carry out the R3 heat release test. The effect of the drying step was evaluated to define the R3 bound water test procedure in more detail. Finally, the robustness of the consolidated final test methods was determined by an interlaboratory study to define the precision statements.","Bound water; Heat release; Reactivity test; Robustness study; Supplementary cementitious materials","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:306e0875-47d7-46ef-92a7-b81e5b476682","http://resolver.tudelft.nl/uuid:306e0875-47d7-46ef-92a7-b81e5b476682","Visualizing Dynamic Changes During TGF-β-Induced Epithelial to Mesenchymal Transition","Sinha, Abhishek (Leiden University Medical Center); Mehta, P.P. (TU Delft ChemE/Product and Process Engineering; Leiden University Medical Center); Fan, Chuannan (Leiden University Medical Center); Zhang, Jing (Leiden University Medical Center); Marvin, Dieuwke L. (Leiden University Medical Center); van Dinther, Maarten (Leiden University Medical Center); Ritsma, Laila (Leiden University Medical Center); Boukany, P. (TU Delft ChemE/Product and Process Engineering); ten Dijke, Peter (Leiden University Medical Center)","Zi, Zhike (editor); Liu, Xuedong (editor)","2022","Epithelial to mesenchymal transition (EMT) is crucial during embryonic development, tissue fibrosis, and cancer progression. Epithelial cells that display a cobblestone-like morphology can undergo a switch to mesenchymal-like phenotype, displaying an elongated spindle shape or a fibroblast-like morphology. EMT is characterized by timely and reversible alterations of molecular and cellular processes. The changes include loss of epithelial and gain of mesenchymal marker expression, loss of polarity, increased cell migratory and invasive properties. Epithelial cells can progress unevenly during this transition and attain hybrid E/M states or metastable EMT states, referred to as epithelial cell plasticity. To gain a deeper insight into the mechanism of EMT, understanding the dynamic aspects of this process is essential. One of the most prominent factors to induce EMT is the cytokine transforming growth factor-β (TGF-β). This chapter discusses molecular and cellular techniques to monitor TGF-β-induced signaling and EMT changes in normal and cancer cell lines. These methods include measuring the TGF-β-induced activation of its intracellular SMAD effectors proteins and changes in epithelial/mesenchymal marker expression and localization. Moreover, we describe assays of cell migration and dynamic reorganization of the actin cytoskeleton and stress filaments that are frequently part of the TGF-β-induced EMT cellular response.","Cell migration; EMP; EMT; Mesenchymal; Metastasis; TGF-β","en","book chapter","Humana Press Inc.","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Product and Process Engineering","","",""
"uuid:ee504601-79bb-48ee-97bc-bb5d54374695","http://resolver.tudelft.nl/uuid:ee504601-79bb-48ee-97bc-bb5d54374695","Ammonium-assimilating microbiome: A halophilic biosystem rationally optimized by carbon to nitrogen ratios with stable nitrogen conversion and microbial structure","Zhang, Mengru (Shandong University); Han, Fei (Shandong University); Liu, Zhe (Shandong University); Han, Yufei (Shandong University); Li, L. (TU Delft Sanitary Engineering); Zhou, Weizhi (Shandong University)","","2022","The contradiction between theoretical metabolism of ammonium assimilation and experiential understanding of conventional biosystems makes the rational optimization of the ammonium-assimilating microbiome through carbon to nitrogen (C/N) ratios perplexing. The effect of different C/N ratios on ammonium-assimilating biosystems was investigated in saline wastewater treatment. C/N ratios significantly hindered the nutrient removal efficiency, but ammonium-assimilating biosystems maintained functional stability in nitrogen conversions and microbial communities. With sufficient biomass, higher than 86% ammonium and 73% phosphorus were removed when C/N ratios were higher than 25. Ammonium assimilation dominated the nitrogen metabolism in all biosystems even under relatively low C/N ratios, evidenced by the extremely low abundances of nitrification functional genes. Different C/N ratios did not significantly change the bacterial community structure of ammonium-assimilating biosystems. It is anticipated that the ammonium-assimilating biosystem with advantages of clear metabolic pathway and easy optimization can be applied to nutrient removal and recovery in saline environments.","Ammonium assimilation; Ammonium-assimilating biosystems; Bacterial community; C/N ratios; Saline wastewater treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:25778bc8-37e1-4d3c-89db-ee30f1f414da","http://resolver.tudelft.nl/uuid:25778bc8-37e1-4d3c-89db-ee30f1f414da","Risk assessment methods of cascade reservoir dams: a review and reflection","Wang, Te (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University); Zhang, Yadong (Zhengzhou University); Jiao, Yutie (Zhengzhou University); Zhang, Hua (Zhengzhou University); Sun, Heqiang (Zhengzhou University); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2022","Risk assessment of cascade reservoir dams is not only the key to ensure the safety of the basin, but also the objective requirement of dam risk management. Based on the development status of cascade reservoirs in China, the complexity of dam risk management of cascade reservoirs compared with a single reservoir was analyzed. By reviewing the advances on the studies of dam risk in cascade reservoirs, this paper summarized their limitations in terms of scientificity and practicability. Moreover, some concepts and methods were proposed on the risk assessment of cascade reservoirs: (1) The dam risk of a cascade reservoir was decomposed into own risk and additional risk, the consequence of its dam breach was decomposed into direct loss and potential loss, and an influence coefficient was defined to reflect the risk transmission and superposition degree among cascade reservoirs; (2) The related concepts and formulas for the calculation of dam risk probability and consequence of cascade reservoirs were proposed, which realized the transition of dam risk assessment method from a single reservoir to cascade reservoirs; (3) A project rank classification method for cascade reservoirs was proposed, which took into account not only the project scale and benefits in socioeconomic development, but also the successive dam breaches possibility and consequences. This study is of great significance to clarify the focus of future research and promote the practical application of dam risk management in cascade reservoirs.","Cascade reservoirs; Dam breach; Probability; Risk assessment; Risk transmission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:e54531ad-80d7-4ce0-bfbc-71658df582d5","http://resolver.tudelft.nl/uuid:e54531ad-80d7-4ce0-bfbc-71658df582d5","The frictional layer in the observed momentum budget of the trades","Nuijens, Louise (TU Delft Atmospheric Remote Sensing); Savazzi, A.C.M. (TU Delft Atmospheric Remote Sensing); de Boer, G. (University of Colorado; National Oceanic and Atmospheric Administration); Brilouet, P. E. (Université de Toulouse); George, G. (Max Planck Institute for Meteorology); Lothon, M. (Université de Toulouse); Zhang, D. (University of Washington; NOAA)","","2022","Profiles of eddy momentum flux divergence are calculated as the residual in the momentum budget constructed from airborne circular dropsonde arrays ((Formula presented.) 220 km) for 13 days during the EUREC (Formula presented.) A/ATOMIC field campaign. The observed dynamical forcing averaged over all flights agrees broadly with European Centre for Medium-Range Weather Forecasts (ECMWF) Integrated Forecasting System (IFS) forecasts. In the direction of the flow, a mean flux divergence (friction) exists over a 1.5-km deep Ekman layer, and a mean flux convergence (acceleration) is present near cloud tops. The friction is countergradient between 1 and 1.5 km, where vertical wind shear exceeds the observed thermal wind. From the frictional profile, a 10-m momentum flux of (Formula presented.) 0.1 N (Formula presented.) m (Formula presented.) is derived, in line with Saildrone turbulence measurements. A momentum flux divergence in the cross-wind direction is pronounced near the surface and acts to veer the wind, opposing the friction-induced cross-isobaric wind turning. Weaker friction and upper-level acceleration of easterly flow are observed when stronger winds and more vigorous convection prevail. Turbulence measurements on board the SAFIRE ATR-42 aircraft and the Uncrewed Aircraft System (UAS) RAAVEN reveal pronounced spatial variability of momentum fluxes, with a non-negligible contribution of mesoscales (5–30 km). The findings highlight the nontrivial impact of turbulence, convection, and mesoscale flows in the presence of diverse cloud fields on the depth and strength of the frictional layer.","eddy momentum fluxes; momentum budget; trade wind convection","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:8176ef00-566e-4e21-99eb-7fbfa678e68d","http://resolver.tudelft.nl/uuid:8176ef00-566e-4e21-99eb-7fbfa678e68d","Tracking traffic congestion and accidents using social media data: A case study of Shanghai","Chang, Haoliang (City University of Hong Kong); Li, L. (TU Delft Air Transport & Operations; City University of Hong Kong); Huang, Jianxiang (The University of Hong Kong); Zhang, Qingpeng (City University of Hong Kong); Chin, Kwai Sang (City University of Hong Kong)","","2022","Traffic congestion and accidents take a toll on commuters' daily experiences and society. Locating the venues prone to congestion and accidents and capturing their perception by public members is invaluable for transport policy-makers. However, few previous methods consider user perception toward the accidents and congestion in finding and profiling the accident- and congestion-prone areas, leaving decision-makers unaware of the subsequent behavior responses and priorities of retrofitting measures. This study develops a framework to identify and characterize the accident- and congestion-prone areas heatedly discussed on social media. First, we use natural language processing and deep learning to detect the accident- and congestion-relevant Chinese microblogs posted on Sina Weibo, a Chinese social media platform. Then a modified Kernel Density Estimation method considering the sentiment of microblogs is employed to find the accident- and congestion-prone regions. The results show that the 'congestion-prone areas' discussed on social media are mainly distributed throughout the historical urban core and the Northwest of Pudong New Area, in reasonably good agreements with actual congestion records. In contrast, the 'accident-prone areas' are primarily found in locations with severe accidents. Finally, the above venues are characterized in spatio-temporal and semantic aspects to understand the nature of the incidents and assess the priority level for mitigation measures. The outcomes can provide a reference for traffic authorities to inform resource allocation and prioritize mitigation measures in future traffic management.","Geographic information science; Kernel density estimation; Natural language processing; Social media data; Traffic accident; Traffic congestion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Air Transport & Operations","","",""
"uuid:f372ca96-ccb6-4c0b-9721-3b49989df788","http://resolver.tudelft.nl/uuid:f372ca96-ccb6-4c0b-9721-3b49989df788","Optical singularity assisted method for accurate parameter detection of step-shaped nanostructure in coherent Fourier scatterometry","Dou, X. (TU Delft ImPhys/Optics; Shenzhen University); Min, Changjun (Shenzhen University); Zhang, Yuquan (Shenzhen University); Pereira, S.F. (TU Delft ImPhys/Optics); Yuan, Xiaocong (Shenzhen University)","","2022","Accurate determination of the physical parameters of nanostructures from optical far-field scattering is an important and challenging topic in the semiconductor industry. Here, we propose a novel metrology method to determine simultaneously the height and side-wall angle of a step-shaped silicon nanostructure. By employing an optical singular beam into a typical coherent Fourier scatterometry system, both parameters can be retrieved through analyzing the intensity profile of the far-field scattering pattern. The use of singular beam is shown to be sensitive to slight changes of the parameters of the step. By changing the relative direction between the singularity and structure, the height and side-wall angle can both be retrieved with high precision. This new method is robust, simple, and can provide valuable means for micro-and-nano- metrologies.","","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:48025431-52f6-4cd1-89e1-9f2ffbce3724","http://resolver.tudelft.nl/uuid:48025431-52f6-4cd1-89e1-9f2ffbce3724","Combined effects of stress and temperature on hydrogen diffusion in non-hydride forming alloys applied in gas turbines","Zhang, Z. (TU Delft Energy Technology; University of Northumbria); Peeters, J.W.R. (TU Delft Energy Technology); Popovich, V. (TU Delft Team Vera Popovich); Ayas, C. (TU Delft Computational Design and Mechanics)","","2022","Hydrogen plays a vital role in the utilisation of renewable energy, but ingress and diffusion of hydrogen in a gas turbine can induce hydrogen embrittlement on its metallic components. This paper aims to investigate the hydrogen transport in a non-hydride forming alloy such as Alloy 690 used in gas turbines inspired by service conditions of turbine blades, i.e. under the combined effects of stress and temperature. An appropriate hydrogen transport equation is formulated, accounting for both stress and temperature distributions of the domain in the non-hydride forming alloy. Finite element (FE) analyses are performed to predict steady-state hydrogen distribution in lattice sites and dislocation traps of a double notched specimen under constant tensile load and various temperature fields. Results demonstrate that the lattice hydrogen concentration is very sensitive to the temperature gradients, whilst the stress concentration only slightly increases local lattice hydrogen concentration. The combined effects of stress and temperature result in the highest concentration of the dislocation trapped hydrogen in low-temperature regions, although the plastic strain is only at a moderate level. Our results suggest that temperature gradients and stress concentrations in turbine blades due to cooling channels and holes make the relatively low-temperature regions susceptible to hydrogen embrittlement.","Alloy 690; Finite element analysis; Gas turbine; Hydrogen diffusion; Stress; Temperature","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:764bcf11-a2d8-47a0-ad7b-576b1c10716f","http://resolver.tudelft.nl/uuid:764bcf11-a2d8-47a0-ad7b-576b1c10716f","Effect of MgO content on the quantitative role of hydrotalcite-like phase in a cement-slag system during carbonation","Zhang, Y. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","This paper reports the carbonation characteristics of a cement-slag system exposed to accelerated carbonation testing, and its improved carbonation resistance with the increasing MgO content in blast furnace slag, in which hydrotalcite-like phase plays a key role. Our research showed that the hydrotalcite-like phase started to carbonate upon contacting with the carbonate ions and bound more than 15 wt% CO2−3 in the mildly carbonated and transition areas. This value was positively associated with the magnesia content of slag. Additionally, the proportion shared by hydrotalcite-like phase decreased in the fully carbonated area, and more CO2 was fixed in the form of calcium carbonate. Consistent with the thermodynamic modelling, the ratio of CO2 bound in carbonated hydrotalcite-like phase to the total CaCO3 continued to decrease as the CO2 ingress progressed. On the other hand, the reaction between hydrotalcite-like phase and CO2 was found to be volumetrically stable due to binding CO2 in the interlayer space, and Mg was still distributed within the original slag grain region. Mg/Al atomic ratio of hydrotalcite-like phase remained nearly the same before and after carbonation. Results of this study quantitatively emphasized the favorable effect of hydrotalcite-like phase to improve the carbonation resistance of slag-rich cementitious systems.","Carbonation; Cement-slag system; Hydrotalcite-like phase; MgO; Thermodynamic modelling","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:5450fed3-58ea-4cbc-929f-b61868c34977","http://resolver.tudelft.nl/uuid:5450fed3-58ea-4cbc-929f-b61868c34977","Nanopore-based technologies beyond DNA sequencing","Ying, Yi Lun (Nanjing University); Hu, Zheng Li (Nanjing University); Zhang, Shengli (Rijksuniversiteit Groningen); Qing, Yujia (University of Oxford); Fragasso, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Maglia, Giovanni (Rijksuniversiteit Groningen); Meller, Amit (Technion); Bayley, Hagan (University of Oxford); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Long, Yi Tao (Nanjing University)","","2022","Inspired by the biological processes of molecular recognition and transportation across membranes, nanopore techniques have evolved in recent decades as ultrasensitive analytical tools for individual molecules. In particular, nanopore-based single-molecule DNA/RNA sequencing has advanced genomic and transcriptomic research due to the portability, lower costs and long reads of these methods. Nanopore applications, however, extend far beyond nucleic acid sequencing. In this Review, we present an overview of the broad applications of nanopores in molecular sensing and sequencing, chemical catalysis and biophysical characterization. We highlight the prospects of applying nanopores for single-protein analysis and sequencing, single-molecule covalent chemistry, clinical sensing applications for single-molecule liquid biopsy, and the use of synthetic biomimetic nanopores as experimental models for natural systems. We suggest that nanopore technologies will continue to be explored to address a number of scientific challenges as control over pore design improves.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Cees Dekker Lab","","",""
"uuid:32f5a96e-b2a3-4266-9a4d-7e4268214efe","http://resolver.tudelft.nl/uuid:32f5a96e-b2a3-4266-9a4d-7e4268214efe","Synchromodal transport planning considering heterogeneous and vague preferences of shippers","Zhang, Y. (TU Delft Transport Engineering and Logistics); Li, X. (Student TU Delft); van Hassel, E.B.H.J. (Universiteit Antwerpen); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","In synchromodal transport, a freight forwarder usually serves multiple shippers with heterogeneous and vague preferences, such as low-cost, fast, or reliable transport. Ignoring shippers’ preferences will negatively impact the satisfaction of shippers and lead to the loss of them in the longer run. In order to incorporate these preferences, a Synchromodal Transport Planning Problem with Heterogeneous and Vague Preferences (STPP-HVP) is proposed and formulated as a mathematical model. Heterogeneous and Vague Preferences (HVP) are modeled through Multiple Attribute Decision Making approaches that integrate fuzzy set theory. The proposed model has two objectives, i.e., maximizing the number of served requests and minimizing the transportation cost. Preferences of shippers are set as constraints such that the freight forwarder needs to satisfy the preferred levels for each attribute. A heuristic algorithm (Adaptive Large Neighborhood Search) is proposed to find (near) optimal solutions. The case study in the European Rhine–Alpine corridor demonstrates that the proposed model can provide more attractive solutions to shippers compared with optimization which ignores preferences. Under various scenarios, the attributes, such as cost, time, emissions, reliability, and risk of damage, are analyzed and the (near) optimal modes and routes are suggested according to HVP. Moreover, the results show that the conflicts among attributes, conflicts among shippers, and conflicts between the freight forwarder and shippers are resolved by making one actor more satisfied without compromising any other actor's preferences.","Heterogeneous preferences; Synchromodal transport; Transport planning; Vague preferences","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:f1b54fb6-6bea-4e94-8d4b-f18f342bc206","http://resolver.tudelft.nl/uuid:f1b54fb6-6bea-4e94-8d4b-f18f342bc206","Improving Li-ion interfacial transport in hybrid solid electrolytes","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); van Eck, Ernst R.H. (Radboud Universiteit Nijmegen); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2022","The development of commercial solid-state batteries has to date been hindered by the individual limitations of inorganic and organic solid electrolytes, motivating hybrid concepts. However, the room-temperature conductivity of hybrid solid electrolytes is still insufficient to support the required battery performance. A key challenge is to assess the Li-ion transport over the inorganic and organic interfaces and relate this to surface chemistry. Here we study the interphase structure and the Li-ion transport across the interface of hybrid solid electrolytes using solid-state nuclear magnetic resonance spectroscopy. In a hybrid solid polyethylene oxide polymer–inorganic electrolyte, we introduce two representative types of ionic liquid that have different miscibilities with the polymer. The poorly miscible ionic liquid wets the polymer–inorganic interface and increases the local polarizability. This lowers the diffusional barrier, resulting in an overall room-temperature conductivity of 2.47 × 10−4 S cm−1. A critical current density of 0.25 mA cm−2 versus a Li-metal anode shows improved stability, allowing cycling of a LiFePO4–Li-metal solid-state cell at room temperature with a Coulombic efficiency of 99.9%. Tailoring the local interface environment between the inorganic and organic solid electrolyte components in hybrid solid electrolytes seems to be a viable route towards designing highly conducting hybrid solid electrolytes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e8445d39-41c2-4dd0-8900-3e1d9cf7f169","http://resolver.tudelft.nl/uuid:e8445d39-41c2-4dd0-8900-3e1d9cf7f169","Microfluidic evidence of synergistic effects between mesenchymal stromal cell-derived biochemical factors and biomechanical forces to control endothelial cell function","Zhang, Shuang (Erasmus MC); Tuk, Bastiaan (Erasmus MC); van de Peppel, Jeroen (Erasmus MC); Kremers, Gert Jan (Erasmus MC); Koedam, Marijke (Erasmus MC); Pesch, G.R. (TU Delft ChemE/Product and Process Engineering); Rahman, Z. (TU Delft ChemE/Product and Process Engineering); Hoogenboezem, Remco M. (Erasmus MC); Boukany, P. (TU Delft ChemE/Product and Process Engineering)","","2022","A functional vascular system is a prerequisite for bone repair as disturbed angiogenesis often causes non-union. Paracrine factors released from human bone marrow derived mesenchymal stromal cells (BMSCs) have angiogenic effects on endothelial cells. However, whether these paracrine factors participate in blood flow dynamics within bone capillaries remains poorly understood. Here, we used two different microfluidic designs to investigate critical steps during angiogenesis and found pronounced effects of endothelial cell proliferation as well as chemotactic and mechanotactic migration induced by BMSC conditioned medium (CM). The application of BMSC-CM in dynamic cultures demonstrates that bioactive factors in combination with fluidic flow-induced biomechanical signals significantly enhanced endothelial cell migration. Transcriptional analyses of endothelial cells demonstrate the induction of a unique gene expression profile related to tricarboxylic acid cycle and energy metabolism by the combination of BMSC-CM factors and shear stress, which opens an interesting avenue to explore during fracture healing. Our results stress the importance of in vivo - like microenvironments simultaneously including biochemical, biomechanical and oxygen levels when investigating key events during vessel repair. Statement of significance: Our results demonstrate the importance of recapitulating in vivo - like microenvironments when investigating key events during vessel repair. Endothelial cells exhibit enhanced angiogenesis characteristics when simultaneous exposing them to hMSC-CM, mechanical forces and biochemical signals simultaneously. The improved angiogenesis may not only result from the direct effect of growth factors, but also by reprogramming of endothelial cell metabolism. Moreover, with this model we demonstrated a synergistic impact of mechanical forces and biochemical factors on endothelial cell behavior and the expression of genes involved in the TCA cycle and energy metabolism, which opens an interesting new avenue to stimulate angiogenesis during fracture healing.","Angiogenesis; Endothelial cell; Mesenchymal stromal cell; Microfluidics","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:79b9f542-e690-426a-9f38-ce6160ec8d33","http://resolver.tudelft.nl/uuid:79b9f542-e690-426a-9f38-ce6160ec8d33","Microfluidic Synthesis of Hydrogel Microparticles with Superparamagnetic Colloids Embedded at Prescribed Positions for Anticounterfeiting Applications","Zhang, M. (TU Delft Complex Fluid Processing); Warth, T. (Student TU Delft); Boon, N.J.H. (TU Delft Complex Fluid Processing); Demirörs, Ahmet Faik (ETH Zürich); Eral, H.B. (TU Delft Complex Fluid Processing)","","2022","A microfluidic platform for continuous synthesis of hydrogel microparticles with superparamagnetic colloids (SPCs) embedded at prescribed positions is described. The shape of the cross-linked microparticle is independently controlled by stop–flow lithography, whereas the position of trapped SPCs are dictated by virtual magnetic moulds made of 2D nickel patches facilitating magnetic trapping. The spatial positions of trapped SPCs collectively function as a binary code matrix for product authentication. Analytical and finite element methods are combined to optimize the trapping efficiency of SPCs by systematically investigating magnetic field microgradients produced by nickel patches. It is envisioned that the proposed magnetic microparticles will contribute to the development of soft matter inspired product quality control, tracking and anti-counterfeiting technologies.","anti-counterfeiting; hydrogel microparticles; on-dose authentication","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:137b9cb7-cfd0-4659-a641-426156e5e277","http://resolver.tudelft.nl/uuid:137b9cb7-cfd0-4659-a641-426156e5e277","A Generalized Transformer-Based Pulse Detection Algorithm","Dematties, Dario (Northwestern University; Argonne National Laboratory); Wen, C. (TU Delft BN/Cees Dekker Lab; Wageningen University & Research; Kavli institute of nanoscience Delft); Zhang, Shi Li (Uppsala University)","","2022","Pulse-like signals are ubiquitous in the field of single molecule analysis, e.g., electrical or optical pulses caused by analyte translocations in nanopores. The primary challenge in processing pulse-like signals is to capture the pulses in noisy backgrounds, but current methods are subjectively based on a user-defined threshold for pulse recognition. Here, we propose a generalized machine-learning based method, named pulse detection transformer (PETR), for pulse detection. PETR determines the start and end time points of individual pulses, thereby singling out pulse segments in a time-sequential trace. It is objective without needing to specify any threshold. It provides a generalized interface for downstream algorithms for specific application scenarios. PETR is validated using both simulated and experimental nanopore translocation data. It returns a competitive performance in detecting pulses through assessing them with several standard metrics. Finally, the generalization nature of the PETR output is demonstrated using two representative algorithms for feature extraction.","artificial neural network; generalized algorithm; machine learning; nanopore sensing; spike recognition; transformer","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:c5597a1e-37a0-485a-ae6c-b1e3ef8cd458","http://resolver.tudelft.nl/uuid:c5597a1e-37a0-485a-ae6c-b1e3ef8cd458","An inter-city energy migration framework for regional energy balance through daily commuting fuel-cell vehicles","He, Yingdong (Hunan University; University of California); Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Liu, Jia (Guangzhou University; The Hong Kong Polytechnic University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","Spatiotemporal energy interaction and sharing are promising solutions to penetrate renewable energy, enhance grid power stability, and improve regional energy flexibility. However, the current literature is restrained in a small-scale neighborhood level, without considering inter-city energy migration through spatiotemporal complementarity between renewable-abundant regions (like suburb or countryside areas) and demand-shortage regions (like city centers). In this study, the energy interaction boundary is extended from a neighborhood scale to an inter-city scale, to maximize the renewable energy penetration, demand coverage, and reduce regional energy imbalance. This study firstly proposes a holistic framework on inter-city transportation-based energy migration, consisting of a residential community with rooftop photovoltaic systems and electrical batteries, an office building, hydrogen vehicles (HVs), a hydrogen (H2) station, and local power grids, for the energy transmission between building groups in spatially different regions through the daily commuting of HVs. Optimal grid-regulation strategies are thereafter proposed and adopted to stabilize the grid power and reduce energy costs. Parametric analysis on energy trading strategies and prices has been conducted, to improve the participation motivations of different stakeholders. Results indicate that, compared to the reference case with isolated buildings and vehicles, the transportation-based energy migration framework covers 23.2 % of the office energy demand and elevates the community's renewable self-use ratio from 72.7 % to 98.6 %. Meanwhile, the maximum grid-export power in the renewable-abundant region (suburb residential community) and the annual grid-import power in the demand-shortage region (city-center office) are reduced by up to 86.9 % (from 155.7 to 20.4 kW) and 29.4 % (from 49.0 to 34.6 kW), respectively. Moreover, even considering the fuel cell degradation cost of HVs, the transportation-based energy migration framework reduces the operating costs of the office building and HVs (the H2 cost and the fuel cell degradation cost) by 16.4 % (from $52791.3 to $44154.7) and 1.7 % (from $27172.5 to $26707.4), respectively. Afterward, compared to the reference case, the peak-shaving and load-shaping grid-regulation strategies can decrease the peak grid-export power of the community by about 71.6 % (from 155.7 to 44.2 kW), and the maximum grid-import power of the office by 23.7 % (from 49.0 to 37.4 kW), respectively. Furthermore, the transportation-based energy migration framework is economically feasible, only when the renewable export price for H2 production is 0.07 $/kWh, the onsite-renewable-generated H2 lower than 6.5 $/kg for the HV owners, and the vehicle-to-building electricity lower than 0.3 $/kWh for the office building. This study provides a novel inter-city energy migration framework with hydrogen networks to enhance district energy sharing, improve regional energy balance and reduce carbon emission, together with frontier guidelines on energy trading prices to promote participation motivations from different stakeholders.","Distributed hydrogen infrastructure; Energy trading; Hydrogen economy; Innovative Grid-regulation strategy; Spatiotemporal energy sharing network; Transportation-based Inter-city energy migration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-31","","","Design & Construction Management","","",""
"uuid:a2512d79-c709-497a-b4e2-b0386445a8fe","http://resolver.tudelft.nl/uuid:a2512d79-c709-497a-b4e2-b0386445a8fe","Freshwater blue space design and human health: A comprehensive research mapping based on scientometric analysis","Zhang, H. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","","2022","Water is a critical element of human existence and has shaped cities for centuries. In urban environments, water provides multiple ecosystem services and is an important element in the design of urban environments. Next to the many qualities and services provided by water, recent research indicates that exposure to freshwater blue space also enhances human health and wellbeing. However, health benefits are often not or implicitly taken into account in the design perspective. This research aims to provide a systematic overview of the available body of knowledge regarding the relationship between freshwater blue space, health, and design. This study first proposes a bi-directional conceptual framework connecting health evidence with design practice. And then, scientometric analysis is employed to review 1338 research articles on freshwater blue-health research. The results show that the number of articles in this area is increasing yearly, attracting more and more disciplines and stimulating interdisciplinary collaboration. Freshwater blue-health research is broadening to emphasize usage and experience, psychological advantages, and particular demographics, which provides a solid basis for future design research. At the same time, it becomes clear that there is a strong demand to develop adaptive design knowledge that integrates the available health evidence and operationalizes it in healthy freshwater blue space design. This paper could help researchers understand the current research progress and future research directions, as well as facilitate multiple applications of health evidence by practitioners.","Evidence translation; Freshwater blue space; Knowledge structure; Public health; Scientometric analysis; Spatial design","en","review","","","","","","","","","","","Landscape Architecture","","",""
"uuid:94683dab-562d-44ca-891d-409792891758","http://resolver.tudelft.nl/uuid:94683dab-562d-44ca-891d-409792891758","On the Versatility of Nanozeolite Linde Type L for Biomedical Applications: Zirconium-89 Radiolabeling and in Vivo Positron Emission Tomography Study","Lacerda, Sara (CNRS); Zhang, W. (TU Delft BT/Biocatalysis); T. M. De Rosales, Rafael (King’s College London); Da Silva, Isidro (Université d'Orléans); Sobilo, Julien (CNRS); Lerondel, Stéphanie (CNRS); Tóth, Éva (CNRS); Djanashvili, K. (TU Delft BT/Biocatalysis; CNRS)","","2022","Porous materials, such as zeolites, have great potential for biomedical applications, thanks to their ability to accommodate positively charged metal-ions and their facile surface functionalization. Although the latter aspect is important to endow the nanoparticles with chemical/colloidal stability and desired biological properties, the possibility for simple ion-exchange enables easy switching between imaging modalities and/or combination with therapy, depending on the envisioned application. In this study, the nanozeolite Linde type L (LTL) with already confirmed magnetic resonance imaging properties, generated by the paramagnetic gadolinium (GdIII) in the inner cavities, was successfully radiolabeled with a positron emission tomography (PET)-tracer zirconium-89 (89Zr). Thereby, exploiting 89Zr-chloride resulted in a slightly higher radiolabeling in the inner cavities compared to the commonly used 89Zr-oxalate, which apparently remained on the surface of LTL. Intravenous injection of PEGylated 89Zr/GdIII-LTL in healthy mice allowed for PET-computed tomography evaluation, revealing initial lung uptake followed by gradual migration of LTL to the liver and spleen. Ex vivo biodistribution confirmed the in vivo stability and integrity of the proposed multimodal probe by demonstrating the original metal/Si ratio being preserved in the organs. These findings reveal beneficial biological behavior of the nanozeolite LTL and hence open the door for follow-up theranostic studies by exploiting the immense variety of metal-based radioisotopes.","multimodal imaging; nanozeolites; positron emission tomography (PET); radiolabeling; radiopharmaceuticals; zirconium-89","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:17da4ee9-4023-4309-80ea-5e3366832a6b","http://resolver.tudelft.nl/uuid:17da4ee9-4023-4309-80ea-5e3366832a6b","Enhancing the intergranular corrosion resistance and mechanical properties of Al–Mg–xSi–Cu–Zn alloys by synergistic intergranular and intragranular precipitation behaviors","Zhu, Liang (University of Science and Technology Beijing); Guo, Mingxing (University of Science and Technology Beijing); Li, G. (TU Delft Team Maria Santofimia Navarro); Zhang, Jishan (University of Science and Technology Beijing)","","2022","The intergranular corrosion (IGC) resistance of age-hardening Al–Mg–Si–Cu alloys is closely related to the precipitation behavior adjacent to grain boundaries. In this study, we proposed to regulate the interaction of solute atoms and solute partitioning of Zn-containing Al–Mg–xSi–Cu alloys by introducing dislocations, which can synergistically decorate the intergranular and intragranular precipitation behavior. Consequently, the continuity of grain boundary precipitates and width of solute-depleted precipitate-free zones are inhibited accompanied with high number density or coarse precipitate in the matrix. As a result, the IGC resistance is greatly improved without strength and ductility loss, and the related mechanism has been proposed.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Maria Santofimia Navarro","","",""
"uuid:180844fb-4515-49bd-8e0e-4fce21f5b286","http://resolver.tudelft.nl/uuid:180844fb-4515-49bd-8e0e-4fce21f5b286","A reappraisal of the ink-bottle effect and pore structure of cementitious materials using intrusion-extrusion cyclic mercury porosimetry","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Wu, Kai (Tongji University); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2022","Reliable characterization of the pore structure is essentially important for transport-related durability studies of cementitious materials. Mercury intrusion porosimetry has been commonly used for pore structure measurement while the ink-bottle effect significantly affects the trustworthiness of pore size features of cementitious materials. Pressurization-depressurization cycling mercury intrusion porosimetry (PDC-MIP) is an alternative approach previously reported with the purpose to provide better estimates of pore size results. It is found however that the PDC-MIP greatly overestimates the ink-bottle pore volume owing to the incomplete extrusion of mercury in throat pores after the pressurization-depressurization cycle. Intrusion-extrusion cyclic mercury porosimetry (IEC-MIP), as a further improvement, is then described, which can reliably capture the ink-bottle effect and obtain a clear picture of the distribution of the ink-bottle pores in cementitious materials. The ink-bottle effect of cement pastes is observed being pore size-dependent and the role of critical pores is emphasized. Water-cement ratio primarily changes the effective porosity while plays a minor role in the ink-bottle porosity. The addition of reactive blends substantially enhances the ink-bottle effect during mercury penetration into small pores. IEC-MIP tests, together with a unique data analysis, enable to obtain a more truthful pore size distribution.","Connectivity; Critical pore diameter; Ink-bottle effect; Mercury porosimetry; Pore size distribution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:e600c367-e45a-4409-88c5-de59f8819a16","http://resolver.tudelft.nl/uuid:e600c367-e45a-4409-88c5-de59f8819a16","Enabling Co-Innovation for a Successful Digital Transformation in Wind Energy Using a New Digital Ecosystem and a Fault Detection Case Study","Barber, Sarah (Eastern Switzerland University of Applied Sciences); Lima, Luiz Andre Moyses (Voltalia); Sakagami, Yoshiaki (Federal Institute of Santa Catarina); Quick, Julian (University of Colorado); Latiffianti, Effi (Texas A and M University; Institut Teknologi Sepuluh Nopember); Liu, Y. (Electric Power Research Institute (EPRI) Europe); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); Letzgus, Simon (Technical University of Berlin); Zhang, Xujie (Zhejiang Sci-Tech University); Hammer, Florian (Eastern Switzerland University of Applied Sciences)","","2022","In the next decade, further digitalisation of the entire wind energy project lifecycle is expected to be a major driver for reducing project costs and risks. In this paper, a literature review on the challenges related to implementation of digitalisation in the wind energy industry is first carried out, showing that there is a strong need for new solutions that enable co-innovation within and between organisations. Therefore, a new collaboration method based on a digital ecosystem is developed and demonstrated. The method is centred around specific “challenges”, which are defined by “challenge providers” within a topical “space” and made available to participants via a digital platform. The data required in order to solve a particular “challenge” are provided by the “challenge providers” under the confidentiality conditions they specify. The method is demonstrated via a case study, the EDP Wind Turbine Fault Detection Challenge. Six submitted solutions using diverse approaches are evaluated. Two of the solutions perform significantly better than EDP’s existing solution in terms of Total Prediction Costs (saving up to €120,000). The digital ecosystem is found to be a promising solution for enabling co-innovation in wind energy in general, providing a number of tangible benefits for both challenge and solution providers.","co-innovation; collaboration; digitalisation; fault detection; machine learning; wind energy","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:679aca4d-8276-4480-ace5-4a1736cbd8fd","http://resolver.tudelft.nl/uuid:679aca4d-8276-4480-ace5-4a1736cbd8fd","Artificial intelligence powered large-scale renewable integrations in multi-energy systems for carbon neutrality transition: Challenges and future perspectives","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Sun, Ying (Concordia University); Xing, Chaojie (Hunan University); Liu, Jia (Guangzhou University; The Hong Kong Polytechnic University); He, Yingdong (Hunan University); Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Zhang, Guoqiang (Hunan University)","","2022","The vigorous expansion of renewable energy as a substitute for fossil energy is the predominant route of action to achieve worldwide carbon neutrality. However, clean energy supplies in multi-energy building districts are still at the preliminary stages for energy paradigm transitions. In particular, technologies and methodologies for large-scale renewable energy integrations are still not sufficiently sophisticated, in terms of intelligent control management. Artificial intelligent (AI) techniques powered renewable energy systems can learn from bio-inspired lessons and provide power systems with intelligence. However, there are few in-depth dissections and deliberations on the roles of AI techniques for large-scale integrations of renewable energy and decarbonisation in multi-energy systems. This study summarizes the commonly used AI-related approaches and discusses their functional advantages when being applied in various renewable energy sectors, as well as their functional contribution to optimizing the operational control modalities of renewable energy and improving the overall operational effectiveness. This study also presents practical applications of various AI techniques in large-scale renewable energy integration systems, and analyzes their effectiveness through theoretical explanations and diverse case studies. In addition, this study introduces limitations and challenges associated with the large-scale renewable energy integrations for carbon neutrality transition using relevant AI techniques, and proposes further promising research perspectives and recommendations. This comprehensive review ignites advanced AI techniques for large-scale renewable integrations and provides valuable informational instructions and guidelines to different stakeholders (e.g., engineers, designers and scientists) for carbon neutrality transition.","Artificial intelligent techniques; Carbon neutrality; Energy transition; Large-scale integration; Renewable energy","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:ccdf1b3d-2aff-4acf-8074-2b80084b8efe","http://resolver.tudelft.nl/uuid:ccdf1b3d-2aff-4acf-8074-2b80084b8efe","An object-oriented geometric engine design for discontinuities in unfitted/immersed/enriched finite element methods","Zhang, J. (TU Delft Computational Design and Mechanics); Zhebel, E.V. (TU Delft Computational Design and Mechanics; EZNumeric); van den Boom, S.J. (TU Delft Computational Design and Mechanics; TNO); Liu, D. (TU Delft Applied Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2022","In this work, an object-oriented geometric engine is proposed to solve problems with discontinuities, for instance, material interfaces and cracks, by means of unfitted, immersed, or enriched finite element methods (FEMs). Both explicit and implicit representations, such as geometric entities and level sets, are introduced to describe configurations of discontinuities. The geometric engine is designed in an object-oriented way and consists of several modules. For efficiency, a (Formula presented.) -d tree data structure that partitions the background mesh is constructed for detecting cut elements whose neighbors are found by means of a dual graph structure. Moreover, the implementation for creating enriched nodes, integration elements, and physical groups is described in detail, and the corresponding pseudo-code is also provided. The complexity and efficiency of the geometric engine are investigated by solving 2-D and 3-D discontinuous models. The capability of the geometric engine is demonstrated on several numerical examples. Topology optimization and problems with intersecting discontinuities are handled with enriched FEMs, where enriched discretizations obtained from the geometric engine are used for the analysis. Furthermore, polycrystalline structures that overlap with an unfitted mesh are considered, where integration elements are created so they align with grain boundaries. Another example shows that the Stanford bunny, which is discretized by a surface mesh with triangular elements, can be fully immersed into a 3-D background mesh. Finally, we share a list of main findings and conclude that the proposed geometric engine is general, robust, and efficient.","discontinuities; enriched finite element methods; geometric engine; level set; mesh generator","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:83312bef-f1fc-4660-990e-c8632742ee2a","http://resolver.tudelft.nl/uuid:83312bef-f1fc-4660-990e-c8632742ee2a","Examining industrial air pollution embodied in trade: implications of a hypothetical China-UK FTA","Zhang, Yuquan W. (Shanghai Jiao Tong University); Geng, Yong (Shanghai Jiao Tong University; Tongji University); Zhang, Bin (Ministry of Ecology and Environment); Yang, Shaohua (Shanghai Jiao Tong University); Izikowitz, David V. (Shanghai Jiao Tong University); Yin, Haitao; Wu, F. (TU Delft Energie and Industrie; ETH Zürich); Yu, Haishan (Shanghai Jiao Tong University); Liu, Huiwen (Shanghai Jiao Tong University); Zhou, Weiduo (Shanghai Academy of Social Sciences)","","2022","Very few developed economies have a full free trade agreement (FTA) with China. This study employs one GTAP model and builds an extended environmental multi-region input–output model to investigate a hypothetical China-UK FTA, concerning embodied industrial emissions of SO2, PM2.5, NOX, and NH3. The economic sectors are also classified based on their embodied pollution intensity and trade advantage index under various FTA scenarios. Results show that the UK’s GDP and welfare and China’s welfare will increase, along with changes in their trade structures. Overall, this FTA brings about larger net impacts on embodied emissions of SO2 than on PM2.5, NOX and NH3, and both countries are net importers of the latter three pollutants. Key sectors such as non-metallic mineral products, chemical products, and agriculture are inclined to become less competitive and less polluting under the FTA. The inclusion of agri-food sectors exhibits slight counteracting effects in general. The findings are of policy importance as they provide insights into how best to target key sectors, seeking a balance between trade development and environmental protection.","Embodied pollution; Environmental MRIO; FTA; GTAP; Trade competitiveness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:29e59031-1dab-4957-9e15-7fb109594bc5","http://resolver.tudelft.nl/uuid:29e59031-1dab-4957-9e15-7fb109594bc5","Review of wave interaction with continuous flexible floating structures","Zhang, M. (TU Delft Ship Hydromechanics and Structures); Schreier, S. (TU Delft Ship Hydromechanics and Structures)","","2022","Thin continuous flexible floating structures have been shown to have technical and economic advantages for Offshore Floating Photovoltaic (OFPV) installations. In terms of large horizontal dimensions compared to the wave length, these structures are similar to sea ice as well as Very Large Floating Structures (VLFS), e.g. as proposed for floating airports. In this paper, we reviewed the hydroelastic theory for sea ice and VLFS and assessed its applicability to the newly envisaged flexible floating structures. While VLFS and sea ice motion in waves are dominated by elastic deformations, their motion amplitudes are limited to the order of the structure thickness. Thin and flexible floating structures were found to be able to follow the wave motion with amplitudes far exceeding their thickness. Nonlinear theories like Föppl–von Kármán plate theory are required to model these structures. The significant contribution of nonlinear effects in the structural response and the large deformations in waves far exceeding the structural thickness lead to the definition of the new category of Very Flexible Floating Structures (VFFS).","Characteristic length; Föppl–von Kármán plate theory; Hydroelastic methods; Ice-related structures; Very flexible floating structures; Very large floating structures","en","review","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:e595e0fb-a12b-453e-9e72-cd7f4693bd23","http://resolver.tudelft.nl/uuid:e595e0fb-a12b-453e-9e72-cd7f4693bd23","Role of the grain size on the hydration characteristics of slag in an aged field concrete","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Microlab; TU Delft Materials and Environment)","","2022","This paper studies chemical composition of partially and fully hydrated slag grains in a (nearly) 40-year-old field concrete from the Netherlands. The concrete samples were assumed to be sufficiently aged to contain fully hydrated slag grains as well as partially hydrated large slag particles with thick rims. Our analysis showed that three different elemental zoning could be identified depending on the original slag grain size. Upon full hydration of a small slag grain (i.e., <8 μm), two distinct regions were identified corresponding to a hydrotalcite-like phase in the outer rim and a C–S–H gel phase in the core, respectively. As for medium (8–17 μm) and large (>15 μm) slag grains, three distinct regions were clearly visible. Hydrotalcite-like phase was mainly observed in the outer rim and the core. C–S–H gel phase was found to be precipitated in the region between the outer rim and the core.","40-year-old slag cement concrete sample; Elemental composition; Hydration mechanism; Slag rim; Slag size","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:553f3377-f4bd-4b19-a0ee-974d9947f62b","http://resolver.tudelft.nl/uuid:553f3377-f4bd-4b19-a0ee-974d9947f62b","SparCAssist: A Model Risk Assessment Assistant Based on Sparse Generated Counterfactuals","Zhang, Zijian (L3S Research Center); Setty, Vinay (University of Stavanger; L3S Research Center); Anand, A. (TU Delft Web Information Systems; L3S Research Center)","","2022","We introduce SparCAssist, a general-purpose risk assessment tool for the machine learning models trained for language tasks. It evaluates models' risk by inspecting their behavior on counterfactuals, namely out-of-distribution instances generated based on the given data instance. The counterfactuals are generated by replacing tokens in rational subsequences identified by ExPred, while the replacements are retrieved using HotFlip or the Masked-Language-Model-based algorithms. The main purpose of our system is to help the human annotators to assess the model's risk on deployment. The counterfactual instances generated during the assessment are the by-product and can be used to train more robust NLP models in the future.","counterfactual interpretation; data-annotation tools; human-in-the-loop machine learning; interpretable machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:3145fdfe-e356-476e-8120-52871e4a8925","http://resolver.tudelft.nl/uuid:3145fdfe-e356-476e-8120-52871e4a8925","Effect of Co and Ni doping on the structure, magnetic and magnetocaloric properties of Fe-rich (Mn,Fe)2(P,Si) compounds","Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep); Kwakernaak, C. (TU Delft Team Maria Santofimia Navarro); Pieter, L. (Student TU Delft); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","The effect of Co and Ni doping on the structure, magnetic and magnetocaloric properties of Fe-rich (Mn,Fe)2(P,Si) compounds was studied. With increasing Co and Ni content, both the Curie temperature (Tc) and the thermal hysteresis (ΔThys) decreased, whereas the hexagonal P-62 m crystal structure was maintained. A pronounced reduction in hysteresis was observed upon Co doping, while a significant reduction in Curie temperature was found upon Ni doping. Mössbauer spectroscopy measurements and DFT calculations indicated the substitution of Fe at the 3f site for both Co and Ni doping. Rietveld refinement of the X-ray diffraction data showed that Co substitute atoms in the main phase and the impurity phase, while Ni exhibits an affinity to the main phase. Magnetization measurements on the Co doped samples revealed an increase in magnetization for 2 at.% of Co, followed by a decrease for higher concentrations. DFT calculations showed that the magnetic moment on the 3f site is enhanced by Co substitution, whereas an opposite trend was observed for Ni substitution.","(Mn,Fe)(P,Si); Magnetic properties; Magnetocaloric materials; Mössbauer spectroscopy","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:5d055e62-5c7b-4b42-970b-ac878fb12706","http://resolver.tudelft.nl/uuid:5d055e62-5c7b-4b42-970b-ac878fb12706","Resilience assessment of asphalt pavement rutting under climate change","Zhang, Chao (Harbin Institute of Technology); Tan, Yiqiu (Harbin Institute of Technology); Gao, Y. (TU Delft Pavement Engineering); Fu, Yongkang (Poly Changda Overseas Engineering Co.); Li, Jilu (Harbin Institute of Technology); Li, Shuai (Harbin Institute of Technology); Zhou, Xingye (Ministry of Transport of the People's Republic of China)","","2022","The service performances of asphalt pavement, especially rutting, will be inevitably affected by climate change. However, existing studies have generally focused on the rutting depth and rutting life, and thus became insufficient for comprehensively evaluating the influence of climate change on rutting over the service life. A resilience assessment method for asphalt pavement rutting is developed to solve the above problem. First, the original resilience method is extended to fit the system whose performance level continues to decline. Then, the calculation formulas of rutting resilience are derived by combining the rutting prediction model and the level assessment model. Subsequently, the influence degrees of climate change in representative cities on rutting resilience are studied. The results suggest that neglecting climate change in rutting design of asphalt pavement will lead to insufficient resilience, especially in northern China. Furthermore, the predicted temperature under RCP8.5 should be employed for asphalt pavement design.","Asphalt pavement; Climate change; Recovery stage; Resilience; Resistance stage; Rutting","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:79c2f531-bbca-4112-ba05-2fe616fd1f02","http://resolver.tudelft.nl/uuid:79c2f531-bbca-4112-ba05-2fe616fd1f02","The effect of slag chemistry on CO2 binding capacity of C3S-slag (-gypsum) system","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","In this paper, the authors investigated the correlation between slag chemistry and CO2 binding capacity of the blended system. To simplify the composition of mixture, model paste containing C3S, slag covering the common composition range and gypsum was employed. After accelerated carbonation test, three CO2-binding phases were identified in the system as: carbonated Ca-Al AFm phases, carbonated hydrotalcite-like phase, and calcium carbonate, irrespective of slag chemistry and the addition of gypsum. On the other hand, carbonated Ca-Al AFm phases played a minor role in absorbing CO2, sharing less than 5% of CO2 among all carbonate phases. Hydrotalcite-like phase was able to bind up to ∼10% CO2, depending on the Mg/Al atomic ratio of raw slag. CaCO3, originated from the carbonation of portlandite and C−S−H gel phase, took up more than 85% CO2 after carbonation. Moreover, the carbonation degree of C−S−H gel phase was found to be negatively related with the Al2O3 content and Ca/Si ratio of raw slag.","CS-slag (-gypsum) system; CO binding capacity; Slag chemistry; TG-DTG-MS","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:e7d08124-18f9-4f12-a944-d560094ab6cf","http://resolver.tudelft.nl/uuid:e7d08124-18f9-4f12-a944-d560094ab6cf","Putting precision and elegance in enzyme immobilisation with bio-orthogonal chemistry","Pei, Xiaolin (Hangzhou Normal University); Luo, Zhiyuan (Hangzhou Normal University); Qiao, Li (Hangzhou Normal University); Xiao, Qinjie (Hangzhou Normal University); Zhang, Pengfei (Hangzhou Normal University); Wang, Anming (Hangzhou Normal University); Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2022","The covalent immobilisation of enzymes generally involves the use of highly reactive crosslinkers, such as glutaraldehyde, to couple enzyme molecules to each other or to carriers through, for example, the free amino groups of lysine residues, on the enzyme surface. Unfortunately, such methods suffer from a lack of precision. Random formation of covalent linkages with reactive functional groups in the enzyme leads to disruption of the three dimensional structure and accompanying activity losses. This review focuses on recent advances in the use of bio-orthogonal chemistry in conjunction with rec-DNA to affect highly precise immobilisation of enzymes. In this way, cost-effective combination of production, purification and immobilisation of an enzyme is achieved, in a single unit operation with a high degree of precision. Various bio-orthogonal techniques for putting this precision and elegance into enzyme immobilisation are elaborated. These include, for example, fusing (grafting) peptide or protein tags to the target enzyme that enable its immobilisation in cell lysate or incorporating non-standard amino acids that enable the application of bio-orthogonal chemistry.","","en","review","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:eb980480-c76c-4df7-a7e8-fbf3a1e73e71","http://resolver.tudelft.nl/uuid:eb980480-c76c-4df7-a7e8-fbf3a1e73e71","Fast-responsive capsule based on two soluble components for self-healing concrete","Gao, Jian (Southeast University); Jin, Peng (Southeast University); Zhang, Yuze (Southeast University); Dong, H. (TU Delft Materials and Environment); Wang, Ruixing (Southeast University)","","2022","Due to low activity or long mineralization time, traditional mineral agents for self-healing concrete generally need a long time to achieve a desired repair efficiency. Inspired by epoxy resin AB glue which can consolidate in a short time when mixing the two components together, a novel type of fast-responsive capsules based on two soluble components was designed for self-healing concrete. Component A (sodium carbonate) and component B (calcium acetate) were encapsulated in two different groups of capsules, respectively, coated with three layers consisting of epoxy resin and fine sands to achieve superior waterproof and alkali resistance properties. After rupture of the capsules, the rapid response with respect to core material dissolution and precipitation can be realized in presence of water, by which the cracks below 200 μm can be healed in 3 days. Super absorbent resin (SAP) embedded in the capsules could expand in contact with water, and further improve the self-healing efficiency of the capsules by blocking the crack.","Composite-wall structure; Double-component; Fast-responsive capsule; Self-healing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:7bb31369-95ec-4e31-a5f2-41b1ee3b32af","http://resolver.tudelft.nl/uuid:7bb31369-95ec-4e31-a5f2-41b1ee3b32af","Early age hydration of model slag cement: Interaction among C3S, gypsum and slag with different Al2O3 contents","Zhang, Y. (TU Delft Materials and Environment); Wan, Z. (TU Delft Materials and Environment); Miranda de Lima Junior, L.C. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","A deeper insight into SO3/Al2O3 ratio including the contribution of alumina in slag at early age is required to ensure a properly sulfated slag cement. In this paper, to investigate the effect of gypsum and alumina of slag, emphasis was laid on the hydration characteristics of C3S-gypsum-slag system during the early age, of which slag was synthesized in the laboratory with varying Al2O3 contents from 3.69 to 18.19 wt%. The duration of dormant period during the hydration of C3S depended on Al2O3 content of slag significantly; however, the amount of silicate reaction before the onset of aluminate reaction was independent of slag chemistry and gypsum content added. The rate of aluminate reaction was controlled by the availability of reactants, SO42− and Al3+ ions in particular, which were sourced from gypsum and slag, respectively. Calcium monosulfoaluminate only occurred in mixture when slag contained a high amount of Al2O3 (18.19 wt% in this study) at early age, and its formation proceeded continuously at the expense of ettringite. Sulfur rich species incorporated in slag started to participate into aluminate reaction after the main hydration peak of C3S, and it played a similar role to gypsum.","AlO; CS-gypsum-slag system; Calorimetry measurement; Ettringite and calcium monosulfoaluminate; Sulfur rich species in slag; Thermodynamic modelling","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:35ca42db-5298-4141-b093-19a0877608c1","http://resolver.tudelft.nl/uuid:35ca42db-5298-4141-b093-19a0877608c1","Operational risk allocation in urban rail transit public–private partnership projects","Xiang, Pengcheng (Chongqing University); Zhang, Qianman (Chongqing University); Jiang, Qiuyan (Chongqing University); Liu, Z. (TU Delft Integral Design & Management)","","2022","Operation risk (OR) has affected sustainable development of urban rail transit (URT) public–private partnership (PPP) projects in China. Balanced distribution of operational risks among stakeholders (the public, government, and private companies) involved in URT PPP projects can maximize overall profit. Quantitative analysis of operational risk allocation of urban rail transit PPP project is the key to achieve balance. Few existing studies have quantitatively analyzed operation risk allocation (ORA) in URT PPP project. The objective of this research is to construct a quantitative risk allocation model among three participants by using cooperative game theory. The risk allocation model was modified based on the consideration of four factors affecting the allocation of operational risks: controllability, risk loss, affordability, and handling cost. A case was used as an empirical example, and possible problems were illustrated. The result shows it is necessary and feasible to let the public bear part of the operational risk of the project by raising ticket prices. The results reveal that the public will play an important role in balancing risk allocation. This study shows that an ORA model can suggest how to make the risk allocation process more reasonable, fair, and stable. Meanwhile, the quantitative approach proposed can also be used by stakeholders in achieving fairness and stability of the partnership.","game theory; operation risk; public–private partnership; risk allocation; urban rail transit","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:05da91c0-2957-40eb-896c-e6b9b58e5cb3","http://resolver.tudelft.nl/uuid:05da91c0-2957-40eb-896c-e6b9b58e5cb3","Enhancing luminous flux and color rendering of laser-excited YAG:Ce3+ single crystal phosphor plate via surface roughening and low-temperature sintering a CaAlSiN3:Eu2+ phosphor-in-borate glass","Wei Chen, Chen (Fudan University; Changzhou Institute of Technology Research for Solid State Lighting); Cao, Dunhua (Nanjing Crylink Photonics Co, Nanjing); Dong, Yongjun (Nanjing Crylink Photonics Co, Nanjing); Xiong, Jingkang (Changzhou Institute of Technology Research for Solid State Lighting); Trofimov, Yuri (Center of LED and Optoelectronic Technologies of NAS Belarus, Minsk); Lishik, Sergey (Center of LED and Optoelectronic Technologies of NAS Belarus, Minsk); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changchun Institute of Optics Fine Mechanics and Physics Chinese Academy of Sciences; Changzhou Institute of Technology Research for Solid State Lighting)","","2022","Y3Al5O12:Ce3+ (YAG:Ce3+) single crystal phosphor (SCP) exhibits high internal quantum efficiency (IQE) and excellent thermal conductivity and stability. Such properties are promising in high-power laser-excited white lighting applications. However, YAG:Ce3+ SCP usually shows low luminous flux and color rendering index (CRI, Ra) when excited by a transmissive laser. In this study, a self-designed three-integrating sphere system was established to characerize the optical performances of YAG:Ce3+ SCP palates under both the reflective and transmissive 455 nm blue laser excitations. Next, its luminous and color rendering properties under transmissive laser excitation were improved by surface roughening treatment and sintering of a CaAlSiN3:Eu2+ (CASN:Eu2+) phosphor-in-borate glass (PiBG) layer. The results revealed that: (1) When the YAG:Ce3+ SCP was excited by blue laser, its forward luminous flux was considerably lower than the backward one; (2) Under transmissive excitation, its luminous flux rolled up with the maximum increase rate of 109.19% when the averaged roughness (Ra) increased from 0.35 to 5.40 μm; (3) After sintering the CASN:Eu2+ PiBG layer on the roughening YAG:Ce3+ SCP, its luminous flux, CRI increased by 31.48% and 117.14%, and its color fidelity index (CFI, Rf) reached up to 82 under the transmissive 3.03 W blue laser excitation.","High color rendering; Phosphor-in-borate glass; Surface roughening; White laser lighting; YAG single Crystal","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:c85f2176-78f3-4700-9558-2c6e26d3dd0f","http://resolver.tudelft.nl/uuid:c85f2176-78f3-4700-9558-2c6e26d3dd0f","Directed evolution of phosphite dehydrogenase to cycle noncanonical redox cofactors via universal growth selection platform","Zhang, Linyue (University of California); King, Edward (University of California); Black, William B. (University of California); Heckmann, C.M. (TU Delft BT/Biocatalysis); Wolder, A.E. (TU Delft BT/Biocatalysis); Cui, Youtian (University of California); Nicklen, Francis (University of California); Siegel, Justin B. (University of California); Paul, C.E. (TU Delft BT/Biocatalysis)","","2022","Noncanonical redox cofactors are attractive low-cost alternatives to nicotinamide adenine dinucleotide (phosphate) (NAD(P)+) in biotransformation. However, engineering enzymes to utilize them is challenging. Here, we present a high-throughput directed evolution platform which couples cell growth to the in vivo cycling of a noncanonical cofactor, nicotinamide mononucleotide (NMN+). We achieve this by engineering the life-essential glutathione reductase in Escherichia coli to exclusively rely on the reduced NMN+ (NMNH). Using this system, we develop a phosphite dehydrogenase (PTDH) to cycle NMN+ with ~147-fold improved catalytic efficiency, which translates to an industrially viable total turnover number of ~45,000 in cell-free biotransformation without requiring high cofactor concentrations. Moreover, the PTDH variants also exhibit improved activity with another structurally deviant noncanonical cofactor, 1-benzylnicotinamide (BNA+), showcasing their broad applications. Structural modeling prediction reveals a general design principle where the mutations and the smaller, noncanonical cofactors together mimic the steric interactions of the larger, natural cofactors NAD(P)+.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:ed44bb3d-3d7a-4c42-8c7e-ab27fc8dd0c1","http://resolver.tudelft.nl/uuid:ed44bb3d-3d7a-4c42-8c7e-ab27fc8dd0c1","A route network planning method for urban air delivery","He, Xinyu (City University of Hong Kong); He, Fang (City University of Hong Kong; Shanghai Jiao Tong University); Li, L. (TU Delft Air Transport & Operations; City University of Hong Kong); Zhang, Lei (Antwork Technology); Xiao, Gang (Shanghai Jiao Tong University)","","2022","High-tech giants and start-ups are investing in drone technologies to provide urban air delivery service, which is expected to solve the last-mile problem and mitigate road traffic congestion. However, air delivery service will not scale up without proper traffic management for drones in dense urban environment. Currently, a range of Concepts of Operations (ConOps) for unmanned aircraft system traffic management (UTM) are being proposed and evaluated by researchers, operators, and regulators. Among these, the tube-based (or corridor-based) ConOps has emerged in operations in some regions of the world for drone deliveries and is expected to continue serving certain scenarios that with dense and complex airspace and requires centralized control in the future. Towards the tube-based ConOps, we develop a route network planning method to design routes (tubes) in a complex urban environment in this paper. In this method, we propose a priority structure to decouple the network planning problem, which is NP-hard, into single-path planning problems. We also introduce a novel space cost function to enable the design of dense and aligned routes in a network. The proposed method is tested on various scenarios and compared with other state-of-the-art methods. Results show that our method can generate near-optimal route networks with significant computational time-savings.","Multi-path planning; Unmanned aircraft system traffic management; Urban air delivery; Urban air mobility","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:d627a53b-df36-477a-9bb6-82088166ae48","http://resolver.tudelft.nl/uuid:d627a53b-df36-477a-9bb6-82088166ae48","Thermo-Economic Assessment on Insulation Conditions of the Buried Heating Pipeline for District Heating","Zhang, Lianying (Xi’an Jiaotong University); Zhang, Qingzeng (Xi’an Jiaotong University); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy)","","2022","Insulation performance and buried depth of heating pipelines are the vital factors affecting the energy loss of directly buried heating pipelines. This study considers the thermo-economic assessment of insulation of directly buried heating pipelines for district heating. The optimal insulation thickness, energy-saving effect, and payback period of district heating pipelines with five nominal pipe diameters, four fuel types, four kinds of insulation materials, and four buried depths are calculated. A numerical code is developed based on Life Cycle Cost Analysis and is validated via comparing with results in the open literature. Three representative cities of Xi’an, Shenyang, and Harbin subjected to three different climatic zones in China are explored. The highest values of optimum insulation thickness are 176, 153, and 121 mm in Harbin, Shenyang, and Xi’an, respectively, which are reached using oil as fuel, rock wool as insulation material, nominal pipe diameter 500 mm, and buried depth 1 m. A sensitivity analysis is performed to indicate how much the optimum insulation thickness and payback period are sensitive to the changes of insulation, fuel, and buried depth. The results show that insulation and fuel have a greater influence on the optimum insulation and payback period than the buried depth.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-16","","Process and Energy","","","",""
"uuid:faee36bd-a712-4bb8-a70e-c2ba0d237632","http://resolver.tudelft.nl/uuid:faee36bd-a712-4bb8-a70e-c2ba0d237632","Composition regulation of composite materials in laser powder bed fusion additive manufacturing","Yao, Dengzhi (Northeastern University); Wang, Ju (Northeastern University); Cai, Yao (Northeastern University); Zhao, Tingting (Dalian University of Technology); An, Xizhong (Northeastern University); Zhang, Hao (Northeastern University); Fu, Haitao (Northeastern University); Yang, Xiaohong (Northeastern University); Zou, Qingchuan (Northeastern University); Wang, L. (TU Delft Resources & Recycling)","","2022","Understanding and controlling the composition segregation during powder spreading is of key importance in the additive manufacturing (AM) of composite materials. Under this circumstance, the segregation behavior of WC/316 L composite powders during spreading in laser powder bed fusion (LPBF) AM was numerically investigated by the discrete element method. The effects of process conditions (i.e., spreader velocity and geometry) and powder properties (i.e., size and shape of the WC powder) on the powder bed composition segregation and related characteristics were systematically analyzed. Corresponding mechanisms were identified from microscopic scale in terms of particle velocity, motion trajectory, mechanical behavior, and energy information. Finally, proper solutions in designing and constructing WC/316 L composite materials with desired gradient structures were proposed. The results show that the small blade velocity (V) will enhance the negative segregation, increase the average packing density ρ¯, and decrease uniformity ρvc in the WC/316 L composite powder bed. Compared with the blade, the roller can increase the negative segregation (Seroller = −0.027 < Seblade = −0.019) and the average packing density (ρ¯roller = 0.31>ρ¯blade = 0.20). When the WC/316 L size ratio increases from 25 μm/45 μm to 45 μm/45 μm, the negative segregation becomes weaker, and its value increases from −0.084 to −0.007. When the size ratio increases to 65 μm/45 μm, the powder behaves positive segregation with Semax = 0.017; in this case, the packing density is the lowest (0.14), and the uniformity is the worst (0.17). In comparison with spherical shape, polyhedral WC powder can reduce the negative segregation of the powder bed (Sesphere = −0.019 < Sepolyhedron = −0.008), while the WC shape has less effect on the packing density and uniformity. The density difference of the WC and 316 L powders leads to the difference in energy and force, resulting in different motion and segregation behaviors in the composite powder bed. For WC/316 L composite powder with a fixed composition, the condition of V = 0.025 m/s, WC/316 L size ratio = 25 μm/45 μm, roller spreader, and spherical WC can realize the proper composition gradient along the spreading direction in the composite powder bed.","LPBF additive manufacturing; Numerical simulation; Powder spreading; Segregation; WC/316L composite material","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Resources & Recycling","","",""
"uuid:fe811e21-c738-4ab3-a7cd-2b55bde2aaeb","http://resolver.tudelft.nl/uuid:fe811e21-c738-4ab3-a7cd-2b55bde2aaeb","Flexural strength and fatigue properties of interfacial transition zone at the microscale","Gan, Y. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Liang, M. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2022","In this study, the flexural strength and fatigue properties of interfacial transition zone (ITZ) were experimentally investigated at the micrometre length scale. The hardened cement paste cantilevers (150 × 150 × 750 μm3) attached to a quartzite aggregate surface were prepared and tested under the monotonic and cyclic load using a nanoindenter. The measured flexural strength of the ITZ (10.49–14.15 MPa) is found to be one order of magnitude higher than the macroscopic strength of ITZ reported in literature. On the other hand, the fatigue strength of the ITZ is lower than that of bulk cement paste at same length scale, measured previously by the authors. The microscopic mechanical interlocking and the electrostatic interaction between aggregate surface and hydration products are thought to contribute to the bond strength of ITZ. This study provides an experimental basis for the development of multiscale analysis of concrete subjected to both static and fatigue loading.","Fatigue; Flexural strength; Interfacial transition zone; Microscale testing","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:2138444b-17b3-4c12-a581-12be8b3d4397","http://resolver.tudelft.nl/uuid:2138444b-17b3-4c12-a581-12be8b3d4397","An experimental study on the effects of friction modifiers on wheel–rail dynamic interactions with various angles of attack","Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Moraal, J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2022","By modifying friction to the desired level, the application of friction modifiers (FMs) has been considered as a promising emerging tool in the railway engineering for increasing braking/traction force in poor adhesion conditions and mitigating wheel/rail interface deterioration, energy consumption, vibration and noise. Understanding the effectiveness of FMs in wheel–rail dynamic interactions is crucial to their proper applications in practice, which has, however, not been well explained. This study experimentally investigates the effects of two types of top-of-rail FM, i.e. FM-A and FM-B, and their application dosages on wheel–rail dynamic interactions with a range of angles of attack (AoAs) using an innovative well-controlled V-track test rig. The tested FMs have been used to provide intermediate friction for wear and noise reduction. The effectiveness of the FMs is assessed in terms of the wheel–rail adhesion characteristics and friction rolling induced axle box acceleration (ABA). This study provides the following new insights into the study of FM: the applications of the tested FMs can both reduce the wheel–rail adhesion level and change the negative friction characteristic to positive; stick–slip can be generated in the V-Track and eliminated by FM-A but intensified by FM-B, depending on the dosage of the FMs applied; the negative friction characteristic is not a must for stick–slip; the increase in ABA with AoA is insignificant until stick–slip occurs and the ABA can thus be influenced by the applications of FM.","Adhesion; Angle of attack; Axle box acceleration; Friction modifier; V-track test rig; Wheel–rail dynamic interaction","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e6c67afb-52fa-4875-9a45-3bda5563e462","http://resolver.tudelft.nl/uuid:e6c67afb-52fa-4875-9a45-3bda5563e462","A review on recovery of extracellular biopolymers from flocculent and granular activated sludges: Cognition, key influencing factors, applications, and challenges","Chen, Xingyu (University of Tsukuba); Lee, Yu Jen (National Taiwan University); Yuan, Tian (University of Tsukuba); Lei, Zhongfang (University of Tsukuba); Adachi, Yasuhisa (University of Tsukuba); Zhang, Zhenya (University of Tsukuba); Lin, Y. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2022","A reasonable recovery of excess sludge may shift the waste into wealth. Recently an increasing attention has been paid to the recycling of extracellular biopolymers from conventional and advanced biological wastewater treatment systems such as flocculent activated sludge (AS), bacterial aerobic granular sludge (AGS), and algal-bacterial AGS processes. This review provides the first overview of current research developments and future directions in the recovery and utilization of high value-added biopolymers from the three types of sludge. It details the discussion on the recent evolvement of cognition or updated knowledge on functional extracellular biopolymers, as well as a comprehensive summary of the operating conditions and wastewater parameters influencing the yield, quality, and functionality of alginate-like exopolymer (ALE). In addition, recent attempts for potential practical applications of extracellular biopolymers are discussed, suggesting research priorities for overcoming identification challenges and future prospects.","Algal-bacterial aerobic granular sludge; Alginate-like exopolymer; Bacterial aerobic granular sludge; Extracellular biopolymer; Flocculent activated sludge","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Environmental Biotechnology","","",""
"uuid:4f3e3b25-ce23-47b3-86a9-5523be3daa1a","http://resolver.tudelft.nl/uuid:4f3e3b25-ce23-47b3-86a9-5523be3daa1a","Carbonation Behavior of Engineered Cementitious Composites under Coupled Sustained Flexural Load and Accelerated Carbonation","Zhang, Hongzhi (Shandong University); Shao, Yingxuan (Shandong University); Zhang, Ning (Shandong Hi-Speed Engineering Test CO); Tawfek, Abdullah M. (Shandong University; Sana'a University); Guan, Yanhua (Shandong University); Sun, Renjuan (Shandong University); Tian, Changjin (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2022","Engineered cementitious composites (ECCs) belong to a broad class of fibre-reinforced concrete. They incorporate synthetic polyvinyl alcohol (PVA) fibres, cement, fly ash and fine aggregates, and are designed to have a tensile strain capacity typically beyond 3%. This paper presents an investigation on the carbonation behaviour of engineered cementitious composites (ECCs) under coupled sustained flexural load and accelerated carbonation. The carbonation depth under a sustained stress level of 0, 0.075, 0.15, 0.3 and 0.6 relative to flexural strength was measured after 7, 14 and 28 days of accelerated carbonation. Thermogravimetric analysis, mercury intrusion porosimetry and microhardness measurements were carried out to show the coupled influence of sustained flexural load and accelerated carbonation on the changes of the mineral phases, porosity, pore size distribution and microhardness along the carbonation profile. A modified carbonation depth model that can be used to consider the coupled effect of flexural tensile stress and carbonation time was proposed. The results show that an exponential relationship can be observed between stress influence coefficient and flexural tensile stress level in the carbonation depth model of ECC, which is different when using plain concrete. Areas with a higher carbonation degree have greater microhardness, even under a large sustained load level, as the carbonation process refines the pore structure and the fibre bridges the crack effectively.","accelerated carbonation; engineered cementitious composites; microhardness; microstructure; sustained flexural load","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0ee8aacc-17f9-4192-b75b-7ddd38385a19","http://resolver.tudelft.nl/uuid:0ee8aacc-17f9-4192-b75b-7ddd38385a19","Manipulate energy transport via fluorinated spacers towards record-efficiency 2D Dion-Jacobson CsPbI3 solar cells","Lei, Yutian (Lanzhou University); Li, Zhen-Hua (Lanzhou University); Wang, H. (TU Delft Photovoltaic Materials and Devices); Wang, Qian (Lanzhou University); Peng, Guoqiang (Lanzhou University); Xu, Youkui (Lanzhou University); Zhang, Haihua (Tianjin University); Wang, Gang (Ningbo University); Ding, Liming (National Center for Nanoscience and Technology (NCNST)); Jin, Zhiwen (Lanzhou University)","","2022","Two-dimensional (2D) Dion-Jacobson (D-J)-type cesium lead iodide CsPbI 3 perform remarkably in terms of stability. However, the complex interactions between spacer and inorganic layers limit its excellent progress in perovskite solar cells (PSCs). Herein, starting from the considerable structural diversity of organic spacers, we engineer 2D CsPbI 3 with fine-tuning functionalities. Specifically, for the first time we embedded fluorinated aromatic cations in 2D D-J CsPbI 3, and successfully applied it into construction of high-performance PSCs. Compared with constitutive 1,4-diaminobenzene (PDA), the fluorinated 2-fluorobenzene-1,4-diamine (F-PDA) component greatly expands the dipole moment from 0.59D to 3.47D, which reduces the exciton binding energy of the system. A theoretical study shows that the spacer layer and inorganic plane are more enriched with charge accumulation in (F-PDA)Cs n– 1Pb nI 3 n+ 1. The results show that (F-PDA)Cs n– 1Pb nI 3 n+ 1 demonstrates more significant charge transfer between organic and inorganic layers than (PDA)Cs n– 1Pb nI 3 n+ 1, and it is confirmed in the femtosecond transient absorption experiment. Moreover, the interactions of the fluorinated spacer with the [PbI 6] 4 – plane effectively manipulate the crystallization quality, and thus the ion migration and defect formation of target 2D CsPbI 3 are inhibited. As a result, we obtained a record power conversion efficiency (PCE) beyond 15% for 2D D-J (F-PDA)Cs 3Pb 4I 13 (n = 4) PSCs with significantly improved environmental stability compared with the three-dimensional (3D) counterparts.","Dion-Jacobson CsPbI; Energy transport; Fluorinated spacers; Interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-05","","","Photovoltaic Materials and Devices","","",""
"uuid:062676fd-3d5c-4c88-816b-0358b7fce1b9","http://resolver.tudelft.nl/uuid:062676fd-3d5c-4c88-816b-0358b7fce1b9","Numerical simulation of elastic buckling in 3D concrete printing using the lattice model with geometric nonlinearity","Chang, Z. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Liang, M. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2022","This paper explores buildability quantification of randomly meshed 3D printed concrete objects by considering structural failure by elastic buckling. The newly proposed model considers the most relevant printing parameters, including time-dependent material behaviors, printing velocity, localized damage and influence of sequential printing process. The computational uniaxial compression tests were first conducted to calibrate age-dependent elastic modulus and yield stress. Subsequently, analyses of the 3D printing process of a free wall structure and a square layout were performed. The model can reproduce the asymmetry of buckling failure accurately and the predicted critical printing height is in excellent agreement with experimental data from the literature. It can be concluded the combined effect of material variability and non-uniform gravitational loading due to sequential printing process resulted in structural failure during 3D concrete printing. Using this model, printing parameters can be optimized and a suitable printing scheme can be devised to improve structure buildability.","3D concrete printing; Buildability quantification; Elastic buckling; Geometric nonlinearity","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8041b3aa-80b3-4a03-8bac-9bd4dbb71d1a","http://resolver.tudelft.nl/uuid:8041b3aa-80b3-4a03-8bac-9bd4dbb71d1a","Temperature-sensing performance of polymer-derived SiAlCN ceramics up to 1000 °C","Shao, Pengfei (Zhengzhou University); Ma, C. (TU Delft RST/Applied Radiation & Isotopes; Zhengzhou University); Han, Daoyang (Zhengzhou University); Liu, Kun (Zhengzhou University); Li, Mingliang (Zhengzhou University); Liang, Yi (Zhengzhou University); Yao, Meng (Zhengzhou University); Wang, Hailong (Zhengzhou University); Zhang, Rui (Zhengzhou University; Luoyang Institute of Science and Technology, Henan); Shao, Gang (Zhengzhou University)","","2022","Temperature sensors that can operate in high-temperature and harsh environments are highly desired. However, this is a great challenge for sensing materials to operate under extreme working conditions because of oxidation and/or corrosion at high temperature. In this study, polymer-derived SiAlCN ceramics were prepared as sensing materials to overcome the abovementioned issues. A SiAlCN ceramic temperature sensor was designed and fabricated, and it performed excellent temperature-sensing properties with high accuracy, high stability, and high repeatability up to 1000 °C. Compared with traditional thermocouples, the SiAlCN ceramic sensor exhibited a faster response rate (a shorter response time). These results showed that SiAlCN ceramic is a promising sensor material for temperature measurement in high-temperature and harsh environments.","Harsh environment; High-temperature sensor; Negative temperature coefficient; Polymer-derived SiAlCN ceramics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Applied Radiation & Isotopes","","",""
"uuid:4c93ce41-9bee-4636-b965-9c7405cb151e","http://resolver.tudelft.nl/uuid:4c93ce41-9bee-4636-b965-9c7405cb151e","Reduced Hysteresis and Enhanced Giant Magnetocaloric Effect in B-Doped all- d -Metal Ni - Co - Mn - Ti -Based Heusler Materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","The all-d-metal Ni-(Co)-Mn-Ti-based Heusler alloys are found to show a giant magnetocaloric effect near room temperature and are thereby potential materials for solid-state refrigeration. However, the relative large thermal hysteresis and the moderate ferromagnetic magnetization provides limitations for real applications. In the present study, we demonstrate that introducing interstitial B atoms within Ni36.5Co13.5Mn35Ti15 alloys can effectively decrease the thermal hysteresis ΔThys (down to 4.4 K), and simultaneously improve the saturation magnetization (maximum 40% enhancement) for low concentrations of B doping (up to 0.4 at. %). In comparison to the undoped reference material, the maximum magnetic entropy change (ΔSm) for the Ni36.5Co13.5Mn35Ti15B0.4 alloy shows a remarkable improvement from 9.7 to 24.3 J kg-1K-1 for an applied magnetic field change (Δμ0H) of 5 T (30.2 J kg-1K-1 for Δμ0H = 7 T). Additionally, due to the obtained low thermal hysteresis ΔThys, the maximum reversible ΔSmrev amounts to 18.9 J kg-1K-1 at 283 K for Δμ0H = 5 T (22.0 J kg-1K-1 at 281 K for Δμ0H = 7 T), which is competitive to the traditional Ni-Mn-X-based Heusler alloys (X = Ga, In, Sn, Sb). The enhancement of the magnetic moments by B doping is also observed in first-principles calculations. These calculations clarify the atomic occupancy of B and the changes in the electronic configuration. Our current study indicates that interstitial doping with a light element (boron) is an effective method to improve the magnetocaloric effect in these all-d-metal Ni-Co-Mn-Ti-based magnetic Heusler compounds.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:c650e123-84b2-4607-8403-e0c7c1cbfaea","http://resolver.tudelft.nl/uuid:c650e123-84b2-4607-8403-e0c7c1cbfaea","Lightweight and Accurate DNN-Based Anomaly Detection at Edge","Zhang, Qinglong (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Xin, Gaofeng (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2022","Deep neural networks (DNNs) have been showing significant success in various anomaly detection applications such as smart surveillance and industrial quality control. It is increasingly important to detect anomalies directly on edge devices, because of high responsiveness requirements and tight latency constraints. The accuracy of DNN-based solutions rely on large model capacity and thus long training and inference time, making them inapplicable on resource strenuous edge devices. It is hence imperative to scale DNN model sizes in correspondence to the run-time system requirements, i.e., meeting deadlines with minimal accuracy losses, which are highly dependent on the platforms and real-time system status. Existing scaling techniques either take long training time to pre-generate scaling options or disturb the unsteady training process of anomaly detection DNNs, lacking the adaptability to heterogeneous edge systems and incurring low inference accuracies. In this article, we present LightDNN to scale DNN models for anomaly detection applications at edge, featuring high detection accuracies with lightweight training and inference time. To this end, LightDNN quickly extracts and compresses blocks in a DNN, and provides large scaling space (e.g., 1 million options) by dynamically combining these compressed blocks online. At run-time, LightDNN predicts the DNN's inference latency according to the monitored system status, and optimizes the combination of blocks to maximize its accuracy under deadline constraints. We implement and extensively evaluate LightDNN on both CPU and GPU edge platforms using 8 popular anomaly detection workloads. Comparative experiments with state-of-the-art methods show that our approach provides 145.8 to 0.56 trillion times more scaling options without increasing training and inference overheads, thus achieving as much as 15.05% increase in accuracy under the same deadlines.","Anomaly detection; DNN; edge inference; model scaling; predictable latency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:7598bc23-968c-4184-bcac-9d84288e9f51","http://resolver.tudelft.nl/uuid:7598bc23-968c-4184-bcac-9d84288e9f51","Statistical mixture design for carbide residue activated blast furnace slag foamed lightweight concrete","Zhang, Hongzhi (Shandong University); He, Yanchen (Shandong University); Wang, Chuan (Shandong Hi-speed Group); Guan, Yanhua (Shandong University); Ge, Zhi (Shandong University); Sun, Renjuan (Shandong University); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2022","Carbide residue activated blast furnace slag is a relatively new kind of eco-friendly construction materials. This work addresses the design of foamed lightweight concrete as road embankment material using such material. A statistical mixture design approach was adopted to assess the influence of each ingredient as well as the interaction between these on the spreadability and compressive strength and thus allowing mixture design. The fitted models were validated using analysis of variance, residual analysis and confirmed by the experiments. Afterwards, the proposed models were used to optimize the mixture. The mixture with the highest compressive strength and the maximum content of carbide residue that allows the mixture to meet the required properties were obtained, respectively.","Blast furnace slag; Carbide residue; Foamed lightweight concrete; Statistical mixture design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:78cd8005-67dc-4545-8d05-8198efda9700","http://resolver.tudelft.nl/uuid:78cd8005-67dc-4545-8d05-8198efda9700","Controllable Shrinking Fabrication of Solid-State Nanopores","Lei, Xin (Beihang University; Tsinghua University); Zhang, Jiayan (Beihang University); Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Yuan, Zhishan (Guangdong University of Technology); Liu, Zewen (Tsinghua University)","","2022","Nanopores have attracted widespread attention in DNA sequencing and protein or biomarker detection, owning to the single-molecule-scale detection accuracy. Despite the most use of naturally biological nanopores before, solid-state nanopores are widely developed with strong robustness, controllable sizes and geometries, a wide range of materials available, as well as flexible manufacturing. Therefore, various techniques typically based on focused ion beam or electron beam have been explored to drill nanopores directly on free-standing nanofilms. To further reduce and sculpt the pore size and shape for nano or sub-nano space-time sensing precision, various controllable shrinking technologies have been employed. Correspondingly, high-energy-beam-induced contrac-tion with direct visual feedback represents the most widely used. The ability to change the pore diameter was attributed to surface tension induced original material migration into the nanopore center or new material deposition on the nanopore surface. This paper reviews typical solid-state nanopore shrinkage technologies, based on the careful summary of their principles and characteristics in particularly size and morphology changes. Furthermore, the advantages and disadvantages of different methods have also been compared completely. Finally, this review concludes with an optimistic outlook on the future of solid-state nanopores.","high energy beam; shrinking fabrication; size and shape control; solid-state nanopores","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:007c7e1c-561c-4d67-8c35-03c0c29653c5","http://resolver.tudelft.nl/uuid:007c7e1c-561c-4d67-8c35-03c0c29653c5","Estimating loss of life caused by dam breaches based on the simulation of floods routing and evacuation potential of population at risk","Ge, Wei (Zhengzhou University); Jiao, Yutie (Zhengzhou University); Wu, Meimei (Henan University of Technology, Zhengzhou); Li, Zongkun (Zhengzhou University); Wang, Te (Zhengzhou University); Li, Wei (Zhengzhou Railway Vocational and Technical College); Zhang, Yadong (Zhengzhou University); Gao, Weixing (Zhengzhou University); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2022","Dam breaches often have catastrophic consequences in downstream areas. Hydrodynamic factors and the evacuation potential of the population at risk (PAR) have significant impacts on the loss of life (LOL) caused by dam breaches. However, the existing comprehensive evaluation models have not conducted in-depth research on the evacuation potential of populations. Thus, limited guidance is available for relevant departments to formulate emergency plans to reduce the potential LOL. Therefore, a new comprehensive evaluation model was proposed in this study. According to the relevant references and disaster theory, the main influencing factors and the process through which the LOL is caused by dam breaches were determined. The specific occurrence process was divided into six stages: a dam breach causes flood, the flood puts the PAR, the PAR complete the preparation work, the PAR evacuate, the un-evacuated population shelter themselves inside buildings, and flood causes the death of the exposed population. To calculate the LOL, the parameters relevant at each stage were defined. Furthermore, the Hydrologic Engineering Center's River Analysis System, Geographic Information System, and related materials were used to simulate the flood routing and evacuation potential of the PAR, quantifying the parameters in the model. The model was applied to 14 towns in the downstream areas of the Luhun Reservoir in Henan Province, China, and its accuracy was verified by comparing the results obtained from the two existing models. In addition, the specific suggestions for reducing the potential LOL were proposed based on the results of the simulation.","Dam breach; Disaster-causing mechanism; Emergency plan; Evacuation potential; Loss of life","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:e939b815-6f5b-4f18-ba29-f8f5d7d7592d","http://resolver.tudelft.nl/uuid:e939b815-6f5b-4f18-ba29-f8f5d7d7592d","A Biocatalytic Platform for the Synthesis of Enantiopure Propargylic Alcohols and Amines","Sang, Xianke (Chinese Academy of Sciences); Tong, Feifei (Chinese Academy of Sciences); Zeng, Zhigang (Hubei University of Science and Technology, Hubei); Wu, Minghu (Hubei University of Science and Technology, Hubei); Yuan, Bo (Chinese Academy of Sciences); Sun, Zhoutong (Chinese Academy of Sciences); Sheng, Xiang (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis; Chinese Academy of Sciences)","","2022","Propargylic alcohols and amines are versatile building blocks in organic synthesis. We demonstrate a straightforward enzymatic cascade to synthesize enantiomerically pure propargylic alcohols and amines from readily available racemic starting materials. In the first step, the peroxygenase from Agrocybe aegerita converted the racemic propargylic alcohols into the corresponding ketones, which then were converted into the enantiomerically pure alcohols using the (R)-selective alcohol dehydrogenase from Lactobacillus kefir or the (S)-selective alcohol dehydrogenase from Thermoanaerobacter brokii. Moreover, an enzymatic Mitsunobu-type conversion of the racemic alcohols into enantiomerically enriched propargylic amines using (R)-selective amine transaminase from Aspergillus terreus or (S)-selective amine transaminase from Chromobacterium violaceum was established. The one-pot two-step cascade reaction yielded a broad range of enantioenriched alcohol and amine products in 70-99% yield.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:f0c8fded-cd8a-4a05-ad1d-709c2b5eb952","http://resolver.tudelft.nl/uuid:f0c8fded-cd8a-4a05-ad1d-709c2b5eb952","Radio-loud Quasars above Redshift 4: Very Long Baseline Interferometry (VLBI) Imaging of an Extended Sample","Krezinger, Máté (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences); Perger, Krisztina (Konkoly Observatory Hungarian Academy of Sciences); Gabányi, Krisztina Éva (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Paragi, Zsolt (Joint Institute for VLBI ERIC); An, Tao (Chinese Academy of Sciences); Zhang, Yingkang (Chinese Academy of Sciences); Cao, Hongmin (Shangqiu Normal University); Sbarrato, Tullia (Istituto Nazionale di Astrofisica-Osservatorio Astronomico di Brera)","","2022","High-redshift radio sources provide plentiful opportunities for studying the formation and evolution of early galaxies and supermassive black holes. However, the number of known radio-loud active galactic nuclei (AGN) above redshift 4 is rather limited. At high redshifts, it appears that blazars, with relativistically beamed jets pointing toward the observer, are in the majority compared to the radio-loud sources with jets misaligned with respect to the line of sight. To find more of these misaligned AGN, milliarcsecond-scale imaging studies carried out with very long baseline interferometry (VLBI) are needed, as they allow us to distinguish between compact-core-jet radio sources and those with more extended emission. Previous high-resolution VLBI studies revealed that some of the radio sources among blazar candidates in fact show unbeamed radio emission on milliarcsecond scales. The most accurate optical coordinates determined with the Gaia astrometric space mission are also useful in the classification process. Here, we report on dual-frequency imaging observations of 13 high-redshift (4 < z < 4.5) quasars at 1.7 and 5 GHz with the European VLBI Network. This sample increases the number of z > 4 radio sources for which VLBI observations are available by about a quarter. Using structural and physical properties, such as radio morphology, spectral index, variability, brightness temperature, as well as optical coordinates, we identified six blazars and six misaligned radio AGNs, with the remaining one tentatively identified as blazar.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:dc53d6f3-fee3-4f92-aa77-23d1ca3c99f3","http://resolver.tudelft.nl/uuid:dc53d6f3-fee3-4f92-aa77-23d1ca3c99f3","Micro-nano bubbles assisted laccase for biocatalytic degradation of bisphenols","Zhang, Jie (Chongqing Technology and Business University); Tan, Lirong (Chongqing Technology and Business University); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Wang, Ruiqi (Chongqing Technology and Business University); Wen, Li (Chongqing Technology and Business University); Wu, Siwei (Chongqing Technology and Business University); Tan, Xuemei (Chongqing Technology and Business University); Xu, Hui (Chongqing Technology and Business University); Zhou, Xing (Chongqing Academy of Chinese Materia Medica, Chongqing)","","2022","Bisphenols are important industrial materials for example for the production of plastics, but are also well known for their adverse health effects, in particular bisphenol A (BPA) is an endocrine disruptor. The widespread use of plastics has raised concerns. Therefore, the removal of bisphenols from wastewater has sparked the interest of the scientific community. This work introduces a novel hybrid technique of micro-nano bubbles assisted laccase (MNB-Lac) to degrade bisphenols in water. The feasibility of MNB-Lac using BPA as a model contaminant was evaluated by comparing with MNB, Lac, ultrasound (UL), UL-Lac, and UL-MNB-Lac. Comprehensive investigations were carried out to understand the specific influences of key process parameters including the initial pollutant concentration, temperature, air intake, pH, outlet pipe length, and Lac concentration on BPA degradation. The alkaline environment and extended length of outlet pipe could improve the degradation efficiency further. MNB-Lac exhibited 2.3–6.2 folds higher BPA degradation and less time than the other above process under the optimal parameters. The mechanism of MNB-Lac revealed that the generation of hydroxyl radical, high O2 solubility, and high mass transfer efficiency induced by MNB play important roles on enhancing the degradation catalyzed by Lac. MNB-Lac was successfully used for treating bisphenol B, bisphenol C, and the mixture of three bisphenols with high removal efficiency. Subsequently, these degradation products were analyzed by GC–MS. MNB-Lac potentially represents an innovative technology with considerable advantages in contaminant cleanup and time efficiency for treating phenolic contaminated water. Furthermore, the findings provide new insights into the enhancement of the performance of an oxidizing enzyme by introducing MNB technology.","Bisphenol; Degradation; Hydroxyl radical; Laccase; Micro-nano bubbles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Biocatalysis","","",""
"uuid:a945dc4c-07cc-41ee-a70a-ad65d05bd343","http://resolver.tudelft.nl/uuid:a945dc4c-07cc-41ee-a70a-ad65d05bd343","Barrier Lyapunov function-based fixed-time FTC for high-order nonlinear systems with predefined tracking accuracy","Wang, Xiaolin (Air Force Engineering University China); Xu, Jihui (Air Force Engineering University China); Lv, Maolong (Air Traffic Control and Navigation College; Air Force Engineering University China; College of Aeronautics Engineering); Zhang, Lei (Air Force Engineering University China); Zhao, Z. (TU Delft Data-Intensive Systems)","","2022","This article proposes a fixed-time adaptive fault-tolerant control methodology for a larger class of high-order (powers are positive odd integers) nonlinear systems subject to asymmetric time-varying state constraints and actuator faults. In contrast with the state-of-the-art control methodologies, the distinguishing features of this study lie in that: (a) high-order asymmetric time-varying tan-type barrier Lyapunov function (BLF) is devised such that the state variables can be convergent to the preassigned compact sets all the time provided their initial values remain therein, which not only preserves the constraints satisfaction, but warrants the validity of the adopted neural network approximator; (b) the proposed control design ensures the tracking errors converge to specified residual sets within fixed time and makes the size of the convergence regions of tracking errors adjustable a priori by means of a new BLF-based tuning function and a projection operator; (c) a variable-separable lemma is delicately embedded into the control design to extract the control terms in a “linear-like” fashion which not only overcomes the difficulty that virtual control signals appear in a non-affine manner, but also solves the problem of actuator faults. Comparative simulations results finally validate the effectiveness of the proposed scheme.","Fixed-time stability; High-order nonlinear systems; High-order tan-type BLF; Predefined tracking accuracy","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:596119fc-d8ff-4124-9e7f-2b257653fed2","http://resolver.tudelft.nl/uuid:596119fc-d8ff-4124-9e7f-2b257653fed2","Bond Wire Damage Detection Method on Discrete MOSFETs Based on Two-Port Network Measurement","Yun, Minghui (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Yang, Yiren (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2022","Bond wire damage is one of the most common failure modes of metal-oxide semiconductor field-effect transistor (MOSFET) power devices in wire-welded packaging. This paper proposes a novel bond wire damage detection approach based on two-port network measurement by identifying the MOSFET source parasitic inductance (LS). Numerical calculation shows that the number of bond wire liftoffs will change the LS, which can be used as an effective bond wire damage precursor. Considering a power MOSFET as a two-port network, LS is accurately extracted from frequency domain impedance (Z−parameter) using a vector network analyzer under zero biasing conditions. Bond wire cutoff experiments are employed to validate the proposed approach for bond wire damage detection. The result shows that LS increases with the rising severity of bond wire faults, and even the slight fault shows a high sensitivity, which can be effectively used to quantify the number of bond wire liftoffs of discrete MOSFETs. Meanwhile, the source parasitic resistance (RS) extracted from the proposed two-port network measurement can be used for the bond wire damage detection of high switching frequency silicon carbide MOSFETs. This approach offers an effective quality screening technology for discrete MOSFETs without power on treatment.","bond wire fault; MOSFET; source parasitic inductance; two-port network","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:c6891119-1a86-4b9f-840d-b26a7c6a938c","http://resolver.tudelft.nl/uuid:c6891119-1a86-4b9f-840d-b26a7c6a938c","Evaluation of photocatalytic micro-surfacing mixture: road performance, vehicle exhaust gas degradation capacity and environmental impacts","Zhang, Zhao (Chang'an University); Liu, Kai (Chang'an University); Chong, Dan (Shanghai University); Niu, D. (TU Delft Pavement Engineering; Chang'an University); Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Niu, Yanhui (Chang'an University); Jing, R. (TU Delft Pavement Engineering)","","2022","To purify severe air pollution in traffic-intensive urban areas and tunnels, an innovative type of photocatalytic micro-surfacing mixture (PMM) was designed, which was enhanced by polypropylene (PP) fiber and nano-TiO2. In this work, the road performance of sixteen PMMs with the different contents of PP and nano-TiO2 were evaluated by wet-track abrasion test, wheel rutting deformation test and low-temperature splitting test. The vehicle exhaust (VE) gas degradation capacity of sixteen PMMs was characterized under ultraviolet (UV) light and visible light conditions. The life cycle assessment (LCA) methodology was applied to evaluate the environmental impact of PMM. The results showed that the road performances of PMM were improved with the increase of the PP fibers amount. The VE gas degradation capacity was significantly enhanced with the increase of nano-TiO2 amount. PMM with 0.2 wt% PP fibers and 60 wt% replacement of mineral filler with nano-TiO2 was a viable alternative to improve photocatalytic degradation of VE in pavement engineering. In addition, the modified micro-surfacing mixture facilitates a significant reduction in energy consumption and greenhouse gas emissions.","Micro-surfacing mixture; nano-TiO; Photocatalytic degradation; PP fibers; Road performance; Vehicle exhaust","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:c2d15bc9-93ab-4978-9d1c-76441302c247","http://resolver.tudelft.nl/uuid:c2d15bc9-93ab-4978-9d1c-76441302c247","Guanidium-assisted crystallization engineering for highly efficient CsPbI3 solar cells","Wang, Shuo (Lanzhou University); Xu, Youkui (Lanzhou University); Wang, Qian (Lanzhou University); Zhou, Xufeng (Liaocheng University); Li, ZhenHua (Lanzhou University); Wang, Meng (Lanzhou University); Lei, Yutian (Lanzhou University); Zhang, Hong (Lanzhou University); Wang, H. (TU Delft Photovoltaic Materials and Devices); Jin, Zhiwen (Lanzhou University)","","2022","Iodine vacancies and uncoordinated iodide ions of CsPbI3 films are mainly responsible for nonradiative recombination. Here, we report a composition-engineering passivation method that through guanidium (GA+) and I− forms strong hydrogen bonds to passivate iodine vacancies and reduce defects. Both experimental and theoretical results confirmed strong chemical interactions between GA+ and uncoordinated I− in the GAxCs1−xPbI3 bulk or at the grain boundary. Moreover, GA+ doping could slow down the crystallization speed of perovskite films during the deposition process. As a result, we observed GA+ modified films with much lower defect density, larger grain size, and better carrier extraction and transportation. Upon GA+ passivation, the power conversion efficiency (PCE) is boosted from 18.01% to 19.05%, with open-circuit voltage (VOC) enhancement from 1.08 V to 1.14 V.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-25","","","Photovoltaic Materials and Devices","","",""
"uuid:2ebe78a2-f3d4-4dd6-8d5d-e803f92de589","http://resolver.tudelft.nl/uuid:2ebe78a2-f3d4-4dd6-8d5d-e803f92de589","Impact of F and S doping on (Mn,Fe)2(P,Si) giant magnetocaloric materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Wu, Z. (TU Delft RST/Fundamental Aspects of Materials and Energy); Smith, Ronald I. (ISIS Facility); de Wijs, Gilles A. (Radboud Universiteit Nijmegen); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2022","The quarternary (Mn,Fe)2(P,Si)-based materials with a giant magnetocaloric effect (GMCE) at the ferromagnetic transition TC are promising bulk materials for solid-state magnetic refrigeration. In the present study we demonstrate that doping with the light elements fluorine and sulfur can be used to adjust TC near room temperature and tune the magnetocaloric properties. For F doping the first-order magnetic transition (FOMT) of Mn0.60Fe1.30P0.64Si0.36Fx (x = 0.00, 0.01, 0.02, 0.03) is enhanced, which is explained by an enhanced magnetoelastic coupling. The magnetic entropy change |ΔSm| at a field change (Δμ0H) of 2 T markedly improved by 30% from 14.2 Jkg−1K−1 (x = 0.00) at 335 K to 20.2 Jkg−1K−1 (x = 0.03) at 297 K. For the F doped material the value of |ΔSm| for Δμ0H = 1 T reaches 11.6 Jkg−1K−1 at 294 K, which is consistent with the calorimetric data (12.4 Jkg−1K−1). Neutron diffraction experiments reveal enhanced magnetic moments by F doping in agreement with the prediction of DFT calculation. For S doping in Mn0.60Fe1.25P0.66-ySi0.34Sy (y = 0.00, 0.01, 0.02, 0.03, 0.04) three impurity phases have been found from microstructural analysis, which reduce the stability of the FOMT in the main phase and decrease TC, e.g. the |ΔSm| reduces from 7.9(12.6) Jkg-1K-1 (332 K) for the undoped sample to 3.4(6.2) Jkg-1K-1 (313 K) for the maximum doped sample for Δμ0H = 1(2) T. Neutron diffraction experiments combined with first-principles theoretical calculation, distinguish the occupation of F/S dopants and the tuning mechanism for light element doping, corresponding to subtle structural changes and a strengthening of the covalent bonding between metal and metalloid atoms. It is found that the light elements F and S can effectively regulate the magnetocaloric properties and provide fundamental understanding of (Mn,Fe)2(P,Si)-based intermetallic compounds.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:9424307a-80a3-4a39-a4c3-d080eb44bf74","http://resolver.tudelft.nl/uuid:9424307a-80a3-4a39-a4c3-d080eb44bf74","Code Smells for Machine Learning Applications","Zhang, H. (ING); Cruz, Luis (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2022","The popularity of machine learning has wildly expanded in recent years. Machine learning techniques have been heatedly studied in academia and applied in the industry to create business value. However, there is a lack of guidelines for code quality in machine learning applications. In particular, code smells have rarely been studied in this domain. Although machine learning code is usually integrated as a small part of an overarching system, it usually plays an important role in its core functionality. Hence ensuring code quality is quintessential to avoid issues in the long run. This paper proposes and identifies a list of 22 machine learning-specific code smells collected from various sources, including papers, grey literature, GitHub commits, and Stack Overflow posts. We pinpoint each smell with a description of its context, potential issues in the long run, and proposed solutions. In addition, we link them to their respective pipeline stage and the evidence from both academic and grey literature. The code smell catalog helps data scientists and developers produce and maintain high-quality machine learning application code. ACM Reference Format: Haiyin Zhang, Luís Cruz, and Arie van Deursen. 2022. Code Smells for Machine Learning Applications. In 1st Conference on AI Engineering - Software Engineering for AI (CAIN'22), May 16-24, 2022, Pittsburgh, PA, USA. ACM, New York, NY, USA, 12 pages. https://doi.org/10.1145/3522664.3528620","Anti-pattern; Code Quality; Code Smell; Machine Learning; Technical Debt","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-02","","Software Technology","Software Engineering","","",""
"uuid:ded518a4-3538-4f0a-9a59-8e598bbc578e","http://resolver.tudelft.nl/uuid:ded518a4-3538-4f0a-9a59-8e598bbc578e","Automatic depression recognition by intelligent speech signal processing: A systematic survey","Wu, Pingping (Nanjing Audit University); Wang, Ruihao (Nanjing Audit University); Lin, Han (Nanjing Audit University); Zhang, Fanlong (Nanjing Audit University); Tu, Juan (Nanjing University); Sun, M. (TU Delft Signal Processing Systems)","","2022","Depression has become one of the most common mental illnesses in the world. For better prediction and diagnosis, methods of automatic depression recognition based on speech signal are constantly proposed and updated, with a transition from the early traditional methods based on hand-crafted features to the application of architectures of deep learning. This paper systematically and precisely outlines the most prominent and up-to-date research of automatic depression recognition by intelligent speech signal processing so far. Furthermore, methods for acoustic feature extraction, algorithms for classification and regression, as well as end to end deep models are investigated and analysed. Finally, general trends are summarised and key unresolved issues are identified to be considered in future studies of automatic speech depression recognition.","","en","review","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8017c584-6ea0-4538-9268-b23cb0af99ac","http://resolver.tudelft.nl/uuid:8017c584-6ea0-4538-9268-b23cb0af99ac","VLBI observations of VIK J2318-3113, a quasar at z = 6.44","Zhang, Y. (Ska Regional Centre Joint Lab; Chinese Academy of Sciences); An, T. (Chinese Academy of Sciences; Ska Regional Centre Joint Lab; University of Chinese Academy of Sciences); Wang, A. (Ska Regional Centre Joint Lab; Chinese Academy of Sciences; College of Astronomy and Space Sciences; University of Chinese Academy of Sciences); Frey, S. (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Gabányi, K. E. (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Perger, K. (Konkoly Observatory Hungarian Academy of Sciences); Paragi, Z. (Joint Institute for VLBI ERIC)","","2022","The nature of jets in active galactic nuclei (AGNs) in the early Universe and their feedback to the host galaxy remains a highly topical question. Observations of the radio structure of high-redshift AGNs enabled by very long baseline interferometry (VLBI) provide indispensable input into studies of their properties and role in the galaxies' evolution. To date, only five AGNs at redshift z 6 have been studied with the VLBI technique. Aims. VIK J2318-3113 is a recently discovered quasar at z = 6:44 that had not been imaged with VLBI before the current work. Here we present the first VLBI imaging results of this high-redshift quasar, with the aim of corroborating its high-resolution appearance with the physical model of the object. Methods. We carried out VLBI phase-referencing observations of VIK J2318-3113 using the Very Long Baseline Array at two frequencies, 1.6 and 4.7 GHz, and obtained the first view at the radio structure on the milliarcsecond scale. Results. The source was clearly detected at 1.6 GHz. We found that almost all of its radio emission comes from the parsec-scale core region. Our dual-frequency observations constrain the spectral index and brightness temperature of the radio core. Its properties are similar to those of other known high-redshift radio-loud AGNs.","Galaxies: high-redshift; Galaxies: jets; Quasars: general; Quasars: individual: VIK J2318-3113","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:39ff6b6a-0503-4b70-8100-f24f9e162326","http://resolver.tudelft.nl/uuid:39ff6b6a-0503-4b70-8100-f24f9e162326","Deep-learning-based Position Control of a Robotic Catheter under Environmental Contact","Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Zhang, Yao (Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Ha, Xuan Thao (Katholieke Universiteit Leuven); Niu, Kenan (Katholieke Universiteit Leuven); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2022","Precise control of robotic catheters remains challenging in interventions. Inherent non-linearities such as hysteresis and external disturbances such as blood flow or contact with the vessel walls have a large impact on the reachable positioning precision. As inaccurate positioning of the catheter tip could lead to tissue damage, controllers that would perform adequately in the presence of hysteresis and environmental contacts would be highly desirable. This paper proposes a method based on multiple Long Short-Term Memory Networks (LSTMs). To this end, a so-called free-space-LSTM (f-LSTM) is trained in order to steer the catheter when it moves in free. Constrained-space-LSTMs (c-LSTMs) are trained to drive the catheter when it is in contact with an obstacle. Based on contact estimation methods, LSTMs are switched. The f-LSTM and c-LSTMs are first tested in free space motion and under constraint situations. The results reveal that LSTMs perform well (RMSE < 0.5 mm) for a steerable robot section with a total length of 77 mm when tested in the same situation where trained. However, when f-LSTM and c-LSTM were tested in an environment different from the one in which they were trained, errors tended to increase. The results highlight the need to exhaustively estimate the contact location and switch between different LSTMs accordingly. The effective working range of a c-LSTM was investigated as well. Experiments showed that a well-Trained single c-LSTM could be used effectively in a range of 8.8 mm among the entire length of a steerable catheter section, while maintaining the average tip positioning error below 2 mm in this range.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-28","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:819dbe9d-09f8-42ec-ab81-58641e946c62","http://resolver.tudelft.nl/uuid:819dbe9d-09f8-42ec-ab81-58641e946c62","The effect of riboflavin on the microbiologically influenced corrosion of pure iron by Shewanella oneidensis MR-1","Chang, Weiwei (University of Science and Technology Beijing); Li, Yangyang (University of Science and Technology Beijing); Li, Z. (TU Delft Team Arjan Mol); Lou, Yuntian (University of Science and Technology Beijing); Cui, Tianyu (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing)","","2022","The microbiologically influenced corrosion of pure iron was investigated in the presence of Shewanella oneidensis MR-1 with various levels of exogenous riboflavin (RF) serving as electron shuttles for extracellular electron transfer (EET). With more RF available, a larger and denser phosphate layer was formed on the surface of pure iron by the bacteria. The results of electrochemical impedance spectroscopy, linear polarization resistance and potentiodynamic polarization tests showed that the product layer provided good corrosion protection to the pure iron. Using electrochemical noise, we observed that the addition of RF accelerated the corrosion at the initial stage of immersion, thereby accelerating the deposition of products to form a protective layer subsequently.","Extracellular electron transfer; Microbiologically influenced corrosion; Microbiologically influenced corrosion inhibition","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-06","","","Team Arjan Mol","","",""
"uuid:4c7ec911-d001-4a5c-81cf-c764e2232489","http://resolver.tudelft.nl/uuid:4c7ec911-d001-4a5c-81cf-c764e2232489","Feasibility evaluation for development of composite propellers with embedded piezoelectric sensors","Huijer, A.J. (TU Delft Ship Hydromechanics and Structures); Zhang, X. (TU Delft Aerospace Structures & Computational Mechanics); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics); Pahlavan, Lotfollah (TU Delft Ship Hydromechanics and Structures)","","2022","Marine propellers made of fibre-reinforced composites have demonstrated the potential to outperform metallic propellers in terms of efficiency and under-water noise radiation. For full realisation of this potential in a tailored design process with realistic constraints, accurate information on the hydrodynamic loads acting on composite marine propellers and the structural integrity is of key importance. It is conceptualised that this information can be acquired without disturbing propeller hydrodynamics using a network of piezoelectric sensors embedded inside the blade. In this paper, feasibility of this concept has been investigated numerically and experimentally. Hydrodynamic loads on a composite propeller obtained from numerical simulations were used to assess the sensitivity of piezoelectric sensors in measuring the dynamic strain field due to the blade deformation. Subsequently, 25 small-scale carbon-epoxy composite samples were manufactured with embedded piezoelectric wafer sensors of different sizes, and subjected to non-destructive and destructive loading scenarios. Feasibility of measuring strains at different frequency ranges and damage-induced acoustic emissions was quantitatively assessed from these experiments. Furthermore, the influence of the embedded sensors on the ultimate strength and toughness of the specimens was investigated. It was found that at least 92% of the studied propeller blade would have dynamic strains measurable up to the first four harmonics by the considered piezoelectric sensors. In a four-point bending setup, it was additionally demonstrated that the embedded piezoelectric sensor captured damage-induced acoustic emissions up to specimen failure with an average signal to noise ratio of 17 dB. The results indicate that embedded piezoelectric sensor networks can have the capability to measure both low-frequency dynamic strains in composite marine propeller blades and damage-related acoustic emissions.","Acoustic emission; Composite marine propeller; Damage detection; Dynamic strain measurement; Embedded sensors; Piezoelectric sensors","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:e66f228f-2c08-4ff3-a548-8ff91806d262","http://resolver.tudelft.nl/uuid:e66f228f-2c08-4ff3-a548-8ff91806d262","Genetic Algorithm–Assisted Design of Redistribution Layer Vias for a Fan-Out Panel-Level SiC MOSFET Power Module Packaging","Fan, Jiajie (Fudan University; Research Institute of Fudan University, Ningbo); Qian, Yichen (Hohai University); Chen, Wei (Fudan University); Jiang, Jing (Fudan University); Tang, Zhuorui (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2022","A fan-out panel-level packaging (FOPLP) with an embedded redistribution layer (RDL) via interconnection reduces the size, thermal resistance, and parasitic inductance of power module packaging. In this study, the effect of the RDL via size on the reliability of a FOPLP SiC MOSFET power module was investigated. To improve the thermal management and thermal cycling reliability of the designed SiC module, genetic algorithm (GA)–assisted optimization methods were proposed to optimize the RDL via size. First, the heat dissipation and the plastic work density of the SiC MOSFET module with various via diameters and depths were simulated using finite element simulations. Next, both the ant colony optimization-backpropagation neural network (ACOBPNN) with finite element simulation and the nondominated sorting genetic algorithm (NSGA-II) with theoretical model were developed to optimize the RDL via size. The results revealed that: (1) smaller via depth and size reduce the heat dissipation and thermal cycling reliability of the RDL via; (2) through both the ACO-BPNN and NSGA-II, the same optimal heat dissipation and plastic work density can be achieved in the designed module. (3) ACO-BPNN with assist of finite element simulation can provide a more effective optimization in complex packaging structure.","SiC MOSFET; FOPLP; ACO-BPNN; NSGA-II; Reliability optimization","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:b5df2a9e-d2e3-4db9-a357-f72b5b125575","http://resolver.tudelft.nl/uuid:b5df2a9e-d2e3-4db9-a357-f72b5b125575","Sensing fiber selection for point displacement measuring with distributed optic fiber sensor","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","","2022","Distributed optical fiber sensors (DOFS) allow for distributed strain sensing and can be installed to function as extensometers for measuring point-displacements. This paper discusses the metrics of optimal sensing fiber selection for point-displacement measuring. Key metrics include the physical structure, mechanical parameters and light transmission coefficients. Calibration tests for verification of the optical fiber properties are designed and results of four fiber types are presented. Finally, creep and relaxation behavior of optical fibers is discussed based on manual tension test results, and a quantification model is proposed to assess the induced measurement error for sensing fiber. The maximum (absolute) measurement error for two common fiber types used in point displacement measurements is determined to be below 8%, and the study shows that pretensioning of the fiber helps to reduce such measurement errors.","Distributed optical fiber sensor (DOFS); Fiber selection; Metrics of sensing fiber; Parameter calibration; Point displacement; Relaxation","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d20afc80-986c-4b92-b790-7f1fa4a5aac5","http://resolver.tudelft.nl/uuid:d20afc80-986c-4b92-b790-7f1fa4a5aac5","Synchromodal transport planning with flexible services: Mathematical model and heuristic algorithm","Zhang, Y. (TU Delft Transport Engineering and Logistics); Guo, W. (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","As a critical feature of synchromodal transport (ST), service flexibility plays an important role in improving the utilization of resources to reduce costs, emissions, congestions, and delays. However, none of the existing studies considered flexible services under the framework of synchromodality. This paper develops a Mixed Integer Linear Programming (MILP) model to formulate service flexibility in ST planning. In the MILP model, vehicles with flexible services as well as fixed services are both considered, and vehicle routes and request routes are planned simultaneously. Due to the computational complexity, an Adaptive Large Neighborhood Search heuristic is designed to solve the problem. Several customized operators are designed based on the characteristics of the studied problem. The proposed model is compared with the models developed in a highly-cited paper and a newly published paper that do not consider service flexibility. Case studies on small instances verified that the proposed model with flexibility performs better on all scenarios, including scenarios with different weights for the individual objectives, scenarios under congestion, and dynamic optimization scenarios. On large instances (up to 1600 shipment requests), the proposed model with flexibility reduces the cost by 14% on average compared with the existing models in the literature.","Adaptive large neighborhood search; Flexibility; Mixed integer linear programming; Synchromodal transport planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-24","","","Transport Engineering and Logistics","","",""
"uuid:b226e0af-31ca-4cc0-b9db-bf2c4902e83b","http://resolver.tudelft.nl/uuid:b226e0af-31ca-4cc0-b9db-bf2c4902e83b","Simulation for stability of a beam-mass based high-resolution MEMS gravimeter","Ye, Guigen (China University of Petroleum (East China)); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","In this paper, stability and mechanistic simulations for a four-beam-mass-based MEMS gravimeter were conducted, and guidelines for the gravimeter design were proposed. Based on a prototyped MEMS device, the nonlinear finite element model was validated first against the experimental results. Then, we demonstrated three different scenarios in design that have three distinct modes of deformation: the mode with buckling (case 1), the mode without buckling but with a single zero-stiffness point (case 2), and the mode without both buckling and zero-stiffness point (case 3). Both case 1 and case 2 presented an unstable and sensitive region, in which a tiny perturbation could result in a rapid increase of the resonance frequency. Case 3, on the other hand, could provide a stable and low resonance frequency with a linear relationship between the displacement and gravitational acceleration. An optimized design of a beam/spring-mass-based relative gravimeter could be achieved using the above guidelines.","Bucking; Microelectromechanical systems (MEMS); Non-linear finite element analysis; Relative gravimeter; Stability; Zero-stiffness","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:963a5358-57a5-4cf5-a761-b0646e7f7725","http://resolver.tudelft.nl/uuid:963a5358-57a5-4cf5-a761-b0646e7f7725","Report of RILEM TC 267-TRM phase 3: validation of the R3 reactivity test across a wide range of materials","Londono-Zuluaga, Diana (Swiss Federal Institute of Technology); Gholizadeh-Vayghan, Asghar (Flemish Institute for Technological Research); Winnefeld, Frank (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Avet, François (Vicat); Ben Haha, Mohsen (HeidelbergCement AG); Bernal, Susan A. (University of Leeds); Cizer, Özlem (Katholieke Universiteit Leuven); Ye, G. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment)","","2022","RILEM TC 267 TRM– “Tests for Reactivity of Supplementary Cementitious Materials” recommends the Rapid Reliable Relevant (R3) test as a method for determining the chemical reactivity of supplementary cementitious materials (SCMs) in Portland cement blends. In this paper, the R3 test was applied to 52 materials from a wide range of conventional and alternative SCMs with the aim to validate such test. An excellent correlation was found between the cumulative heat release and the bound water determined following the R3 test method. Comparison of the R3 test results to mortar compressive strength development showed that all conventional SCMs (e.g. blast furnace slag and fly ashes) followed the same trend, with the notable exception of very reactive calcined kaolinitic clays. It is discussed, through an in-depth statistical regression analysis of the R3 reactivity test results and the 28 days relative compressive strengths, how reactivity threshold values for classification of the chemical reactivity of SCMs could be proposed based on the R3 test results.","Bound water; Compressive strength; Heat release; Reactivity test; Supplementary cementitious materials","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:75d6e233-bdb5-46c2-8c27-1ffccb0b17b1","http://resolver.tudelft.nl/uuid:75d6e233-bdb5-46c2-8c27-1ffccb0b17b1","Understanding Design Preferences for Robots for Pain Management: A Co-Design Study","Zhang, Feiran (Eindhoven University of Technology); Broz, F. (TU Delft Interactive Intelligence); Dertien, Edwin (University of Twente); Kousi, Nefeli (University of Twente); Van Gurp, Jules A.M. (Eindhoven University of Technology); Ferrari, Oriana Isabella (Eindhoven University of Technology); Malagon, Ignacio (Radboud University Medical Center); Barakova, Emilia I. (Eindhoven University of Technology)","","2022","There is growing interest in psychological interventions using socially assistive robots to mitigate distress and pain in the pediatric population. This work seeks to address the deficit in understanding of what features and functionality young children and their parents desire to help with pain management by using co-design, a common approach to exploring participants' imaginations and gathering design requirements. To close this gap, we carried out a co-design workshop involving seven families (with children aged between 4-6 and their parents) to understand their expectations and design preferences for a robot designed for pain management in children. Data were collected from surveys, video and audio recordings, interviews, and field notes. We present the robot prototypes constructed during the workshops and derive several preferences of the children (e.g, zoomorphic shape, distractors and emotional expressions as behaviors). Additionally, we report methodological insights regarding the involvement of young children and their parents in the co-design process. Based on the findings of this co-design study, we discuss personalization as a possible design concept for future child-robot interaction development.","child; child-robot interaction; co-design; pain management; parent; social robots","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Interactive Intelligence","","",""
"uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","http://resolver.tudelft.nl/uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","Strain-engineered S-HfSe2 monolayer as a promising gas sensor for detecting NH3: A first-principles study","Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Li, Junfeng (Southern University of Science and Technology); Shao, Ziyuan (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Southern University of Science and Technology); Cui, Hongyuan (Chongqing University); Tang, Xiaosheng (Chongqing University of Posts and Telecommunications); Liu, Yufei (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology; Chongqing University)","","2022","The development of high-performance gas sensing materials is one of the development trends of new gas sensor technology. In this work, in order to predict the gas-sensitive characteristics of HfSe2 and its potential as a gas-sensitive material, the interactions of nonmetallic element (O, S, Te) doped HfSe2 monolayer and small molecules (NH3 and O3) have been studied by first-principles based on density functional theory. The results show that the adsorption of NH3 and O3 on pristine HfSe2 monolayer is weak, and the adsorption strength can be significantly improved by doping O. And O-HfSe2 is chemical adsorption to O3 with large adsorption energy and transfer charge, and the band gap of O[sbnd]HfSe2 disappears after adsorbing O3, indicating that the adsorption of O3 has a significant effect on the electrical properties of the substrate. These mean that O3 is difficult to recover from the substrate surface, thus preventing O-HfSe2 from developing into a sensitive material for O3 detection. After doping S, the charge transfers and adsorption strength to NH3 are the largest, but it is still small. So, the strain effect on the S-HfSe2/NH3 adsorption system is also studied. The results indicate that the adsorption strength of S-HfSe2 to NH3 can be enhanced by stretching S-HfSe2 along x-axis. After absorbing NH3, the conductivity of x-axis strained S-HfSe2 changes, which suggest its sensitivity. And the predicted recovery times of S-HfSe2 surfaces with εx=4%, 6% and 8% are 0.027 s, 1.153 s and 102.467 s, respectively, which suggests that the S-HfSe2 monolayer has the potential to be developed as a sensitive material for NH3 detection. These adsorption mechanism studies can also serve as a theoretical foundation for the experimental design of gas-sensing materials.","Adsorption; Doped HfSe; First-principles; NH; Strain engineering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:dcb59004-36a2-48ee-8b87-0e64822773c4","http://resolver.tudelft.nl/uuid:dcb59004-36a2-48ee-8b87-0e64822773c4","Random forest incorporating ab-initio calculations for corrosion rate prediction with small sample Al alloys data","Ji, Y. (TU Delft Materials Science and Engineering; University of Science and Technology Beijing); Li, Ni (University of Science and Technology Beijing); Cheng, Zhanming (University of Science and Technology Beijing); Fu, Xiaoqian (University of Science and Technology Beijing); Sun, Xiaoguang (CRRC Qingdao Sifang Co. Ltd); Chowwanonthapunya, Thee (Kasetsart University); Zhang, Dawei (University of Science and Technology Beijing); Ren, Jingli (Zhengzhou University); Dey, P. (TU Delft Team Poulumi Dey); Dong, Chaofang (University of Science and Technology Beijing)","","2022","Corrosion jeopardizes the materials longevity and engineering safety, hence the corrosion rate needs to be forecasted so as to better guide materials selection. Although field exposure experiments are dependable, the prohibitive cost and their time-consuming nature make it difficult to obtain large dataset for machine learning. Here, we propose a strategy Integrating Ab-initio Calculations with Random Forest (IACRF) to optimize the model, thereby estimating the corrosion rate of Al alloys in diverse environments. Based on the thermodynamic assessment of the secondary phases, the ab-initio calculation quantities, especially the work function, significantly improved the prediction accuracy with respect to small-sample Al alloys corrosion dataset. To build a better generic prediction model, the most accessible and effective features are identified to train IACRF. Finally, the independent field exposure experiments in Southeast Asia have proven the generalization ability of IACRF in which the average prediction accuracy is improved up to 91%.","","en","journal article","","","","","","","","","","Materials Science and Engineering","Team Poulumi Dey","","",""
"uuid:1c3326b7-8657-499d-958e-79c3b916398e","http://resolver.tudelft.nl/uuid:1c3326b7-8657-499d-958e-79c3b916398e","Cross-category prediction of corrosion inhibitor performance based on molecular graph structures via a three-level message passing neural network model","Dai, Jiaxin (University of Science and Technology Beijing); Fu, Dongmei (University of Science and Technology Beijing); Song, Guangxuan (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Guo, Xin (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft Team Arjan Mol); Cole, Ivan (Royal Melbourne Institute of Technology University); Zhang, Dawei (University of Science and Technology Beijing)","","2022","Current experimental verification, computational modeling, and machine learning methods for predicting corrosion inhibition efficiency (IE) are limited to specific inhibitor categories with high cost and poor generalization. In this study, a cross-category corrosion inhibitor dataset is constructed and a three-level direct message passing neural network (3 L–DMPNN) model using molecular structure information that integrates atomic-level, chemical bond-level, and molecular-level features to predict the IEs of compounds in a specific environment is established. This work demonstrates that the 3 L–DMPNN model can predict IEs of cross-category corrosion inhibitors from other independent literature and experimental dataset effectively and quickly.","Corrosion inhibitors; Machine learning; Message passing neural network; Molecular structure; SMILES","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-29","","","Team Arjan Mol","","",""
"uuid:9e207934-09de-4df4-afc1-41259d1dc810","http://resolver.tudelft.nl/uuid:9e207934-09de-4df4-afc1-41259d1dc810","Finite element modeling and analysis of ultrasonic bonding process of thick aluminum wires for power electronic packaging","Tang, Jiuyang (Fudan University); Li, Liangtao (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University)","","2022","Ultrasonic wedge bonding of aluminum (Al) wires is a widely applied interconnect technology for power electronic packaging. The joint quality of the wedge bonding is mainly affected by the process parameters and material properties. Inappropriate process parameters will lead to failure modes such as chip surface pit, metal layer peeling off, wire cracking, non-sticking to the pad, etc., which limits the long-term stability of power devices. In order to reach the desired reliability, the design of experiment (DoE) is generally deployed which is costly in terms of time and related materials. Therefore, simulation-assisted analysis is in demand to rapidly narrow down the process windows. In this paper, an ultrasonic bonding model involving thick Al wires (300 μm) was established based on the Finite Element Method (FEM), to optimize process parameters effectively with reduced time and cost. The model was designed in ANSYS utilizing the transient structural mechanics module with various stresses and ultrasonic power, to simulate the relative deformation of the bonded wires and the displacement against the substrate. The result was then verified by ultrasonic wedge bonding samples with 9 sets of process parameters. The stress distributions were simulated and analyzed with the failure modes of tensile strength tests, while the deformation of wires under various process parameters was measured and compared with shear strength tests. Further, the relationship between the failure modes of the joint and the deformation was then analyzed by Response Surface Method (RSM), and the regression equation of the wire deformation and related process parameters was established and fitted with the actual sample's data. Such analysis not only found the optimum range of the deformation of thick Al ultrasonic wire bonds but also quickly provided a range of optimized processes for Al thick wires applying ultrasonic wedge bonding techniques.","Finite element analysis; Numerical analysis; Power electronic packaging; Thick aluminum wire; Ultrasonic wedge bonding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:6037b77c-f531-4c5e-846f-07fea191f19a","http://resolver.tudelft.nl/uuid:6037b77c-f531-4c5e-846f-07fea191f19a","Collaborative planning for intermodal transport with eco-label preferences","Zhang, Y. (TU Delft Transport Engineering and Logistics); Heinold, Arne (Christian-Albrechts-Universität zu Kiel); Meisel, Frank (Christian-Albrechts-Universität zu Kiel); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics)","","2022","Sustainability is a common concern in intermodal transport. Collaboration among carriers may help in reducing emissions. In this context, this work establishes a collaborative planning model for intermodal transport and uses eco-labels (a series of different levels of emission ranges) to reflect shippers’ sustainability preferences. A mathematical model and an Adaptive Large Neighborhood Search heuristic are proposed for intermodal transport planning of carriers and fuzzy set theory is used to model the preferences towards eco-labels. For multiple carriers, centralized, auction-based collaborative, and non-collaborative planning approaches are proposed and compared. Real data from barge, train and truck carriers in the European Rhine-Alpine corridor is used for extensive experiments where both unimodal carrier collaboration and intermodal carrier collaboration are analyzed. Compared with non-collaborative planning without eco-labels, the number of served requests increases and emissions decrease significantly in the collaborative planning with eco-labels as transport capacity is better utilized.","Collaborative planning; Eco-label; Intermodal transport; Sustainable transport; Vague preferences","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:da790c2c-4a9f-4f8e-a413-bb2cbd9d0e82","http://resolver.tudelft.nl/uuid:da790c2c-4a9f-4f8e-a413-bb2cbd9d0e82","EDS Microanalysis of Unhydrated Blast Furnace Slag Grains in Field Concrete with Different Service Life","Zhang, Y. (TU Delft Materials and Environment); Saravanakumar, Karthikeyan (Student TU Delft); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2022","Because the essential quality metrics of blast furnace slag are based on its oxide composition, the determination of chemical compositions of unhydrated slag grains in an aged concrete could be useful for understanding its past performance and in predicting the remaining service life of existing slag-bearing concrete. In this research, the authors explored the feasibility of using standard-based energy-dispersive X-ray spectroscopy (EDS) microanalysis, in tandem with electron imaging, as a tool for quantitative measurement of the chemical composition of blast furnace slag grains in cement/concrete. In the experimental study, seven concrete samples representing various service life durations were collected in the Netherlands. The microanalysis results of the samples revealed that the change in slag chemistry is insignificant for samples B (1985) to F (2006); however, elevated CaO and SiO2 contents are found in slag used for sample G (2015), opposite to that of Al2O3 and MgO. After discussing compositional characterization, the paper discusses favorable microanalysis protocols for acceptable elemental quantification accuracy. It was concluded that quantitative EDS microanalysis is a strong tool to characterize the chemical composition of unhydrated slag used in field concrete, which could potentially contribute to understanding the correlations between composition and long-term performance in slag concrete structures.","blast furnace slag; BSE; EDS; microanalysis; service life","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6379c86b-5696-467b-a5d7-b54959e5c444","http://resolver.tudelft.nl/uuid:6379c86b-5696-467b-a5d7-b54959e5c444","Semantic Modeling of Ship Behavior in Cognitive Space","Song, R. (TU Delft Safety and Security Science; Wuhan University of Technology); Wen, Yuanqiao (Wuhan University of Technology); Tao, Wei (Wuhan University of Technology); Zhang, Qi (KTH Royal Institute of Technology); Papadimitriou, E. (TU Delft Safety and Security Science); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2022","Ship behavior is the semantic expression of corresponding trajectory in spatial-temporal space. The intelligent identification of ship behavior is critical for safety supervision in the waterborne transport. In particular, the complicated behavior reflects the long-term intentions of a ship, but it is challenging to recognize it automatically for computers without a proper understanding. For this purpose, this study provides a method to model the behavior for computers from the perspective of knowledge modeling that is explainable. Based on our previous work, a semantic model for ship behavior representation is given considering the multi-scale features of ship behavior in cognitive space. Firstly, the multi-scale features of ship behavior are analyzed in spatial-temporal dimension and semantic dimension individually. Then, a method for multi-scale behaviors modeling from the perspective of semantics is determined, which divides the behavior scale into four sub-scales in cognitive space, considering spatial and temporal dimensions: action, activity, process, and event. Furthermore, an ontology model is introduced to construct the multi-scale semantic model for ship behavior, where behaviors with different semantic scales are expressed using the functions of ontology from a microscopic perspective to a macroscopic perspective consecutively. To validate the model, a case study is conducted in which ship behavior with different scales occurred in port water areas. Typical behaviors, which include leveraging the axioms expression and semantic web rule language (SWRL) of the ontology, are then deduced using a reasoner, such as Pellet. The results show that the model is reasonable and feasible to represent multi-scale ship behavior in various scenarios and provides the potential to construct a smart supervision network for maritime authorities.","cognitive space; multi-scale analysis; ontology; semantic modeling; ship behavior","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:75285e7c-6298-47f6-92c3-ceeaca175b40","http://resolver.tudelft.nl/uuid:75285e7c-6298-47f6-92c3-ceeaca175b40","Collision prevention of ship towing operation under environmental disturbance","Zhang, Lei (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Du, Zhe (TU Delft Transport Engineering and Logistics); Valdez Banda, Osiris A. (Aalto University); Goerlandt, F.M.B. (Dalhousie University); Du, Lei (Wuhan University of Technology; Aalto University); Li, Xiaobin (Wuhan University of Technology)","","2022","Towing operations are highly reliant on the experience of the towing operators. Safety concerns arise when towing operations are subjected to environmental disturbances and dynamic traffic conditions. However, a systematic framework and approaches to enhance the safety and automation of towing operations remain lacking. This work proposes a framework of collision prevention of ship towing operations under environmental disturbance in near port waters. The focus is to prevent internal collisions between tug and assisted ship and provide early warning of possible collisions with other surrounding ships. A cooperative multi-agent control strategy is employed to specify the direction and magnitude of the towing force of the two tugs in real-time. Therefore, in the presence of environmental disturbance, the assisted ship can sail along the planned trajectory, and the acceptable safe geometric distance between each ship pair in the towing system is guaranteed. Further, a COLREGs-compliant collision alert system is designed to promptly remind the towing operators of a collision hazard with nearby ships, and different alert levels indicate different action obligations of towing operators. This proposed framework and developed methods are applied to a tandem towing system consisting of two tugs and one assisted ship to test its feasibility.","Collision prevention; Environmental disturbance; Maritime safety; Towing operation; Trajectory control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:a9b66489-69b5-4689-8a24-bd21ca9ed105","http://resolver.tudelft.nl/uuid:a9b66489-69b5-4689-8a24-bd21ca9ed105","Board level vibration test method of components for automotive electronics: State-of-the-art approaches and challenges","Thukral, V. (TU Delft Electronic Components, Technology and Materials; NXP Semiconductors Germany); van Soestbergen, M. (NXP Semiconductors); Zaal, J.J.M. (NXP Semiconductors); Roucou, R. (NXP Semiconductors); Rongen, R.T.H. (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Board level vibration testing is intended to assess prediction of the reliability of solder joint interconnects that are formed between electronic components and printed circuit boards (PCB). Frailties in the stress test experiment might lead to false board level reliability (BLR) evaluations. Therefore, it is essential to have a well-characterized board level vibration test method. Currently, there is no industrial test standard that prescribes board level vibration test method for electronic components at the PCB level. This paper examines the vibration test standards that are currently available in the industry and their applicability at the solder joint interconnect level. Next to that, it surveys the state-of-the-art board level vibration test setups and their impact on PCB dynamic loading and reliability at solder joint-PCB interface. It collates research on major building blocks of a board level vibration test method that includes vibration measurement techniques, PCB assemblies under test, board mounting schemes, operating environments, fault detection systems, and vibration test stress conditions that are currently used in the domain of solder joint level vibration testing. The findings from this paper are expected to reveal pitfalls and challenges while setting up board level vibration test experiments for electronic components. In addition, this paper attempts to identify research efforts that are required to make board level vibration testing a more credible means for assessing solder joint reliability. Outcomes from this study can further be used to guide future board level vibration specifications for electronic components.","Ball grid array packages; Board level reliability; Board level vibration test; JEDEC standards; PCB dynamic response; Wafer level chip scale packages","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-25","","","Electronic Components, Technology and Materials","","",""
"uuid:dd0ed303-520d-469e-beca-f94bc79ac947","http://resolver.tudelft.nl/uuid:dd0ed303-520d-469e-beca-f94bc79ac947","Exploring the Performance of Ensemble Smoothers to Calibrate Urban Drainage Models","Huang, Yuan (Hohai University); Zhang, Jiangjiang (Hohai University); Zheng, Feifei (Zhejiang University); Jia, Yueyi (Zhejiang University); Kapelan, Z. (TU Delft Sanitary Engineering); Savić, Dragan (University of Exeter; Universiti Kebangsaan Malaysia; KWR Water Research Institute)","","2022","Urban drainage models (UDMs) are often used to manage urban flooding. However, these models generally involve many parameters to represent the underlying complex hydrodynamic processes. This results in significant challenges to achieving effective and robust model calibration especially with frequently limited observations, leading to unreliable model predictions. This paper makes the first attempt at UDM calibration using the Bayesian-based Ensemble Smoother (ES) method. Three ES variants are considered, that is, the primary ES, the versions with multiple data assimilation (ES-MDA) and iterative local update (ES-ILU). Two synthetic cases and one real-world application with up to 5,236 calibration parameters are tested. Results obtained show that: (a) both ES-MDA and ES-ILU can produce effective model calibration with ES-ILU outperforming ES-MDA in terms of both accuracy and uncertainty while ES exhibits limited performance; (b) for the real-world case, both the ES-MDA and ES-ILU methods provide better calibration results than the best-known solution manually obtained, (c) a minimum number of observations are required to enable an overall accurate model calibration (e.g., four and ten more monitoring sites are needed in the two synthetic cases); and (d) the model calibrated using an intense rainfall event is generally robust to make reliable predictions across different rainfall events while the model calibrated using less intense rainfall event does not perform well for more intense rainfall events. It was also found that ubiquitous parameter equifinality significantly hinders unique parameter identification even when overall accurate state estimates are obtained. This should be clearly understood in practical applications.","ensemble smoother; equifinality; model calibration; urban drainage system; urban flood","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:1e194659-cded-4b6a-8873-76e77cf987df","http://resolver.tudelft.nl/uuid:1e194659-cded-4b6a-8873-76e77cf987df","Assembly of Core/Shell Nanospheres of Amorphous Hemin/Acetone-Derived Carbonized Polymer with Graphene Nanosheets for Room-Temperature NO Sensing","Wang, Jianqiang (South China Normal University); Gao, Yixun (South China Normal University); Chen, Fengjia (Sun Yat-sen University); Zhang, Lulu (South China Normal University); Li, Hao (South China Normal University); De Rooij, Nicolaas Frans (South China Normal University); Umar, Ahmad (Najran University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics)","","2022","Implementing parts per billion-level nitric oxide (NO) sensing at room temperature (RT) is still in extreme demand for monitoring inflammatory respiratory diseases. Herein, we have prepared a kind of core-shell structural Hemin-based nanospheres (Abbr.: Hemin-nanospheres, defined as HNSs) with the core of amorphous Hemin and the shell of acetone-derived carbonized polymer, whose core-shell structure was verified by XPS with argon-ion etching. Then, the HNS-assembled reduced graphene oxide composite (defined as HNS-rGO) was prepared for RT NO sensing. The acetone-derived carbonized polymer shell not only assists the formation of amorphous Hemin core by disrupting their crystallization to release more Fe-N4 active sites, but provides protection to the core. Owing to the unique core-shell structure, the obtained HNS-rGO based sensor exhibited superior RT gas sensing properties toward NO, including a relatively higher response (Ra/Rg = 5.8, 20 ppm), a lower practical limit of detection (100 ppb), relatively reliable repeatability (over 6 cycles), excellent selectivity, and much higher long-term stability (less than a 5% decrease over 120 days). The sensing mechanism has also been proposed based on charge transfer theory. The superior gas sensing properties of HNS-rGO are ascribed to the more Fe-N4 active sites available under the amorphous state of the Hemin core and to the physical protection by the shell of acetone-derived carbonized polymer. This work presents a facile strategy of constructing a high-performance carbon-based core-shell nanostructure for gas sensing.","carbonized polymer; core-shell structure; graphene; Hemin; nitric oxide sensor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:7b11804e-ea62-4537-a644-2249e5d8bdd5","http://resolver.tudelft.nl/uuid:7b11804e-ea62-4537-a644-2249e5d8bdd5","Coordination between Governmental Resources and Citizen Engagement with Open Government Data: A Coupling Coordinated Model","Zhang, Ying (Zhejiang University); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","Amaral, Luis (editor); Soares, Delfina (editor); Zheng, Lei (editor)","2022","Government resources used for opening data and citizen engagement with open government data (OGD) are expected to have a mutual influence, but this has not been empirically investigated. Therefore, this paper investigates this mutual relationship by measuring both governmental resources and citizen engagement based on resource-based theory. The weight of their measurements is evaluated by the entropy method. Data was collected from 337 Chinese municipal governments to investigate whether governmental resources and citizen engagement with OGD are dependent. The findings by a coupling coordinated model and regression analysis revelated that: Although governmental resources and citizen engagement with OGD have a strong interaction, the coordination between them is low. An explanation for this is that the development of citizen engagement with OGD lags behind the deployment of governmental resources in most Chinese cities.","citizen engagement; coordination; governmental resources; open government data","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-18","","Engineering, Systems and Services","","","",""
"uuid:3a4fed23-27fe-43a1-945b-5aa3c1819b52","http://resolver.tudelft.nl/uuid:3a4fed23-27fe-43a1-945b-5aa3c1819b52","Failure quantitative assessment approach to MOSFET power device by detecting parasitic parameters","Yun, Minghui (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); He, Siliang (Guilin University of Electronic Technology; Guangdong Academy of Sciences); Cai, Miao (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Kailin (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","With the emerging wide bandgap (WBG) semiconductor development, the increasing power density and efficiency of power electronic converters may cause more switching oscillation, electromagnetic interference noise, and additional power loss, further increasing the probability of device failure. Therefore, determining and quantifying the failure of a metal-oxide-semiconductor-field-effect transistor (MOSFET), which assembled using WBG semiconductor in some applications, is crucial to improving the reliability of a power converter. This study proposes a novel failure quantitative assessment approach based on MOSFET parasitic parameters. According to the two-port network theory, MOSFET is equivalent to some second-order RLC circuits composed of independent inductances, capacitances, and resistances in series. Then, the frequency-domain impedance associated with the physical failure of MOSFET is identified through frequency domain reflectometry. Accelerated aging and bond wires cut-off experiments are employed to obtain various quality states of the MOSFET device. Result shows that the MOSFET quality level and its number of bond wire lift-offs can be quantified effectively. Drain-to-source on-resistance (RDS(on)) that normally represents the MOSFET quality shows a positive linear function relationship on drain-to-source parasitic resistance (RD + RS) during the quality degradation proceeding. This finding matches with the correlation established between RDS (on) and RD + RS in theory. Meanwhile, source parasitic inductance (LS) increases with the severity of bond wires faults, and even the slight fault shows a high sensitivity. The proposed approach would be an effective quality screening technology for power semiconductor devices without power on treatment, which can effectively avoid the impact of junction temperature and test conditions (current and voltage) on test results, and does not need to design additional test circuits. The test frequency range we used in this approach was 10–300 MHz, which to some extent is suitable for providing an on-line quality monitoring technology for high-frequency WBG power devices manufacturing.","bond wire fault; detection; MOSFETs; parasitic parameters; quality level; quantitative assessment","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:dcc95ff2-fb50-40ae-ac9f-71a8984fb82e","http://resolver.tudelft.nl/uuid:dcc95ff2-fb50-40ae-ac9f-71a8984fb82e","A data-driven approach for ship-bridge collision candidate detection in bridge waterway","Zhang, Liang (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Chen, Pengfei (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Li, M. (TU Delft Safety and Security Science; Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Chen, Linying (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Mou, Junmin (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology)","","2022","The consequences caused by bridge failures owing to the ship-bridge collision are always severe in terms of loss of life, economy, and environmental consequences to individuals and societies. The previous studies focused on the ship-bridge collision mainly concentrated on passive anti-collision, such as strengthening the bridge structure or setting anti-collision facilities. Compared with the previous research, the contribution of this work is to facilitate the reduction of collision risk of ship-bridge collision from the perspective of active anti-collision. A data-driven approach for ship-bridge collision candidate detection method in inland bridge waterways is proposed in this research. The approach is mainly divided into two steps: 1) The features (channel boundary, pier domain, and ship domain) of bridge waterways are identified using Kernel Density Estimation (KDE) method based on the historical AIS data; 2) Collision candidate detection with Velocity Obstacle (VO) method considering the identified features. This work can provide beneficial support for the ship-bridge active collision avoidance system.","Collision candidate detection; Data-driven; Kernel density estimation; Ship-bridge collision avoidance; Velocity obstacle","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:b76f9664-9e49-48e4-b56e-4df2b9f0b67b","http://resolver.tudelft.nl/uuid:b76f9664-9e49-48e4-b56e-4df2b9f0b67b","A new reinforcement learning-based variable speed limit control approach to improve traffic efficiency against freeway jam waves","Han, Yu (Southeast University); Hegyi, A. (TU Delft Transport and Planning); Zhang, Le (Nanjing University of Science and Technology); He, Zhengbing (Beijing University of Technology); Chung, Edward (The Hong Kong Polytechnic University); Liu, Pan (Southeast University)","","2022","Conventional reinforcement learning (RL) models of variable speed limit (VSL) control systems (and traffic control systems in general) cannot be trained in real traffic process because new control actions are usually explored randomly, which may result in high costs (delays) due to exploration and learning. For this reason, existing RL-based VSL control approaches need a traffic simulator for training. However, the performance of those approaches are dependent on the accuracy of the simulators. This paper proposes a new RL-based VSL control approach to overcome the aforementioned problems. The proposed VSL control approach is designed to improve traffic efficiency by using VSLs against freeway jam waves. It applies an iterative training framework, where the optimal control policy is updated by exploring new control actions both online and offline in each iteration. The explored control actions are evaluated in real traffic process, thus it avoids that the RL model learns only from a traffic simulator. The proposed VSL control approach is tested using a macroscopic traffic simulation model to represent real world traffic flow dynamics. By comparing with existing VSL control approaches, the proposed approach is demonstrated to have advantages in the following two aspects: (i) it alleviates the impact of model mismatch, which occurs in both model-based VSL control approaches and existing RL-based VSL control approaches, via replacing knowledge from the models by knowledge from the real process, and (ii) it significantly reduces the exploration and learning costs compared to existing RL-based VSL control approaches.","Data-driven approach; Freeway traffic control; Reinforcement learning; Variable speed limits","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:2016a004-76a9-491f-b8b9-89396e79eaa3","http://resolver.tudelft.nl/uuid:2016a004-76a9-491f-b8b9-89396e79eaa3","Astronomical forcing of meter-scale organic-rich mudstone–limestone cyclicity in the Eocene Dongying sag, China: Implications for shale reservoir exploration","Zhang, Jianguo (China University of Geosciences); Jiang, Zaixing (China University of Geosciences); Liang, Chao (China University of Petroleum (East China); Sinopec); Baars, T.F. (TU Delft Applied Geology); Wang, Y. (TU Delft Applied Geology); Abels, H.A. (TU Delft Applied Geology)","","2022","The middle Eocene Dongying sag in the Bohai Bay Basin of China has an estimated shale oil resource of approximately 1.1 billion t (8.06 billion bbl); flows of shale oil have been produced in the succession from tens of wells, where the daily production of a single well generally varies between 10 and 100 t (73.3–733 bbl). Therein, the mudrock successions composed of meter-scale mudstone–limestone couplets are the most important shale oil-producing layers. The controls on the deposition of the meter-scale mudstone–limestone couplets, however, remain enigmatic, constraining the analysis of lithofacies and, therefore, sweet spot distributions. Here, we analyze three continuously cored organic-rich successions of mudstone–limestone couplets (371 m [1217 ft] in total) in the middle Eocene Dongying sag, accompanied by decimeter- to meter-scale sampling and testing of mineralogy, organic geochemistry, and paleontology of the rocks. Our integrated cyclostratigraphic analysis shows that the observed mudstone–limestone couplets occur at periods that coincide with Milankovitch periodicities; 21-k.y. precession cycles are the main driver of the meter-scale mudstone–limestone couplets, with additional imprints of 41-k.y. obliquity cycles. Specifically, precession minima are associated with high summer insolation and consequently high summer monsoonal precipitation, which increased river discharge and terrigenous input to the basin, resulting in the deposition of siliciclastic-rich mudstones. In the study, low summer insolation during precession maxima led to decreased summer monsoonal precipitation, lower river discharge and terrigenous input, and increased lake water salinity, resulting in the deposition of authigenic lime mudstones. The shale reservoir quality kept pace with the orbital climate changes; compared with lime mudstones deposited during precession maxima, mudstones deposited during precession minima had higher total organic carbon, porosity, and oil content, but lower brittleness.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geology","","",""
"uuid:3fdf434c-b508-436a-befa-797a89e741b8","http://resolver.tudelft.nl/uuid:3fdf434c-b508-436a-befa-797a89e741b8","Joint Analysis and Reliability Test of Epoxy-Based Nano Silver Paste Under Different Pressure-Less Sintering Processes","Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd. Shanghai); Liu, Pan (Fudan University)","","2022","Recent years, the sintered silver paste was introduced and further developed for power electronics packaging due to low processing temperature and high working temperature. The pressure-less sintering technology reduces the stress damage caused by the pressure to the chip, improves reliability, and is widely applied in manufacturing. Currently, most existed studies are focused on alcohol-based sintered silver pastes while resins have been demonstrated to improve the bonding properties of solder joints. Hence, the performance and sintering mechanisms with epoxy-based silver paste need to be further explored. In this work, a methodology for multifactor investigation is settled on the epoxy-based silver paste to reveal the relationship between the strength and the different influence factors. We first analyzed the characteristics of commercialized epoxy-based silver paste samples, including silver content, silver particle size, organic composition, sample viscosity, and thermal conductivity. Samples were then prepared for shear tests and microstructure analysis under different pressure-less sintering temperatures, holding time, substrate surface, and chip size. Full factor analysis results were further discussed in detail for correlation. The influence factors were ranked from strong to weak as follows: sintering temperature, substrate surface, chip size, and holding time. Finally, a thermal cycling test was carried out for reliability analysis. Epoxy residues are one of the possible reasons, which result in shear strength decreasing exponentially.","epoxy-based nano silver paste; low-temperature joint technology; power electronic packaging; pressure-less sintering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:d604d2f4-2a01-40d6-9dde-2a6b4b77859f","http://resolver.tudelft.nl/uuid:d604d2f4-2a01-40d6-9dde-2a6b4b77859f","Discrete Element Analysis on Mechanical Properties of Ballast Bed by Tamping in Railway Turnout Areas","Chi, Yihao (Beijing Jiaotong University); Xiao, Hong (Beijing Jiaotong University); Zhang, Zhihai (Beijing Jiaotong University); Fang, Shuwei (Line Branch Company); Wang, Haoyu (TU Delft Mechanics and Physics of Structures)","","2022","Turnout is the key component of the railway tracks for trains to change direction, which is vital to operational safety and passenger comfort. Therefore, it is of great importance to perform a scientific and reasonable tamping operation for turnout areas. In this paper, based on the commercial software EDEM and RECURDYN, a coupled simulation model of the large machine tamping device-rail-sleeper-ballast bed in the turnout area is jointly established, and the correctness of the model is verified by the test results of the lateral resistance of the ballast bed. The influence of tamping operation on the macro-and micromechanical properties of ballast bed at the switching part of railway turnout areas is studied and recommendations for the optimization of tamping operation are proposed. The results show that in the squeezing stage, strong force chains are distributed concentrately under sleepers, where the distribution range is approximately elliptical with a depth of 150 mm. After tamping, only the 200-mm ballast under the sleepers is compacted, where the compactness is increased by 5.9%. On the contrary, the compactness of the ballast in the sleeper crib is reduced by 27.4%, which is the weakest part. To ensure favorable tamping quality, the tamping sequence at the switching part of railway turnout areas is suggested to be conducted in order of first through track and then diverging track.","ballast track; discrete element method (DEM); macro; micro mechanical properties; tamping operation; turnout","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechanics and Physics of Structures","","",""
"uuid:5a62e05f-c40f-42b7-8e0b-1e1e8825f3c0","http://resolver.tudelft.nl/uuid:5a62e05f-c40f-42b7-8e0b-1e1e8825f3c0","Spatial–temporal short-term traffic flow prediction model based on dynamical-learning graph convolution mechanism","Chen, Zhijun (Wuhan University of Technology); Lu, Zhe (Wuhan University of Technology); Chen, Qiushi (Wuhan University of Technology); Zhong, Hongliang (Wuhan University of Technology); Zhang, Yishi (Wuhan University of Technology); Xue, J. (TU Delft Safety and Security Science); Wu, Chaozhong (Wuhan University of Technology)","","2022","Short-term traffic flow prediction is a core branch of intelligent traffic systems (ITS) and plays an important role in traffic management. The graph convolution network (GCN) is widely used in traffic prediction models to efficiently handle the graphical structural data of road networks. However, the influence weights among different road sections are usually distinct in real life and are difficult to analyze manually. The traditional GCN mechanism, which relies on a manually set adjacency matrix, is unable to dynamically learn such spatial patterns during training. To address this drawback, this study proposes a novel location graph convolutional network (location-GCN). The location-GCN solves this problem by adding a new learnable matrix to the GCN mechanism, using the absolute value of this matrix to represent the distinct influence levels among different nodes. Subsequently, long short-term memory (LSTM) is employed in the proposed traffic prediction model. Moreover, trigonometric function encoding was used in this study to enable the short-term input sequence to convey long-term periodic information. Finally, the proposed model was compared with the baseline models and evaluated on two real-world traffic flow datasets. The results show that our model is more accurate and robust than the other representative traffic prediction models.","Deep learning; Graph convolution; Group vehicle movement prediction; Intelligent connected transportation; Short-term traffic flow prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:927f43b7-f0d5-4c4f-8ead-8fed09ae2c25","http://resolver.tudelft.nl/uuid:927f43b7-f0d5-4c4f-8ead-8fed09ae2c25","The impact of interventions in the built environment on physical activity levels: a systematic umbrella review","Zhang, Yufang (Rijksuniversiteit Groningen); Koene, Marijke (Rijksuniversiteit Groningen); Reijneveld, Sijmen A. (University Medical Center Groningen); Tuinstra, Jolanda (University Medical Center Groningen); Broekhuis, Manda (Rijksuniversiteit Groningen); van der Spek, S.C. (TU Delft Urban Design); Wagenaar, Cor (Rijksuniversiteit Groningen)","","2022","Physical activity is good for people’s health. The relationship between the built environment and physical activity has been well documented. However, evidence is both scarce and scattered on specific urban interventions, i.e., intentional redesigns of the built environment that promote physical activity accompanied by pre- and post-effect measurement. This umbrella review aims to synthesize the findings of systematic reviews focused on these urban interventions. We followed the PRISMA 2020 and JBI umbrella review protocol guidelines and searched seven databases covering the period between Jan 2010 and April 2022 using keywords relating to the built environment, health, physical activity, and interventions. This yielded seven systematic reviews, in which we identified several urban interventions that can promote physical activity. We found positive effects of urban interventions on physical activity regarding park renovations, adding exercise equipment, introducing a (new) pocket park, improving cycling environments, improving walking & cycling environments, as well as multi-component initiatives for active travel and enhancing the availability & accessibility of destinations. The findings suggest that the urban environment can effectively promote physical activity, especially by adding various facilities and destinations and by making the environment better suitable for active use.","Built environment; Physical activity; Public health; Review; Urban intervention","en","review","","","","","","","","","","","Urban Design","","",""
"uuid:667d438c-de8e-411b-84b6-7d9102118bb6","http://resolver.tudelft.nl/uuid:667d438c-de8e-411b-84b6-7d9102118bb6","Electrical characteristics and photodetection mechanism of TiO2/AlGaN/GaN heterostructure-based ultraviolet detectors with a Schottky junction","Zhan, Teng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sun, J. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Feng, Tao (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Yulong (Tsinghua University); Zhou, Binru (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Banghong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, Junxi (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Recent research focusing on wide-bandgap and two-dimensional materials with a Schottky junction has provided a new concept for ultraviolet photodetectors. However, the working mechanism of the Schottky junction-based detector varies depending on the photosensitive materials used and the device structure. We demonstrated a TiO2/AlGaN/GaN heterostructure-based photodetector with a Schottky junction, integrating an ultraviolet photosensitive TiO2 nanolayer, a two-dimensional electron gas (2DEG) field effect transistor, and a metal-semiconductor Schottky diode. The spectral response wavelength region of the detector is 200-365 nm and the peak responsivity is 37.396 A W−1 at −5 V bias under 240 nm UV illumination, respectively. Meanwhile, a peak photo-to-dark current ratio (PDCR) of 5.1 × 102 at −2 V bias voltage was observed under 274 nm UV irradiation. This Schottky-based 2DEG heterostructure detector can realize three dominant working principles: (i) the Schottky emission mechanism at a low reverse voltage (0-1 V) before the current is fully turned on, (ii) the Poole-Frenkel emission mechanism at a medium reverse voltage (−1 to −2 V) with peak PDCR, and (iii) the Fowler-Nordheim tunneling mechanism at a high reverse voltage (>−2 V) with a high responsivity. Continuous cycle response measurement results indicate that the detectors have good response repeatability and reliability. The characteristics of response wavelength, responsivity, and stability show that the detector can be used for several commercial applications, including sunscreen UV monitoring and LED sterilization light source detection.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Correction: https://doi.org/10.1039/D2TC04491A","","2023-06-19","","","Electronic Components, Technology and Materials","","",""
"uuid:ff11b52e-bb34-4d48-8390-e9bac667f856","http://resolver.tudelft.nl/uuid:ff11b52e-bb34-4d48-8390-e9bac667f856","Towards a computer-assisted Computational Thinking (CT) assessment system in higher education","Zhang, X. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2022","With the vision to promote CT to a wider group of audiences, this PhD project explores the formative assessment of CT skills in Programming Education to support students to learn CT skills in Higher Education. In this project, we plan to investigate the importance of CT in the context of Higher Education, explore the relationship between CT skills and programming skills, build a model to assess learners' CT skills and develop a computer-assisted assessment system with automated components to enhance students' CT competences in Higher Education. Mixed-method research methodologies will be employed in distinct phases of the project accordingly. A system which allows formative assessment of CT skills will be iteratively designed and constructed throughout the project. The outcome of the project should support the CT learning process, make CT more visible for people from diverse backgrounds and empower them with a CT mindset to embrace the digitalization of society.","Computational Thinking; Computer-Assisted Assessment; Educational Technology; Higher Education","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:c2eea506-3d5d-462d-ba94-267791f1ac10","http://resolver.tudelft.nl/uuid:c2eea506-3d5d-462d-ba94-267791f1ac10","Investigation of Potting Compounds on Thermal-Fatigue properties of Solder Interconnects","Du, L. (TU Delft Electronic Components, Technology and Materials); Zhao, Xiujuan (Signify); Watte, Piet (Signify); Poelma, René H. (Nexperia); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","The objective of this article is to investigate the thermal-fatigue properties of a commercially available lead-free solder alloy (SnBiAgCu) under the use of different types of potting compounds. Solder alloys with lower silver content are expected to substitute the conventional solder alloys SAC305 (Sn-3.0Ag-0.5Cu). First, the tensile behavior and creep behavior of the SnBiAgCu solder alloys were studied at three temperatures (25, 75, 125). Results show that this type of solder alloys presented higher tensile strength and creep deformation endurance than conventional SAC305 solder alloys. Second, a dynamic mechanical analysis was performed to get the storage modulus and glass transition temperature of three types of potting compounds, which were used in the thermal-fatigue simulation. Third, the experimentally determined material data was used for the averaged strain energy density increment calculated by the finite element method. This simulation approach was selected as damage metrics to evaluate solder interconnect reliability under different combinations of materials. It is found that the application of potting compounds will increase strain energy density significantly when compared with the strain energy density calculated without potting compound, which means that potting compounds will deteriorate the thermal-fatigue reliability of solder interconnects. These accurate data-driven simulation models can in the future form the basis for compact digital twins for predicting useful remaining lifetime.","creep; potting compounds; solder alloys; strain energy density; thermal-fatigue","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-09","","","Electronic Components, Technology and Materials","","",""
"uuid:1d0b2ab5-db5e-41ca-9194-44ae01d44b61","http://resolver.tudelft.nl/uuid:1d0b2ab5-db5e-41ca-9194-44ae01d44b61","Mechanism of short pitch rail corrugation: initial excitation and frequency selection for consistent initiation and growth","Li, Z. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2022","This paper proposes a new hypothesis for the formation process of short pitch rail corrugation. An FE wheel-track dynamic model is utilized to verify the hypothesis by reproducing corrugation initiation and consistent growth. It is found longitudinal compression modes are responsible for corrugation initiation with necessary initial excitation that allows flexibility for longitudinal vibration. Consistency between longitudinal compression and vertical bending eigenfrequencies of the wheel-track system is required for consistent corrugation growth, which also determines maximum corrugation amplitude. Corrugation initiates by frequency selection instead of wavelength fixing. The proposed mechanism can explain field observations including the wavelength and periodicity of corrugation in the Netherlands, why corrugation forms on continuously-supported tracks where pinned-pinned resonance does not exist, and the small variation between the corrugation wavelength and train speed.","consistent initiation and growth; frequency selection; initial excitation; rail longitudinal compression vibrations; Short pitch rail corrugation; wavelength fixing","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:93d66370-6b64-4477-9124-bda1ab6bb1fd","http://resolver.tudelft.nl/uuid:93d66370-6b64-4477-9124-bda1ab6bb1fd","Why So Toxic?: Measuring and Triggering Toxic Behavior in Open-Domain Chatbots","Si, Wai Man (CISPA Helmholtz Center); Backes, Michael (CISPA Helmholtz Center); Blackburn, Jeremy (Binghamton University State University of New York); De Cristofaro, Emiliano (University College London (UCL)); Stringhini, Gianluca (Boston University); Zannettou, S. (TU Delft Organisation & Governance); Zhang, Y. (CISPA Helmholtz Center)","","2022","Chatbots are used in many applications, e.g., automated agents, smart home assistants, interactive characters in online games, etc. Therefore, it is crucial to ensure they do not behave in undesired manners, providing offensive or toxic responses to users. This is not a trivial task as state-of-the-art chatbot models are trained on large, public datasets openly collected from the Internet. This paper presents a first-of-its-kind, large-scale measurement of toxicity in chatbots. We show that publicly available chatbots are prone to providing toxic responses when fed toxic queries. Even more worryingly, some non-toxic queries can trigger toxic responses too. We then set out to design and experiment with an attack, ToxicBuddy, which relies on fine-tuning GPT-2 to generate non-toxic queries that make chatbots respond in a toxic manner. Our extensive experimental evaluation demonstrates that our attack is effective against public chatbot models and outperforms manually-crafted malicious queries proposed by previous work. We also evaluate three defense mechanisms against ToxicBuddy, showing that they either reduce the attack performance at the cost of affecting the chatbot's utility or are only effective at mitigating a portion of the attack. This highlights the need for more research from the computer security and online safety communities to ensure that chatbot models do not hurt their users. Overall, we are confident that ToxicBuddy can be used as an auditing tool and that our work will pave the way toward designing more effective defenses for chatbot safety.","dialogue system; online toxicity; trustworthy machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Organisation & Governance","","",""
"uuid:7ce486f0-1c37-4fea-830e-72287af21b6e","http://resolver.tudelft.nl/uuid:7ce486f0-1c37-4fea-830e-72287af21b6e","Fabrication of a Nanosize Hematite Pigment via Waste Ferrous Sulfate Recycling Using Sulfur Reduction","Wang, Ye (Sichuan University); Ren, Genkuan (Yibin University); Jiang, Bing (Sichuan University); Yang, Lin (Sichuan University); Zhang, Zhiye (Sichuan University); Wang, Xinlong (Sichuan University); Zhong, Yanjun (Sichuan University); Chen, Z. (TU Delft (OLD) MSE-3); Yang, Xiushan (Sichuan University); Morita, Kazuki (University of Tokyo); Ma, Wenhui (Kunming University of Science and Technology)","","2022","Nanometer-sized hematite was prepared via a two-step process. In the first step, FeSO4·7H2O was oxidized to Fe2(SO4)3 by oxygen in an acidic solution. In the second step, the Fe2(SO4)3 was reduced to nanosize hematite with sulfur vapor at 550 °C. The hematite has good thermal stability up to 500 °C and good colloid stability in water-based paint. Its properties satisfy the requirements of the international standard ISO 1248-A-I-1-a for an iron oxide red pigment. Graphical abstract: [Figure not available: see fulltext.]","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","(OLD) MSE-3","","",""
"uuid:a12914c0-81b1-450c-a34a-acef2e1cff53","http://resolver.tudelft.nl/uuid:a12914c0-81b1-450c-a34a-acef2e1cff53","Resilience and resistance to the accumulation of amyloid plaques and neurofibrillary tangles in centenarians: An age-continuous perspective","Zhang, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam); Ganz, Andrea B. (Vrije Universiteit Amsterdam; Amsterdam UMC); Rohde, Susan (Vrije Universiteit Amsterdam; Amsterdam UMC); Rozemuller, Annemieke J.M. (Netherlands Institute for Neuroscience NIN - KNAW; Amsterdam UMC); Bank, Netherlands Brain (Netherlands Institute for Neuroscience NIN - KNAW); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics); Scheltens, Philip (Vrije Universiteit Amsterdam); Hulsman, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam); Hoozemans, Jeroen J.M. (Amsterdam UMC); Holstege, H. (TU Delft Intelligent Systems; Vrije Universiteit Amsterdam)","","2022","Introduction: With increasing age, neuropathological substrates associated with Alzheimer's disease (AD) accumulate in brains of cognitively healthy individuals—are they resilient, or resistant to AD-associated neuropathologies?. Methods: In 85 centenarian brains, we correlated NIA (amyloid) stages, Braak (neurofibrillary tangle) stages, and CERAD (neuritic plaque) scores with cognitive performance close to death as determined by Mini-Mental State Examination (MMSE) scores. We assessed centenarian brains against 2131 brains from AD patients, non-AD demented, and non-demented individuals in an age continuum ranging from 16 to 100+ years. Results: With age, brains from non-demented individuals reached the NIA and Braak stages observed in AD patients, while CERAD scores remained lower. In centenarians, NIA stages varied (22.4% were the highest stage 3), Braak stages rarely exceeded stage IV (5.9% were V), and CERAD scores rarely exceeded 2 (4.7% were 3); within these distributions, we observed no correlation with the MMSE (NIA: P = 0.60; Braak: P = 0.08; CERAD: P = 0.16). Discussion: Cognitive health can be maintained despite the accumulation of high levels of AD-related neuropathological substrates. Highlights: Cognitively healthy elderly have AD neuropathology levels similar to AD patients. AD neuropathology loads do not correlate with cognitive performance in centenarians. Some centenarians are resilient to the highest levels of AD neuropathology.","aging; Alzheimer's disease; centenarian; neuropathology; resilience; resistance","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:259442d8-cfc6-48e7-b548-8675e9695042","http://resolver.tudelft.nl/uuid:259442d8-cfc6-48e7-b548-8675e9695042","Graph Encryption for Shortest Path Queries with k Unsorted Nodes","Li, Meng (Hefei University of Technology); Gao, Jianbo (Hefei University of Technology); Zhang, Zijian (Beijing Institute of Technology); Fu, Chaoping (Huaqiao University); Lal, C. (TU Delft Cyber Security); Conti, M. (Università degli Studi di Padova)","","2022","Shortest distance queries over large-scale graphs bring great benefits to various applications, i.e., save planning time and travelling expenses. To protect the sensitive nodes and edges in the graph, a user outsources an encrypted graph to an untrusted server without losing the query ability. However, no prior work has considered the user requirement of the shortest path with k unsorted nodes. In particular, we are concerned with how to securely find the shortest path by passing k nodes that do not have a fixed traverse order. To solve the problems, we propose Gespun (stands for Graph encryption for shortest path queries with k unordered nodes). It includes an oracle encryption scheme that is provably secure against the semi-honest server. Specifically, we compute the shortest paths and distances for all nodes locally to obtain path-distance oracles. We transform the shortest paths to a sequence of secure codes by using a pseudo-random permutation to protect the structure privacy. We encrypt the shortest distance by using additively homomorphic encryption. Second, we pack the oracles in link-list nodes and store them in an array-based dictionary after another permutation. Next, we construct a search graph to compute the shortest path while guaranteeing that the path passes the required k nodes. We formally prove that Gespun is adaptively semantically-secure in the random oracle. We implement a prototype of Gespun and evaluate its performance. Experiments results demonstrate that Gespun is efficient, e.g., a query over 6301 nodes, 20777 edges, and 5 unsorted nodes only needs 483 ms to get queried results. We believe that our research problem span new research that soon promotes a new line of graph encryption schemes.","Graph encryption; Security; Shortest distance query; Unsorted nodes","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:a9df5797-1aa5-49b5-81b9-970b0887212b","http://resolver.tudelft.nl/uuid:a9df5797-1aa5-49b5-81b9-970b0887212b","Dual Access Control for Cloud-Based Data Storage and Sharing","Ning, Jianting (Fujian Normal University; Singapore Management University); Huang, Xinyi (Fujian Normal University); Susilo, Willy (University of Wollongong); Liang, K. (TU Delft Cyber Security); Liu, Ximeng (Fuzhou University); Zhang, Yinghui (Xi'An University of Posts and Telecommunications)","","2022","Cloud-based data storage service has drawn increasing interests from both academic and industry in the recent years due to its efficient and low cost management. Since it provides services in an open network, it is urgent for service providers to make use of secure data storage and sharing mechanism to ensure data confidentiality and service user privacy. To protect sensitive data from being compromised, the most widely used method is encryption. However, simply encrypting data (e.g., via AES) cannot fully address the practical need of data management. Besides, an effective access control over download request also needs to be considered so that Economic Denial of Sustainability (EDoS) attacks cannot be launched to hinder users from enjoying service. In this article, we consider the dual access control, in the context of cloud-based storage, in the sense that we design a control mechanism over both data access and download request without loss of security and efficiency. Two dual access control systems are designed in this article, where each of them is for a distinct designed setting. The security and experimental analysis for the systems are also presented.","access control; attribute-based encryption; cloud storage service; Cloud-based data sharing; Intel SGX","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:07b743e2-b5a5-4bae-97f3-60a98051acd2","http://resolver.tudelft.nl/uuid:07b743e2-b5a5-4bae-97f3-60a98051acd2","Mass and density determination of porous nanoparticle films using a quartz crystal microbalance","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","A method is presented to directly measure the mass output of an impaction printer coupled with a spark ablation generator. It is based on a quartz crystal microbalance and shown to be reliable in quantifying mass deposition rate. Here, the method is demonstrated with an Au nanoparticle aerosol synthesized under several spark ablation and deposition settings. Changes in the deposition rate in response to changed synthesis conditions follow the spark ablation models on generation rate made in previous studies, validating this novel measurement method. In combination with the volume of a deposit, a good estimate of the film porosity can be made. The Au nanoparticle films synthesized here have a low porosity of 0.18 due to extensive restructuring and compaction on impact with the substrate. The porosity is found to be insensitive to deposition settings and is constant throughout the film. The simplicity and low cost of a quartz crystal microbalance setup make this an accessible method to determine porosity in porous thin films.","gold; nanoparticles; porosity; porous films; quartz crystal microbalance; spark ablation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:b4d4f912-1b9c-4cdd-9175-0a3cf1e3a950","http://resolver.tudelft.nl/uuid:b4d4f912-1b9c-4cdd-9175-0a3cf1e3a950","Identification of Stop Criteria for Large-Scale Laboratory Slab Tests Using Digital Image Correlation and Acoustic Emission","Christensen, Christian Overgaard (Technical University of Denmark); Zhang, F. (TU Delft Concrete Structures); Zarate Garnica, G.I. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Goltermann, Per (Technical University of Denmark); Schmidt, Jacob Wittrup (Aalborg University)","","2022","Advanced monitoring methods are required to identify stop criteria in proof-load tests. In this study, the combined methodology of two-dimensional digital image correlation and acoustic emission is investigated for its applicability for future implementation in field tests. The two monitoring systems are deemed to provide valuable insight with external measurements from digital image correlation and internal measurements from acoustic emission. Two overturned T-section reinforced concrete slabs (0.37 × 1.7 × 8.4 m) tested under laboratory conditions are used for the assessment. The first slab test served as a preliminary test to enable sensor placement and creation of a relevant loading protocol. The main scientific results lead to a proposal for a test procedure using the combined methodology based on results, observations, and experiences from an individual stop criteria assessment for the two methods. The results include full-field plots, an investigation of the time of crack detection and monitoring of crack widths with digital image correlation, and a qualitative assessment of activity vs. load followed by a quantitative evaluation of calm ratios using acoustic emission. The individual results show that both digital image correlation and acoustic emission can identify damage occurrence earlier than other secondary methods. At crack detection (415 kN), crack widths were measured at widths between 0.078 mm to 0.125 mm and can be monitored until reaching the stop criterion at 463 kN (Eurocode SLS threshold of wmax = 0.2 mm). The acoustic emission results were limited by the pre-defined loading protocol and thus, only indicated that damage occurred sometime between 300 kN and 500 kN (pre-defined load levels). Therefore, the proposal for test procedure involves a methodology, where the loading protocol may be updated during testing based on monitoring results and thus provide even more valuable data.","Acoustic emission; Concrete slab bridges; Crack evaluation; Digital image correlation; Load cycles; Load history; Proof-loading; Repeated loading; Stop criteria","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:ea71550b-331a-467a-83d3-5ce03af4dd07","http://resolver.tudelft.nl/uuid:ea71550b-331a-467a-83d3-5ce03af4dd07","Corrigendum to “On the drug adsorption capacity of SBA-15 obtained from various detemplation protocols” [Mater. Lett. 131 (2014) 186–189] (Materials Letters (2014) 131 (186–189), (S0167577X14009859), (10.1016/j.matlet.2014.05.173))","Zhang, Zheng (Rijksuniversiteit Groningen); Santangelo, Diana L. (Rijksuniversiteit Groningen); ten Brink, Gert (Rijksuniversiteit Groningen); Kooi, Bart J. (Rijksuniversiteit Groningen); Moulijn, J.A. (TU Delft ChemE/Catalysis Engineering); Melián-Cabrera, Ignacio (Rijksuniversiteit Groningen; University of la Laguna, San Cristóbal de la Laguna)","","2022","The authors regret that the original graphical abstract contained an error by accident. In particular, the values of the OH density for the calcined SBA-15 and solvent-&-Fenton-treated SBA-15 were swapped. These values contradict the main message of the study. The values contained in the paper are however correct. In summary, the paper's data are correct but the graphical abstract contained swapped data leading to a contradiction. Below, an amended graphical abstract can be found, as well as in the online journal website. The authors would like to apologise for any inconvenience caused. [Figure presented]","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Catalysis Engineering","","",""
"uuid:56217175-b7d1-4379-a5e3-d7d97879ccc4","http://resolver.tudelft.nl/uuid:56217175-b7d1-4379-a5e3-d7d97879ccc4","Editorial: Antibiotics in Water: Impacts and Control Technologies","Zhang, Yongjun (Nanjing Tech University); Hu, Jiangyong (National University of Singapore); Nomngongo, Philiswa Nosizo (University of Johannesburg); Wang, Qilin (University of Technology Sydney); Spanjers, H. (TU Delft Sanitary Engineering)","","2022","","advanced oxidation; emerging organic contaminant; ozonation; pharmaceutical; transformation product","en","contribution to periodical","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a3612e3a-3b53-41c6-88cb-b6bd68e818f0","http://resolver.tudelft.nl/uuid:a3612e3a-3b53-41c6-88cb-b6bd68e818f0","Effect of Bio-oil on Rheology and Chemistry of Organosolv Lignin–Modified Bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Wang, Xuancang (Chang'an University); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2022","Lignin, one of the most abundant natural polymers, has been extensively studied as an additive in bituminous binders. Even though the lignin improves the overall resistance against oxidative aging of bitumen, it could lead to high thermal cracking sensitivity. In this study, a bio-oil (i.e., rapeseed oil) is implemented in lignin-modified bitumen to ameliorate characteristics, such as the resistance to fatigue and cracking. The long-term aging of bitumens formulated by different proportions of bio-oil was simulated by the pressure aging vessel (PAV) method. Fourier Transform Infrared Spectroscopic (FTIR) results demonstrated lignin has a remarkable antiaging effect, but adding bio-oil will slightly deteriorate the antiaging effect. The Brookfield rotational viscometer showed that the addition of bio-oil was able to reduce the binder’s viscosity observably, thereby improving the workability of bitumen. The frequency sweep tests revealed that lignin increased the stiffness and improved the thermal stability. Also, the multiple stress creep recovery tests corroborated that lignin significantly enhanced the rutting resistance of binders. The fatigue and thermal cracking properties of lignin-modified binder could be strengthened by increasing bio-oil content which is confirmed from both linear amplitude sweep, Glover-Rowe parameter, and Bending Beam Rheometer (BBR) tests. Moreover, the relaxation test results demonstrated that bio-oil decreased the residual stress ratio and relaxation time dramatically. Overall, this study has shown preliminary conclusions on the incorporating of bio-oil to enhance the medium-low temperature properties of lignin-modified binders. In the meantime, bio-oil did not interfere with the improvement influence of lignin on the neat bitumen regarding high-temperature performance.","Bio-oil; Chemistry; Fatigue; Lignin-modified bitumen; Rheology; Thermal cracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:a17e1e76-90a2-4db2-9e30-230155679c2a","http://resolver.tudelft.nl/uuid:a17e1e76-90a2-4db2-9e30-230155679c2a","Data-Driven Fault Diagnosis of Lithium-Ion Battery Overdischarge in Electric Vehicles","Gan, Naifeng (Beijing Institute of Technology); Sun, S.Z. (Beijing Institute of Technology); Zhang, Zhaosheng (Beijing Institute of Technology); Xu, Shiqi (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2022","The overdischarge can significantly degrade a lithium-ion (Li-ion) battery's lifetime. Therefore, it is important to detect the overdischarge and prevent severe damage of the Li-ion battery. Depending on the battery technology, there is a minimum voltage (cutoff voltage) that the battery is allowed to be discharged in common practice. Once the battery voltage is below the cutoff voltage, it is considered as overdischarge. However, overdischarge will not lead to immediate failure of the battery, and if it is not detected, the battery voltage can increase above the cutoff voltage during charging process. How to detect an overdischarge has happened, while the current voltage is larger than the cutoff voltage, thus becomes very challenging. In this article, a machine learning based two-layer overdischarge fault diagnosis strategy for Li-ion batteries in electric vehicles is proposed. The first layer is to detect the overdischarge by comparing the battery voltage with cutoff voltage, like what is utilized in common practice. If the battery voltage is larger than the cutoff voltage, the second layer, which is a detection approach based on eXtreme Gradient Boosting algorithm, is triggered. The second layer is employed to detect the previous overdischarge. The proposed method is validated by real electric vehicle data.","Electric vehicle (EVS); extreme gradient boosting (XGboost); fault diagnosis; lithium-ion battery (LIB); overdischarge","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:099b5b2f-ef8a-4593-bd85-8b188312a7b7","http://resolver.tudelft.nl/uuid:099b5b2f-ef8a-4593-bd85-8b188312a7b7","Few-shot Learning for Fine-grained Emotion Recognition using Physiological Signals","Zhang, T. (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Hanjalic, A. (TU Delft Intelligent Systems); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2022","Fine-grained emotion recognition can model the temporal dynamics of emotions, which is more precise than predicting one emotion retrospectively for an activity (e.g., video clip watching). Previous works require large amounts of continuously annotated data to train an accurate recognition model, however experiments to collect such large amounts of continuously annotated physiological signals are costly and time-consuming. To overcome this challenge, we propose an Emotion recognition algorithm based on Deep Siamese Networks (EmoDSN) which can rapidly converge on a small amount of training data, typically less than 10 samples per class (i.e., <10 shot). EmoDSN recognizes fine-grained valence and arousal (V-A) labels by maximizing the distance metric between signal segments with different V-A labels. We tested EmoDSN on three different datasets collected in three different environments: desktop, mobile and HMD-based virtual reality, respectively. The results from our experiments show that EmoDSN achieves promising results for both one-dimension binary (high/low V-A, 1D-2 C) and two-dimensional 5-class (four quadrants of V- A space + neutral, 2D-5 C) classification. We get an averaged accuracy of 76.04, 76.62 and 57.62% for 1D-2 C valence, 1D-2 C arousal, and 2D-5 C, respectively, by using only 5 shots of training data. Our experiments show that EmoDSN can achieve better results if we select training samples from the changing points of emotion or the ending moments of video watching.","emotion recognition; deep siamese network; physiological signals; small data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-04","","Intelligent Systems","Multimedia Computing","","",""
"uuid:fb775e78-6fb1-42cc-a604-283d5f0b5f35","http://resolver.tudelft.nl/uuid:fb775e78-6fb1-42cc-a604-283d5f0b5f35","Next-generation prognosis framework for pediatric spinal deformities using bio-informed deep learning networks","Tajdari, Mahsa (Northwestern University); Tajdari, F. (TU Delft Emerging Materials); Shirzadian, Pouyan (Virginia Tech); Pawar, Aishwarya (Purdue University); Wardak, Mirwais (Stanford University School of Medicine); Saha, Sourav (Northwestern University); Huysmans, T. (TU Delft Applied Ergonomics and Design); Song, Y. (TU Delft Emerging Materials); Zhang, Yongjie Jessica (Carnegie Mellon University)","","2022","Predicting pediatric spinal deformity (PSD) from X-ray images collected on the patient’s initial visit is a challenging task. This work builds on our previous method and provides a novel bio-informed framework based on a mechanistic machine learning technique with dynamic patient-specific parameters to predict PSD. We provide a geometry-based bone growth model that can be utilized in a range of applications to enhance the bio-informed mechanistic machine learning framework. The proposed technique is utilized to examine and predict spine curvature in PSD cases such as adolescent idiopathic scoliosis. The best fit of a segmented 3D volumetric geometry of the human spine acquired from 2D X-ray images is employed. Using an active contour model based on gradient vector flow snakes, the anteroposterior and lateral views of the X-ray images are segmented to derive the 2D contours surrounding each vertebra. Using minimal user input, the snake parameters are calibrated and automatically computed over the dataset, resulting in fast image segmentation and data collection. The 2D segmented outlines of each vertebra are transformed into a 3D image segmentation result. The Iterative Closest Point mesh registration technique is then used to establish a mesh morphing approach and creates a 3D atlas spine model. Using the comprehensive 3D volumetric model, one can automatically extract spinal geometry data as inputs to the mechanistic machine learning network. Moreover, the proposed bio-informed deep learning network with the modified bone growth model achieves competitive or even superior performance against other state-of-the-art learning-based methods.Please check and confirm if the author names and initials are correct for “Yongjie Jessica Zhang” and “Wing Kam Liu”.We confirm they are correct.","3D reconstruction; Adolescent idiopathic scoliosis; Dynamic model; Mechanistic bio-informed machine learning; Mesh registration; Pediatric spinal deformity; X-ray images","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Emerging Materials","","",""
"uuid:5d6862d7-7459-40cb-9d8e-6f96aa54ac6e","http://resolver.tudelft.nl/uuid:5d6862d7-7459-40cb-9d8e-6f96aa54ac6e","Outlook: From Physics of Failure to Physics of Degradation","van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); Fan, X. J. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","van Driel, Willem Dirk (editor); Mehr, Maryam Yazdan (editor)","2022","In the foregoing chapters, the reliability of organic compounds in microelectronics and optoelectronics was discussed. It provided a state of the art in reliability concepts for materials used in electronic products. It also enlightened the direction in reliability concepts for these products. In this chapter, we discuss the outlook where we envision that physics of failure will be replaced by physics of degradation. New technologies, like health monitoring and digital twins, are needed to make this foreseen shift in reliability concepts.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-28","","","Electronic Components, Technology and Materials","","",""
"uuid:b6388a80-c229-408e-b5ce-306f64b9b72a","http://resolver.tudelft.nl/uuid:b6388a80-c229-408e-b5ce-306f64b9b72a","Degradation and Failures of Polymers Used in Light-Emitting Diodes","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","van Driel, Willem Dirk (editor); Mehr, Maryam Yazdan (editor)","2022","In this chapter, degradation mechanisms of optical materials, used in the light-emitting diode (LED)-based products, are explained. This chapter aims at describing the service conditions on the degradation mechanisms of different organic optical materials in LEDs which lead to the color shift of the light output. The contributions of different degradation mechanisms of optical and package materials in LED-based products to color shift are thoroughly explained.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-28","","","Electronic Components, Technology and Materials","","",""
"uuid:1866962d-4162-405f-a161-122bb9bd8900","http://resolver.tudelft.nl/uuid:1866962d-4162-405f-a161-122bb9bd8900","A Finite Element Thermomechanical Analysis of Polygonal Wear","He, C. (TU Delft Railway Engineering); Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Naeimi, M. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","Orlova, Anna (editor); Cole, David (editor)","2022","Polygonal wear is a common type of damage on the railway wheel tread, which could induce wheel-rail impacts and further components failure. This study presents a finite element (FE) thermomechanical model to investigate the causes of wheel polygonal wear. The FE model is able to cope with three possible causes of polygonal wear: thermal effect, initial defects, and structural dynamics. To analyse the influences of the three causes on wheel-rail contact stress and wear depth, different material properties (i.e., elastic, elasto-plastic, thermo-elasto-plastic with thermal softening), and wheel profiles (i.e., round and polygonal) were used in the FE model. The simulation indicates that a high temperature up to 264.20 ℃ could be induced by full-slip wheel-rail rolling contact when the polygonal profile is used. The thermal effect, similar to that induced by tread brake, may then have a significant influence on wheel-rail contact stress and wear depth. In addition, the involvement of initial defects, i.e., polygonal profile, causes wheel-rail impact contact and remarkably increases the contact stress and wear. By reliably considering all the three possible causes, the proposed FE model is believed promising for further explaining the generation mechanisms of wheel polygonal wear.","Finite element model; Initial defects; Polygonal wear; Thermal effect","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:22fdbb02-3230-4ed6-914c-146ee922d0a0","http://resolver.tudelft.nl/uuid:22fdbb02-3230-4ed6-914c-146ee922d0a0","Elderly Care - Human Activity Recognition Using Radar with an Open Dataset and Hybrid Maps","Zhang, Xinyu (University of Glasgow; University of Electronic Science and Technology of China); Abbasi, Qammer H. (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (Observatoire de Paris); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China)","Ur Rehman, Masood (editor); Zoha, Ahmed (editor)","2022","Population ageing has become a severe problem worldwide. Human activity recognition (HAR) can play an important role to provide the elders with in-time healthcare. With the advantages of environmental insensitivity, contactless sensing and privacy protection, radar has been widely used for human activity detection. The micro-Doppler signatures (spectrograms) contain much information about human motion and are often applied in HAR. However, spectrograms only interpret magnitude information, resulting in suboptimal performances. We propose a radar-based HAR system using deep learning techniques. The data applied came from the open dataset “Radar signatures of human activities” created by the University of Glasgow. A new type of hybrid map was proposed, which concatenated the spectrograms amplitude and phase. After cropping the hybrid maps to focus on useful information, a convolutional neural network (CNN) based on LeNet-5 was designed for feature extraction and classification. In addition, the idea of transfer learning was applied for radar-based HAR to evaluate the classification performance of a pre-trained network. For this, GoogLeNet was taken and trained on the newly-produced hybrid maps. These initial results showed that the LeNet-5 CNN using only the spectrograms obtained an accuracy of 80.5%, while using the hybrid maps reached an accuracy of 84.3%, increasing by 3.8%. The classification result of transfer learning using GoogLeNet was 86.0%.","Convolutional neural network; Human activity recognition; Hybrid maps; Micro-Doppler; Radar; Transfer learning","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c1df60a5-07da-4513-a5d4-f57cc7dd05ce","http://resolver.tudelft.nl/uuid:c1df60a5-07da-4513-a5d4-f57cc7dd05ce","Repetitive, Oblivious, and Unlinkable SkNN Over Encrypted-and-Updated Data on Cloud","Li, Meng (Hefei University of Technology); Zhang, Mingwei (Hefei University of Technology); Gao, Jianbo (Hefei University of Technology); Lal, C. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova); Alazab, Mamoun (Charles Darwin University)","Alcaraz, Cristina (editor); Chen, Liqun (editor); Li, Shujun (editor); Samarati, Pierangela (editor)","2022","Location-Based Services (LBSs) depend on a Service Provider (SP) to store data owners’ geospatial data and to process data users’ queries. For example, a Yelp user queries the SP to retrieve the k nearest Starbucks by submitting her/his current location. It is well-acknowledged that location privacy is vital to users and several prominent Secure k Nearest Neighbor (SkNN) query processing schemes are proposed. We observe that no prior work addresses the requirement of repetitive query after index update and its privacy issue, i.e., how to match a data item from the cloud repetitively in an oblivious and unlinkable manner. Meanwhile, a malicious SP may skip some data items and recommend others due to unfair competition. In this work, we formally define the repetitive query and its privacy objectives and present an Repetitive, Oblivious, and Unlinkable SkNN scheme ROU. Specifically, we design a multi-level structure to organize locations to further improve search efficiency. Second, we integrate data item identity into the framework of existing SkNN query processing. Data owners encrypt their data item identity and location information into a secure index, and data users encrypt a customized identity range of a previously retrieved data item and location information into a token. Next, the SP uses the token to query the secure index to find the specific data item via privacy-preserving range querying. We formally prove the privacy of ROU in the random oracle model. We build a prototype based on a server to evaluate the performance with a real-world dataset. Experimental results show that ROU is efficient and practical in terms of computational cost, communication overhead, and result verification.","Cloud computing; Privacy; Repetitive query; SkNN","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Cyber Security","","",""
"uuid:057dfa44-ae59-4312-a17e-7a148b7828da","http://resolver.tudelft.nl/uuid:057dfa44-ae59-4312-a17e-7a148b7828da","Tensile characterization and constitutive modeling of sintered nano-silver particles over a range of strain rates and temperatures","Qian, Cheng (Fudan University); Gu, Tijian (Hohai University); Wang, Ping (Hohai University); Cai, Wei (Hohai University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo; Lamar University)","","2022","Sintered nano-silver die-attach materials have been widely used in high-power electronics packaging because of their high thermal and electrical conductivities. In this study, we characterized the tensile properties of sintered nano-silver particles over a range of strain rates and temperatures, and established the constitutive models. First, 50 nm nano-silver particles were sintered at 275 °C for 50 min as test samples, and their tensile tests were conducted under a dynamic thermomechanical analyzer (DMA Q800) and an IBTC 300SL in-situ mechanical test system respectively with different strain rates and ambient temperatures. Then, both Anand and variable-order fractional models (VoFM) were adopted to analyze the obtained stress-strain data and we studied their fitting accuracy and applicability. The results showed that: (1) The Young's modulus of the sintered nano-silver particles decreased with increasing temperature. In addition, the tensile strengths declined under lower strain rates and higher temperature conditions; (2) both the Anand model and VoFM characterized the tensile stress-strain properties of the sintered nano-silver material well. Compared to the Anand model, the VoFM utilized a simpler formula with fewer parameters and higher precision.","Power electronics packaging; Nano-silver sintering; Constitutive model; Anand model; Variable-order fractional model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:95b8d6b1-a51c-4457-be74-85b87d856fd7","http://resolver.tudelft.nl/uuid:95b8d6b1-a51c-4457-be74-85b87d856fd7","A 23.8–30.4-GHz Vector-Modulated Phase Shifter With Two-Stage Current-Reused Variable-Gain Amplifiers Achieving 0.23° Minimum RMS Phase Error","Zhang, L. (TU Delft Electronics); Shen, Y. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2022","class=""MsoNormal"">This letter presents a millimeter-wave (mm-wave) vector-modulated phase shifter (VMPS) for phased-array applications. To improve the phase-shift accuracy without drastically increasing design complexity, the proposed VMPS structure employs variable-gain amplifiers (VGAs) that offer 2× better resolution at their low-gain states compared to their high-gain states. A two-stage current-reused structure is also proposed to implement the desired VGAs with minimal layout complexity, negligible gain penalty, and no extra power. Moreover, the proposed VMPS can maintain its phase-shift accuracy even at lower voltage gains. Fabricated in 40-nm CMOS, the prototype core consumes 11 mW from a 1.1-V supply and occupies a core area of 0.19 mm2. At 28 GHz, with a phase resolution of 0.61°, the measured RMS phase error is 0.23° at the maximum gain and remains <0.5∘ at 9-dB gain back-off. With a fixed set of VGA’s codewords, the RMS phase error and gain variation error are, respectively, lower than 1° and 0.24-dB over a bandwidth of 23.8–30.4 GHz.","Active phase shifter; millimeter-wave (mm-wave); phased-array systems; two-step variable gain amplifier; vector-modulator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:ff096452-d159-4e54-bd91-7833c6e2d06c","http://resolver.tudelft.nl/uuid:ff096452-d159-4e54-bd91-7833c6e2d06c","Systematic decomposition of sequence determinants governing CRISPR/Cas9 specificity","Fu, Rongjie (The University of Texas MD Anderson Cancer Center); He, Wei (The University of Texas MD Anderson Cancer Center); Dou, Jinzhuang (The University of Texas MD Anderson Cancer Center); Villarreal, Oscar D. (The University of Texas MD Anderson Cancer Center); Bedford, Ella (The University of Texas MD Anderson Cancer Center); Wang, Helen (The University of Texas MD Anderson Cancer Center); Hou, Connie (The University of Texas MD Anderson Cancer Center); Zhang, Liang (The University of Texas MD Anderson Cancer Center); Depken, S.M. (TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft)","","2022","The specificity of CRISPR/Cas9 genome editing is largely determined by the sequences of guide RNA (gRNA) and the targeted DNA, yet the sequence-dependent rules underlying off-target effects are not fully understood. To systematically explore the sequence determinants governing CRISPR/Cas9 specificity, here we describe a dual-target system to measure the relative cleavage rate between off- and on-target sequences (off-on ratios) of 1902 gRNAs on 13,314 synthetic target sequences, and reveal a set of sequence rules involving 2 factors in off-targeting: 1) a guide-intrinsic mismatch tolerance (GMT) independent of the mismatch context; 2) an “epistasis-like” combinatorial effect of multiple mismatches, which are associated with the free-energy landscape in R-loop formation and are explainable by a multi-state kinetic model. These sequence rules lead to the development of MOFF, a model-based predictor of Cas9-mediated off-target effects. Moreover, the “epistasis-like” combinatorial effect suggests a strategy of allele-specific genome editing using mismatched guides. With the aid of MOFF prediction, this strategy significantly improves the selectivity and expands the application domain of Cas9-based allele-specific editing, as tested in a high-throughput allele-editing screen on 18 cancer hotspot mutations.","","en","journal article","","","","","","","","","","BN/Bionanoscience","","","",""
"uuid:479b71c1-a006-4d9a-abda-b000a7711899","http://resolver.tudelft.nl/uuid:479b71c1-a006-4d9a-abda-b000a7711899","Short-term Structural Performance of Self-monitoring Composite Marine Propellers","Zhang, X. (TU Delft Aerospace Structures & Computational Mechanics)","Kaminski, M.L. (promotor); Pahlavan, Lotfollah (promotor); Delft University of Technology (degree granting institution)","2021","As a primary component of the marine propulsion systems, ship propellers have been traditionally made of nickel-aluminium-bronze (NAB) or manganese bronze (MB). With the development of fibre reinforced composite materials, the advanced plastic materials are considered to be applied in the manufacturing of marine propellers. Compared to conventional rigid propellers, the composite marine propellers are expected to possess advantages of lighter weight, lower maintenance costs, higher cavitation inception speed, declined acoustic signature, and improved efficiency at off-design conditions. These potential benefits have promoted numerical and (fewer) experimental investigations of composite marine propellers. This supports the promising future of their applications, however, a more profound understanding of the underlying mechanical properties of composite marine propellers is essential before they find a wider use in practical applications in engineering.","marine propellers; Composite laminates; fatigue; hot-spots; ultimate tensile strength; tensile stiffness; embedded sensors; Piezoelectric; bending test; Progressive failure analysis; flexural stiffness; failure mode","en","doctoral thesis","","978-94-6384-273-0","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:8fa25bbb-a024-4769-b90e-a4907c9366f0","http://resolver.tudelft.nl/uuid:8fa25bbb-a024-4769-b90e-a4907c9366f0","Case-driven category analysis of 3D building property","Ying, Shen (Wuhan University); Sun, Hanrui (Wuhan University); Li, Chengpeng (Wuhan University); Zhang, Wenting (Wuhan University); Wang, Meng (Wuhan University); Zhan, Yong (Chongqing Survey Institute); Zhang, Yu (Guangzhou Urban Planning Survey Design & Research Institute); Chen, Xueye (Key Laboratory of Urban Land Resources Monitoring and Simulation)","","2021","Many immigrants from rural areas pour into urban areas, especially in China, and urban development faces the challenges to provide sufficient space for citizens’ acommodation, works and social contacts. So 3D development and use of land and city space become the reality with various complex buildings and constructions with modern architecture and construction techniques. Many underground constructions like mall and subway with stations are built without clear impression comparing to the above reality scenes. Also many buildings above the earth surface show their special characters with either air building / overpass / viadust or “strange” shapes getting our attentions; but actually they bring new challenges of spatial management to handle the 3D property unit, either in describing their relations with reference to earth surface or in performing administrative processes in transactions of planning, approval and management. From the real cases of buildings or 3D property, this paper first details the relations between 3D properties and earth surface, the relative location relations between 3D building properties and earth surface form, and the correspondence between 3D building properties and surface parcel, then classifies the category according to these three profiles, which would promote the understandings of complex buildings and 3D building properties and would enhance the descriptions and the segmentation of 3D building properties.","spatial relationship; 3D cadastre; building property; ground level; earth surface; location","en","conference paper","","","","","","","","","","","","","",""
"uuid:4ed9de9b-f351-4319-ba64-5114f78c5df4","http://resolver.tudelft.nl/uuid:4ed9de9b-f351-4319-ba64-5114f78c5df4","Spin dynamics with the interplay of elasticity and radiation in hybrid systems","Zhang, Xiang (TU Delft QN/Blaauboer Group)","Blanter, Y.M. (promotor); Blaauboer, M. (copromotor); Delft University of Technology (degree granting institution)","2021","Originated from the electron’s intrinsic angular momentum, magnetism has endowed various manipulations in both macroscopic and microscopic setups with another degree of freedom. Beyond the traditionally developed usage such as storage and sensors, there are enormous applications based on engineering and integrating magnetism into heterostructures and their susceptibility to external stimuli. The emergent fields of nano-level spintronics and spin caloritronics with novel properties have been intensively studied both theoretically and experimentally. Within those developments, the interaction of atomic spins with electromagnetic waves (photons) and elastic dynamics (phonons) are of fundamental importance. This thesis is devoted to investigating the interplay of magnetism with electrodynamics and lattice elasticity in several hybrid systems.","Magnetism; Magnon-phonon coupling; Magnon-photon coupling; Spin waves; phase transition.","en","doctoral thesis","","","","","","","","","","","QN/Blaauboer Group","","",""
"uuid:87157260-be24-490c-bb22-fad28e2e1c20","http://resolver.tudelft.nl/uuid:87157260-be24-490c-bb22-fad28e2e1c20","FET-based integrated charge sensing in a MEM organ-on-chip platform","Aydogmus, H. (TU Delft Electronic Components, Technology and Materials); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Galiti, A-D. (Student TU Delft); Hu, M.H.Y. (Leiden University Medical Center); Frimat, J-P. (Leiden University Medical Center); van den Maagdenberg, A.M.J.M. (Leiden University Medical Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2021","","","en","poster","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:10562ad6-ef0c-417c-9c89-f9668cc8eba6","http://resolver.tudelft.nl/uuid:10562ad6-ef0c-417c-9c89-f9668cc8eba6","On the formation mechanisms and properties of MAX phases: A review","Zhang, Z. (TU Delft Novel Aerospace Materials; Harbin Institute of Technology); Duan, X. (TU Delft OLD Surface and Interface Engineering; Harbin Institute of Technology); Jia, Dechang (Harbin Institute of Technology); Zhou, Y. (TU Delft PLD Infra & construction; Harbin Institute of Technology); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2021","MAX phases are a family of ternary carbide or nitride ceramics possessing a layered crystal structure and, due to their chemical bonds having a mixed covalent-ionic-metallic nature, have unique properties combining those of metals and ceramics. In this review, the formation mechanisms of MAX phases from elemental and compound powders are reviewed in detail, as the formation mechanisms are closely related to the unique properties of well-synthesized MAX phases. The stability of MAX phases in some harsh external environments is significantly influenced by the defect population, allowing the mechanisms of defect formation and migration to strongly influence their self-healing performance and radiation tolerance. The properties of MAX phases can be tailored by creating solid solutions, which have lattice distortions, and texturing which results in the preferential orientation of plate-like grains.","Formation mechanisms; MAX phases; Point defects; Solid solutions; Texture","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-13","","","Novel Aerospace Materials","","",""
"uuid:1e3c53db-f9e2-4881-9b6f-0d283ddcac29","http://resolver.tudelft.nl/uuid:1e3c53db-f9e2-4881-9b6f-0d283ddcac29","Analysis of nonlinear ship-induced 3d wave fields using nonlinear fourier transforms","Zhang, H. (Delft University of Technology, Netherlands); Wahls, S. (Delft University of Technology, Netherlands); Brühl, M. (Delft University of Technology, Netherlands)","","2021","In the past decade, observations in the German estuaries such as the rivers Elbe and Weser show increasingly serious damage to bank protection structures (groins and revetments). This damage is caused mainly by waves induced by the passing of big container ships in the shallow and narrow maritime waterways. These ship-induced 3D wave fields consist of long-periodic primary and short-periodic secondary wave components. Due to missing design approaches for the load of long-period waves on rubble-mound revetments, the current risk assessment for protective structures in maritime waterways is based on short-period, wind-induced waves. Therefore, the structures do not ensure sufficient stability against the long-period ship-induced wave loads within the estuaries. Within the research project “Parameterization of nonlinear ship-induced 3D wave fields for the hydraulic design of protective structures in maritime waterways (PaNSiWa)”, we apply nonlinear Fourier transforms (NFTs) on experimentally generated ship waves in maritime waterways. The objective of the project is to provide better understanding of the underlying nonlinear structure of the long-period primary waves and to separate the nonlinear spectral basic components within the ship-wave data from their nonlinear wave-wave interactions. In this paper, we present first analyses of the decomposition of ship-wave measurements from experimental tests and the identification of hidden solitons within the long-period primary ship wave.","Estuarine processes, fine sediments and vegetation; Coastal hydrodynamics (waves, tides and surges)","en","conference paper","","","","","","","","","","","","","",""
"uuid:c8c3b6c0-bd5d-4964-85f5-bf6766544279","http://resolver.tudelft.nl/uuid:c8c3b6c0-bd5d-4964-85f5-bf6766544279","Potential application of MSWI bottom ash as substitute material in Portland cement concrete: Filler or binder","Sun, Yubo (Delft University of Technology, the Netherlands); Chen, Boyu (Delft University of Technology, the Netherlands); Zhang, Shizhe (Delft University of Technology, the Netherlands); Blom, Kees (Ingenieursbureau Gemeente Rotterdam, the Netherlands); Luković, Mladena (Delft University of Technology, the Netherlands); Ye, Guang (Delft University of Technology, the Netherlands)","","2021","In recent years, the rapid industrialization and urbanization led to the explosive growth of municipal solid waste incineration (MSWI) bottom ashes (BA) production. However, most of them are directly landfilled, which not only brings environmental burden but also results in loss of potential resources. Present researches have proved that MSWI BA could be utilized as a replacement in Portland cement concrete. However, several drawbacks such as volume expansion, leaching behaviour, and relatively lower strength have been reported. In this study, as-received BA was pretreated to remove the metallic aluminium which is responsible for the hydrogen-induced expansion when blended in OPC concretes. Subsequently, the treated BA samples were used as a substitution for cement at the replacement level of 10%. Micronized sand (M300) was selected as reference materials to investigate the role of treated BA in blended cement system, either as filler or binder material. In the experimental program, the hydration process of different mixtures was monitored by isothermal calorimeter and hydration products were determined by X-ray diffraction (XRD) and Thermalgravimetric analysis (TGA). Results showed that the pretreatment effectively removed the metallic aluminum in BA and no severe expansion or strength decrement were detected. The treated BA showed limited reactivity comparing with Portland cement, however, it still worked better than micronized sand as a filler substitution.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e7b360c1-fdb9-47c5-8f2f-486da3b44796","http://resolver.tudelft.nl/uuid:e7b360c1-fdb9-47c5-8f2f-486da3b44796","Strain hardening behaviour of PVA fibre reinforced geopolymer composites","Wang, Yi (University College London, UK); Zhang, Mingzhong (University College London, UK)","","2021","Strain hardening geopolymer composites (SHGC) as a tailored group of fibre reinforced geopolymer composites are featured by large tensile strain capacity with multiple micro-cracks. This paper experimentally investigates the strain hardening behaviour of SHGC in terms of flexural stress-deflection response, failure mode and cracking, flexural strength and toughening mechanisms. SHGC specimens were prepared using fly ash and slag with a weight ratio of 80:20 as the binder, a combination of NaOH and Na2SiO3 as the alkaline activator with the activator/binder ratio of 0.4. The effects of PVA fibre content (0, 1%, 1.5%, and 2%) and microsilica sand content (0, 10%, 20%, 30%, and 40%) on strain hardening behaviour of SHGC specimens were estimated. The toughening mechanisms (fibre bridging, rupture, and pull-out) and features of micro-cracks (number and width) distributed over the tensile side of specimens under four-point bending were characterised using digital microscope. Results indicate that all fibre reinforced specimens exhibited strain hardening behaviour with large deflection and multiple micro-cracks. The addition of PVA fibres up to 2% improved the strain hardening behaviour of SHGC and increased the flexural strength by 126% compared to SHGC specimen without fibre because of fibre bridging effect. The incorporation of microsilica sand up to 20% enhanced the flexural strength by 38.3% but further adding sand to 40% reduced the flexural strength of SHGC specimens. Overall, the SHGC specimen containing 2% PVA fibre and 20% micro-silica sand achieved the optimum strain hardening behaviour.","Toughness; Alkali-activated materials; Engineered geopolymer composites; Fibre reinforced concrete; Flexural behaviour","en","conference paper","","","","","","","","","","","","","",""
"uuid:67fab94a-aea0-476f-98ad-d43c19aca3c7","http://resolver.tudelft.nl/uuid:67fab94a-aea0-476f-98ad-d43c19aca3c7","Research on mechanical properties and micromechanism of graphene cement-based composites","Zhai, Shengtian (Southeast University, China); Zhang, Yunsheng (Southeast University, China)","","2021","Graphene cement-based composites have become a hot topic in current research because of its superior comprehensive performance. In this work, incorporation of different content of graphene in cement-based materials can significantly improve its compressive and flexural strength. Meanwhile hydration heat with different graphene content and times was studied by TAM Air, while porosity and pore size with different graphene content were studied by MIP. Indeed, micro-mechanism of graphene cement-based was studied by SEM. The results show that when graphene content is 2.00 wt%, its mechanical properties are the best, and compressive and flexural strength were increased by 29% and 35% respectively in 28 d compared with standard sample. Morever, not only the porosity in the sample is reduced, but also the pore size is refined. Meanwhile the strong nucleation effect of graphene promotes the hydration of cement, but does not change the type of hydration products. In addition, SEM images show that the content of graphene reaches the percolation threshold, the conductive network is formed in the sample, and the distance between graphene particles is closer, and the function improvement is more obvious.","Micro-mechanism; Graphene; Cement Based; Mechanical Property","en","conference paper","","","","","","","","","","","","","",""
"uuid:7cfb0502-c736-42c3-a006-578372831fde","http://resolver.tudelft.nl/uuid:7cfb0502-c736-42c3-a006-578372831fde","Chloride diffusion of alkali-activated fly ash/slag concrete","Zhang, Jingxiao (Guangzhou University, China - University of Southern Queensland, Australia); Ma, Yuwei (Guangzhou University, China - University of Southern Queensland, Australia); Zheng, Jiazheng (Guangzhou University, China)","","2021","The widespread application of alkali-activated fly ash/slag (AAFS) concrete requires satisfaction of a series of performance criteria both from its early age properties (e.g. workability, strength) and long-term stability. In this study, long-term (till 180 days) natural chloride diffusion tests were conducted to evaluate the chloride diffusion in AAFS concretes prepared with different slag content, water-binder (w/b) ratio, alkali content, and sandaggregate ratio. The results revealed that the free chloride diffusion coefficient (Df) of AAFS concretes was between 0.4-1.8×10-12 m2/s. The slag content and w/b were found as dominant parameters affecting the long-term chloride transport in AAFS concretes, while the sandaggregate ratio presented a limited effect. MIP results indicated that capillary pores in AAFS reached percolation and became disconnected after 180 days. The long-term chloride diffusivity of AAFS concretes was closely related to the threshold pore diameter and volume of pores > 5 nm. The more larger pores, the higher chloride diffusion coefficient was.","Pore structure; Alkali-activated concrete; Natural chloride diffusion tests; Chloride diffusion coefficient","en","conference paper","","","","","","","","","","","","","",""
"uuid:a4ad3445-6f2e-4283-9e2c-81a057ef6b1d","http://resolver.tudelft.nl/uuid:a4ad3445-6f2e-4283-9e2c-81a057ef6b1d","Mitigating the autogenous shrinkage of alkali-activated slag by internal curing","Li, Zhenming (Delft University of Technology, the Netherlands); Wyrzykowski, Mateusz (Empa, Swiss Federal Laboratories for Materials Science and Technology, Switzerland); Dong, Hua (Delft University of Technology, the Netherlands); Zhang, Shizhe (Delft University of Technology, the Netherlands); Lura, Pietro (Empa, Swiss Federal Laboratories for Materials Science and Technology, Switzerland - ETH Zurich, Switzerland); Ye, Guang (Delft University of Technology, the Netherlands - Ghent University, Belgium)","","2021","Alkali activated slag (AAS) has shown promising potential to replace ordinary Portland cement as a binder material. Synthesized from industrial by-products, AAS can show high strength, thermal resistance and good durability. However, AAS has been reported to exhibit high autogenous shrinkage. Autogenous shrinkage is a critical issue for building materials since it can induce micro- or macro-cracking when the materials are under restrained conditions. Hence, this work aims at mitigating the autogenous shrinkage of AAS by means of internal curing. The influences of internal curing on microstructure formation and autogenous shrinkage are investigated. The results show that internal curing provided by superabsorbent polymers is a promising way to reduce the autogenous shrinkage of AAS.","superabsorbent polymers (SAP); Internal curing, autogenous shrinkage; alkali-activated materials; slag","en","conference paper","","","","","","","","","","","","","",""
"uuid:2fb4383e-a146-4cad-bca1-48d5ec8787f0","http://resolver.tudelft.nl/uuid:2fb4383e-a146-4cad-bca1-48d5ec8787f0","Assessing properties of hydrating cement paste using X-ray computed tomography characterization","Zhang, H. (Delft University of Technology, The Netherlands - Shandong University, China); Schlangen, E. (Delft University of Technology, The Netherlands); Ge, Z. (Shandong University, China); Šavija, B. (Delft University of Technology, The Netherlands)","","2021","Properties of concrete are, to a large extent, dependent on the properties of its binding constituent, hydrated cement paste. Therefore, knowledge of properties of hydrated cement paste is crucial for predicting concrete behaviour. This paper presents an experimentally informed approach for modelling elastic and transport properties of cement paste. The models used realistic microstructural information-obtained by X-ray computed tomography-as input for property determination. The properties were then determined using discrete numerical models, namely, models based on a lattice approach. Modelling results were compared with literature data, showing excellent correlations. Furthermore, dependence of properties of cement paste on the total porosity, based on the modelling results, was explored. Finally, a correlation between elastic and transport properties for the explored range of Portland cement pastes was established. It is seen that the models can be used for property prediction, but also for exploring correlations between different parameters.","X-ray computed tomography; Cement paste; Young’s modulus; Chloride diffusion; Lattice model","en","conference paper","","","","","","","","","","","","","",""
"uuid:0b7bae5a-1ad0-4f74-95cf-0d077893204a","http://resolver.tudelft.nl/uuid:0b7bae5a-1ad0-4f74-95cf-0d077893204a","A study of the elastic moduli and chemical composition of corrosion product naturally-generated due to chlorides through nano-indentation and energy dispersive X-ray spectrometry (EDS)","Rossi, Emanuele (Delft University of Technology, the Netherlands); Zhang, Hongzhi (Shandong University, China); Çopuroğlu, Oğuzhan (Delft University of Technology, the Netherlands); Polder, Rob B. (RPCP, the Netherlands); Šavija, Branko (Delft University of Technology, the Netherlands); Nijland, Timo G. (TNO, the Netherlands)","","2021","An important input parameter for numerical models that simulate cracking of the concrete cover due to reinforcement corrosion is the Elastic modulus of corrosion product (Ecp). Despite its relevance, Ecp is subject of significant variations according to the values reported in the literature, which vary from less than 100 MPa up to 360 GPa. Furthermore, Ecp values proposed in most of the present literature are representative of the corrosion product generated by anodic accelerated corrosion or extracted from the steel/concrete interface (SCI), which might differ from that formed in real corroding structures. Therefore, this study aims to investigate the Elastic modulus of naturally-generated corrosion product present at the SCI through nano-indentation conducted on six reinforced concrete polished sections. The polished sections were obtained from six 20-year-old reinforced concrete prisms cast with different cement type (CEM I, CEM II/B-V, CEM III/B, CEM V/A), same water/binder ratio (0.55) and which were previously exposed to NaCl solution wet/dry cycles. This study revealed that the range of Ecp did not considerably vary between corrosion products formed in different concrete mixes. However, corrosion product was microscopically found to consist of overlapping bands with different Ecp, varying for up to around 70 GPa between each other. Through Environmental Scanning-Electron Microscopy (ESEM) and Energy Dispersive Xray Spectrometry (EDS) analysis of the indented locations, it was found that Ecp is highly dependent on the presence of interfacial cracks and inversely proportional to the concentration of Si and Ca, representative for corrosion product mixed with the surrounding concrete. Furthermore, higher concentration of Fe leads to higher Ecp. Based on this study, an average range of values for Ecp between 80-100 GPa can be suggested for use in numerical models for corrosion induced cracking, regardless of cement type of the structure under investigation.","reinforcement corrosion; nano-indentation; corrosion products","en","conference paper","","","","","","","","","","","","","",""
"uuid:6041c0f1-df13-4ee7-8e0f-8297efe7df55","http://resolver.tudelft.nl/uuid:6041c0f1-df13-4ee7-8e0f-8297efe7df55","Mechanical and chloride permeability properties of coarse fibre reinforced concrete","Zuo, Chenjia (Harbin Engineering University, China); Zhang, Yixiang (Harbin Engineering University, China); Tong, Yudong (Harbin Engineering University, China); Liu, Yanbo (Harbin Engineering University, China)","","2021","Synthetic fibers have been widely studied as reinforcing materials to increase the mechanical and durability properties of concrete. According to its diameter, fibers can be categorized as micro and macro (or coarse) fibers. As most research focuses on the mechanical properties of fiber reinforced concrete, its chloride permeability properties have been rarely studied. In this investigation, the compressive strength, flexural strength and chloride permeability of concrete with steel fibers (SF) and two types of coarse synthetic fibers, polypropylene (PP) fibers and polyvinyl alcohol (PVA) fibers were studied. It was found that steel fibers are able to improve both the compressive strength and the flexural strength of concrete. Addition of PP and PVA fibers could improve the flexible strength of concrete, with little effect on the compressive strength. Furthermore, test results show that addition of PP fibers slightly increases the chloride permeability of concrete while PVA fibers slightly reduce the chloride permeability.","Flexural strength; Coarse fibers; Mechanical properties; Chloride permeability","en","conference paper","","","","","","","","","","","","","",""
"uuid:6fb647c7-e262-4f7a-a370-e0e4800ec0fc","http://resolver.tudelft.nl/uuid:6fb647c7-e262-4f7a-a370-e0e4800ec0fc","Effect of CA bacteria on the carbonation process of γ-C2S","Jin, Peng (Southeast University, China); Wang, Ruixing (Southeast University, China); Zhang, Siyi (Southeast University, China); Dong, Hua (Southeast University, China); Chen, Chun (Southeast University, China)","","2021","Carbonation has been proven to be a promising way to improve the mechanism properties and durability of steel slag products. CA bacteria can promote the hydration of CO2. In this study, one kind of alkali-resistant CA bacteria was chosen to investigate its effects on the carbonation process of γ-C2S. Results showed that bacteria could increase the compressive strength of carbonized γ-C2S by 19.0%. Main products of carbonized γ-C2S were vaterite, calcite and SiO2 gel. The addition of bacteria could only accelerate the deposition of calcium carbonate, while hardly changing the types and properties of the carbonation products. Additionally, accelerated carbonation makes it harder for the carbon dioxide to diffuse inward, leading to the decline of accelerating carbonation effect. However, CA bacteria could change the morphology of calcium carbonated during the carbonation process of β-C2S and further accelerate the hydration process of β-C2S. The pore structure can also be refined with the incorporation of bacteria.","Pore Structure; γ-C2S; β-C2S; CA bacteria; Carbonation products","en","conference paper","","","","","","","","","","","","","",""
"uuid:da94c51f-fbce-4d9e-93d7-f2f74067e9c7","http://resolver.tudelft.nl/uuid:da94c51f-fbce-4d9e-93d7-f2f74067e9c7","Effect of reactive aggregate on the early age reaction of water-glass activated slag/fly ash mortars","Wang, Wei (The University of Tokyo, Japan); Zhang, Shizhe (Delft University of Technology, The Netherlands); Guang, Ye (Delft University of Technology, the Netherlands); Noguchi, Takafumi (The University of Tokyo, Japan)","","2021","Alkali activated materials (AAMs) have received worldwide attention due to its lower embodied energy and environmental impact than that of traditional cementitious materials. However, the activators with high alkalinity may raise the risk of alkali silica reaction (ASR) induced deterioration when reactive aggregates are used, which thereby limits the commercial use of AAMs. Not speaking the ASR induced long-term expansion, the early-age reaction of AAMs prepared with reactive aggregates is largely unknown. In this paper, isothermal calorimetry, thermogravimetry (TG) and mercury intrusion porosimetry (MIP) were adopted to study the heat evolution, mineralogical changes and pore structures of early-age ordinary Portland cement (OPC) mortar and water-glass activated slag/fly ash mortars. In each system, emphasis were made to understand the differences between mixtures prepared with standard inert quartz sands and reactive fine aggregates. The results show that the mortars prepared with reactive aggregates generated more heat in the wetting and dissolution stage. Particularly, the water-glass activated slag mortar presented the highest heat flow peak. Meanwhile, the results of TG illustrate that higher amount of reaction products were formed in water-glass activated mortars prepared with reactive aggregates than that with inert quartz sands. These findings suggest that the reactive aggregates are evidently involved in the early-age alkaline reaction of AAMs system.","Pore structure; Alkaline activation; lkali-silica reaction; Early-age; Heat evolution","en","conference paper","","","","","","","","","","","","","",""
"uuid:8932a112-2e19-41e6-9db5-fa5af57f0707","http://resolver.tudelft.nl/uuid:8932a112-2e19-41e6-9db5-fa5af57f0707","Hydration behaviour of magnesium ammonium phosphate cement and stability analysis of its hydration products through thermodynamic modelling","Han, Weiwei (Southeast University, China); Chen, Huisu (Southeast University, China); Zhang, Tao (Southeast University, China)","","2021","Magnesium ammonium phosphate cement (MAPC) has been commonly applied in civil engineering. However, the analysis of hydration behavior and composition of solid assemblages still needs further exploration. From thermodynamic simulation, it is shown that the molar ratio between MgO and NH4H2PO4 (M/P ratio) determines the final composition of hydration products, When M/P ratio is lower than 0.49, the solid assemblage is (NH4)2Mg(PO4)2·4H2O; When M/P ratio is between 0.49 and 1.00, the solid assemblages are (NH4)2Mg(HPO4)2·4H2O, MgHPO4·3H2O, MgNH4PO4·6H2O and unreacted MgO; When M/P ratio is higher than 1.00, the composition of solid assemblages is MgNH4PO4·6H2O and unreacted MgO.","M/P molar ratio; MAPC; thermodynamic simulation","en","conference paper","","","","","","","","","","","","","",""
"uuid:97c1a9b1-6a96-4eeb-948a-9ee175f50d51","http://resolver.tudelft.nl/uuid:97c1a9b1-6a96-4eeb-948a-9ee175f50d51","Modelling effect of coarse aggregates on oxygen transport and corrosion products precipitation in reinforced concrete","Zhang, Zhidong (ETH Zurich, Switzerland); Angst, Ueli M. (ETH Zurich, Switzerland)","","2021","The structure of concrete, in particular the microstructure of the steel-concrete interface (SCI), can significantly affect corrosion of rebars. To support corrosion, oxygen needs to diffuse from the ambient environment to the steel surface. Meanwhile, corrosion products transport through the SCI and precipitate in concrete. Transport properties of concrete play an important role in these two processes. However, the effect of the heterogeneous structure of concrete especially coarse aggregates on oxygen transport and corrosion products precipitation in reinforced concrete is rarely studied in the literature. This study employed a numerical model to investigate such effect. Simulation domains in 2D were created with different aggregate contents and sizes. The model included oxygen diffusion, ions diffusion and migration, corrosion products oxidation and precipitation. The simulation results showed that the presence of aggregates significantly reduces oxygen diffusion. It becomes more pronounced for high aggregate contents which lead to more corrosion products formed at the interface. Furthermore, results showed that the interfacial transition zone (ITZ) around aggregates can enhance oxygen diffusion.","kinetic reaction; aggregates; oxygen diffusion; corrosion products; reinforced concrete","en","conference paper","","","","","","","","","","","","","",""
"uuid:947ce535-ed5d-4683-b5c4-31de29cce767","http://resolver.tudelft.nl/uuid:947ce535-ed5d-4683-b5c4-31de29cce767","Predicting the yield stress of 3D printing mortar based on the flowability of paste and excess paste thickness","Zhang, C. (Southeast University, China); Deng, Z.C. (Southeast University, China); Zhang, Y.M. (Southeast University, China); Chen, C. (Southeast University, China)","","2021","This paper develops an empirical model to predict the static yield stress of 3D printing mortar based on the flowability of paste and excess paste thickness. The components of the mortar are divided into paste and aggregate. The relation between the yield stress of mortar and the yield stress of paste and the excess paste thickness is investigated. It is found that there is a linear relationship between the yield stress of mortar and that of paste, and the yield stress of mortar also is proportional to the reciprocal of excess paste thickness. Additionally, the yield stress of paste is related to its flowability when the rheological behavior of mortar is modified with different types and dosage of thixotropic agents. Based on the experimental data, an empirical model is built to predict the static yield stress of mortar in accordance with the flowability of paste and excess paste thickness.","excess paste thickness; 3D printing mortar; yield stress; flowability of paste","en","conference paper","","","","","","","","","","","","","",""
"uuid:63e9ca07-db9c-4942-99fb-f937bb5d3dc4","http://resolver.tudelft.nl/uuid:63e9ca07-db9c-4942-99fb-f937bb5d3dc4","Fault Tolerant Control for Autonomous Surface Vehicles via Model Reference Reinforcement Learning","Zhang, Qingrui (Sun Yat-sen University); Zhang, Xinyu (Sun Yat-sen University); Zhu, Bo (Sun Yat-sen University); Reppa, V. (TU Delft Transport Engineering and Logistics)","","2021","A novel fault tolerant control algorithm is proposed in this paper based on model reference reinforcement learning for autonomous surface vehicles subject to sensor faults and model uncertainties. The proposed control scheme is a combination of a model-based control approach and a data-driven method, so it can leverage the advantages of both sides. The proposed design contains a baseline controller that ensures stable tracking performance at healthy conditions, a fault observer that estimates sensor faults, and a reinforcement learning module that learns to accommodate sensor faults using fault estimation and compensate for model uncertainties. The impact of sensor faults and model uncertainties can be effectively mitigated by this composite design. Stable tracking performance can also be ensured even at both the offline training and online implementation stages for the learning-based fault tolerant control. A numerical simulation with gyro sensor faults is presented to demonstrate the efficiency of the proposed algorithm.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-01","","","Transport Engineering and Logistics","","",""
"uuid:02b145c3-efa4-482f-a8a8-d98405794b13","http://resolver.tudelft.nl/uuid:02b145c3-efa4-482f-a8a8-d98405794b13","Mapping Wuhan: Morphological atlas of the Urbanization of a Chinese City","Bekkering, H.C. (TU Delft Urban Design); Cai, J. (Harbin Institute of Technology (Shenzen)); Kuijper, J.A. (TU Delft Theory, Territories & Transitions); Zhang, Ke (Wuhan Land Use and Urban Spatial Planning Research Center/WLSP); Chen, Wei (Wuhan Planning and Design Institute)","","2021","Chinese cities have been expanding since the early 1980s under trends of rapid modernization, urbanization and globalization. Since then they have changed dramatically, and have in the process lost many of their traditional environments and spatial characteristics. Urban planners and designers have been and are facing unprecedented challenges in China. They not only have to learn to understand the constantly emerging new urban mechanisms, and seek balance among stakeholders, but they also need to cope with the political pressures and the changing context under often extreme time pressure. In such circumstances, future- and design-oriented analysis based on a ‘designerly’ way of thinking is useful—if not indispensable—for understanding the existing city and deciding on its transformations in a responsible and accountable way that is communicable among designers and with the public. This is especially so, in light of the growing awareness—also in China—of the value and importance of local urban identity, that is always—at least partially—based on history. In this ATLAS the Delft method of historical morphological analysis is applied to the city of Wuhan, valuing the importance of and finding meaning in the local urban identity of a city with a population over 11 million with a floating population of 14 million. The series of maps show the urban development, covering a century and a half.","China; Delft School; history; mapping; morphology; Wuhan","en","book","TU Delft OPEN Publishing","978-94-6366-482-0","","","","","","","","","Urban Design","","",""
"uuid:28ea9c87-85d9-43a7-bf24-f6a5a6c6637a","http://resolver.tudelft.nl/uuid:28ea9c87-85d9-43a7-bf24-f6a5a6c6637a","Sim4IR: The SIGIR 2021 Workshop on Simulation for Information Retrieval Evaluation","Balog, Krisztian (University of Stavanger); Maxwell, D.M. (TU Delft Web Information Systems); Thomas, Paul (Microsoft); Zhang, Shuo (Bloomberg, London)","","2021","The use of simulation techniques is not foreign to information retrieval. In the past, simulation has been employed, for example, for constructing test collections and for model performance prediction and analysis in a broad array of information access scenarios. Nevertheless, a standardized methodology for performance evaluation via simulation has not yet been developed. The goal of this workshop is to create a forum for researchers and practitioners to promote methodology development and more widespread use of simulation for evaluation by: (1) identifying problem settings and application scenarios; (2) sharing tools, techniques, and experiences; (3) characterizing potentials and limitations; and (4) developing a research agenda.","evaluation; simulation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:ed207da0-7661-43de-9be0-5a5ae0fe6d70","http://resolver.tudelft.nl/uuid:ed207da0-7661-43de-9be0-5a5ae0fe6d70","Analysis of nonlinear ship-induced 3d wave fields using nonlinear fourier transforms","Zhang, H. (TU Delft Team Sander Wahls); Wahls, S. (TU Delft Team Sander Wahls); Brühl, M. (TU Delft Team Sander Wahls)","","2021","In the past decade, observations in the German estuaries such as the rivers Elbe and Weser show increasingly serious damage to bank protection structures (groins and revetments). This damage is caused mainly by waves induced by the passing of big container ships in the shallow and narrow maritime waterways. These ship-induced 3D wave fields consist of long-periodic primary and short-periodic secondary wave components. Due to missing design approaches for the load of long-period waves on rubble-mound revetments, the current risk assessment for protective structures in maritime waterways is based on short-period, wind-induced waves. Therefore, the structures do not ensure sufficient stability against the long-period ship-induced wave loads within the estuaries.
Within the research project “Parameterization of nonlinear ship-induced 3D wave fields for the hydraulic design of protective structures in maritime waterways (PaNSiWa)”, we apply nonlinear Fourier transforms (NFTs) on experimentally generated ship waves in maritime waterways. The objective of the project is to provide better understanding of the underlying nonlinear structure of the long-period primary waves and to separate the nonlinear spectral basic components within the ship-wave data from their nonlinear wave-wave interactions. In this paper, we present first analyses of the decomposition of ship-wave measurements from experimental tests and the identification of hidden solitons within the long-period primary ship wave.
In SHAPE-IT, for example, a better understanding of human behaviour and the underlying psychological mechanisms will lead to improved models of human behaviour that can help to predict the effects of automated systems on human behaviour already during system development. Such models can also be integrated into the algorithms of automated vehicles, enabling them to better understand the human interaction partners’ behaviours.
Further, the development of vehicle automation is much about technology (software and hardware), but the users will be humans and they will interact with humans both inside and outside of the vehicle. To be successful in the development of automated vehicles functionalities, research must be performed on a variety of aspects. Actually, a highly interdisciplinary team of researchers, bringing together expertise and background from various scientific fields related to traffic safety, human factors, human-machine interaction design and evaluation, automation, computational modelling, and artificial intelligence, is likely needed to consider the human-technology aspects of vehicle automation.
Accordingly, SHAPE-IT has recruited fifteen PhD candidates (Early Stage Researchers – ESRs), that work together to facilitate this integration of automated vehicles into complex urban traffic by performing research to support the development of transparent, cooperative, accepted, trustworthy, and safe automated vehicles. With their (and their supervisors’) different scientific background, the candidates bring different theoretical concepts and methodological approaches to the project. This interdisciplinarity of the project team offers the unique possibility for each PhD candidate to address research questions from a broad perspective – including theories and methodological approaches of other interrelated disciplines. This is the main reason why SHAPE-IT has been funded by the European Commission’s Marie Skłodowska-Curie Innovative Training Network (ITN) program that is aimed to train early state researchers in multidisciplinary aspects of research including transferable skills. With the unique scope of SHAPE-IT, including the human-vehicle perspective, considering different road-users (inside and outside of the vehicle), addressing for example trust, transparency, and safety, and including a wide range of methodological approaches, the project members can substantially contribute to the development and deployment of safe and appreciated vehicle automation in the cities of the future.
To achieve the goal of interdisciplinary research, it is necessary to provide the individual PhD candidate with a starting point, especially on the different and diverse methodological approaches of the different disciplines. The empirical, user-centred approach for the development and evaluation of innovative automated vehicle concepts is central to SHAPE- IT. This deliverable (D1.1 “Methodological Framework for Modelling and Empirical Approaches”) provides this starting point. That is, this document provides a broad overview of approaches and methodologies used and developed by the SHAPE-IT ESRs during their research. The SHAPE-IT PhD candidates, as well as other researchers and developers outside of SHAPE-IT, can use this document when searching for appropriate methodological approaches, or simply get a brief overview of research methodologies often employed in automated vehicle research.
The first chapter of the deliverable shortly describes the major methodological approaches to collect data relevant for investigating road user behaviour. Each subchapter describes one approach, ranging from naturalistic driving studies to controlled experiments in driving simulators, with the goal to provide the unfamiliar reader with a broad overview of the approach, including its scope, the type of data collected, and its limitations. Each subchapter ends with recommendations for further reading – literature that provide much more detail and examples.
The second chapter explains four different highly relevant tools for data collection, such as interviews, questionnaires, physiological measures, and as other current tools (the Wizard of Oz paradigm and Augmented and Virtual Reality). As in the first chapter this chapter provides the reader with information about advantages and disadvantages of the different tools and with proposed further readings.
The third chapter deals with computational models of human/agent interaction and presents in four subchapters different modelling approaches, ranging from models based on psychological mechanisms, rule-based and artificial intelligence models to simulation models of traffic interaction.
The fourth chapter is devoted to Requirements Engineering and the challenge of communicating knowledge (e.g., human factors) to developers of automated vehicles. When forming the SHAPE-IT proposal it was identified that there is a lack of communication of human factors knowledge about the highly technical development of automated vehicles. This is why it is highly important that the SHAPE-IT ESRs get training in requirement engineering. Regardless of the ESRs working in academia or industry after their studies it is important to learn how to communicate and disseminate the findings to engineers.
The deliverable ends with the chapter “Method Champions”. Here the expertise and association of the different PhD candidates with the different topics are made explicit to facilitate and encourage networking between PhDs with special expertise and those seeking support, especially with regards to methodological questions.","","en","report","SHAPE-IT Consortium","","","","","","","","","","Transport and Planning","","",""
"uuid:0af4caca-c0b3-491f-ac9f-89c4c156d450","http://resolver.tudelft.nl/uuid:0af4caca-c0b3-491f-ac9f-89c4c156d450","Interaction effects of acoustics at and between human and environmental levels: A review of the acoustics in the indoor environment","Hamida, A.B. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2021","People spend around 90% of their time indoors, where they are exposed to various physical stressors, such as unpleasant sounds, odours, temperature, and lighting, which may cause annoyance and discomfort. This literature review is focused on substantial studies that emphasize noise as a physical stressor in the indoor environment. Previous studies showed that background noise has a significant impact on human health. Adding to that, several other studies showed significant cross-modal effects between noise and other environmental stressors. However, various previous studies focused on quantifying the indicators of the indoor environmental quality (IEQ) factors rather than studying the differences of each occupant on their preferences and needs. Hence, this literature review highlights studies that take into account the interaction effects of acoustics at and between human and environmental levels. This review study aimed at identifying the key indicators to be taken into account for evaluating acoustical quality.","cross-modal effect (combined effects and multisensory interaction); effects (physiological effects, comfort, and annoyance); acoustical conditions (noise exposure and noise level); indoor environmental quality, and methods (lab study, experimental study, and environmental chamber)","en","conference paper","","","","","","","","","","","Indoor Environment","","",""
"uuid:8827888a-53e3-422a-b313-d4404dd02bee","http://resolver.tudelft.nl/uuid:8827888a-53e3-422a-b313-d4404dd02bee","Ventilation strategies of school classrooms against cross-infection of COVID-19: A review","Ding, Er (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2021","Under current pandemic of COVID-19, children are still spending long hours in school classrooms every day. A literature study is therefore conducted to investigate the current ventilation strategies used in schools and assess their performance of controlling contagious respiratory diseases in the indoor environment, and also to seek for future solutions. Research papers, reports and standards relevant to school ventilation, airborne transmission and complementary air distribution methods are reviewed. It is concluded that schools usually have natural ventilation (NV) or mechanical ventilation (MV), however the overall indoor air quality is not ideal. Both NV and MV can reduce the airborne transmission of respiratory droplets efficiently when designed, operated, and maintained properly, yet schools are in shortage of informative standards and guidance. Personalized ventilation (PV) has a promising potential in protecting occupants from local indoor air contaminants, yet further studies are needed before PV can be applied to children in classrooms.","","en","conference paper","","","","","","","","","","","Indoor Environment","","",""
"uuid:462fb222-85ed-4b71-baf7-3ae9c2f69342","http://resolver.tudelft.nl/uuid:462fb222-85ed-4b71-baf7-3ae9c2f69342","Logging Practices with Mobile Analytics: An Empirical Study on Firebase","Harty, Julian (The Open University); Zhang, Haonan (Concordia University); Wei, Lili (The Hong Kong University of Science and Technology); Pascarella, L. (Università della Svizzera Italiana); Aniche, Maurício (TU Delft Software Engineering); Shang, Weiyi (Concordia University)","O'Conner, L. (editor)","2021","Software logs are of great value in both industrial and open-source projects. Mobile analytics logging enables developers to collect logs remotely from their apps running on end user devices at the cost of recording and transmitting logs across the Internet to a centralised infrastructure.This paper makes a first step in characterising logging practices with a widely adopted mobile analytics logging library, namely Firebase Analytics. We provide an empirical evaluation of the use of Firebase Analytics in 57 open-source Android applications by studying the evolution of code-bases to understand: a) the needs-in-common that push practitioners to adopt logging practices on mobile devices, and b) the differences in the ways developers use local and remote logging.Our results indicate mobile analytics logs are less pervasive and less maintained than traditional logging code. Based on our analysis, we believe logging using mobile analytics is more user centered compared to traditional logging, where the latter is mainly used to record information for debugging purposes.","empirical software engineering; logging engineering; mobile analytics; mobile software development; software monitoring","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Software Engineering","","",""
"uuid:adc05b56-99c5-4796-8602-d06c6e5aef33","http://resolver.tudelft.nl/uuid:adc05b56-99c5-4796-8602-d06c6e5aef33","An experimental study on trailing edge crack detection for wind turbine blade using airfoil aerodynamic noise","Zhang, Y. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy)","","2021","Recent decades have witnessed more and more wind turbines (WTs) being installed onshore and offshore. Health condition monitoring for WTs structures and components is increasingly becoming a compelling concern for stable power output and operational safety of a wind farm [1]. Blade damages seem to occur with a higher probability ahead of other components (e.g., gearbox and generator) damages [2]. After reviewing traditional damage detection approaches and their limitations [3], in this research a new non-contactable approach to detecting trailing edge (TE) damages is proposed based on airfoil aerodynamic noise measurements using a microphone array. In the experiment, four changeable TE parts with rectangular cracks (damaged width W of 0.2mm, 0.5mm, 1.0mm and 2.0mm) for a NACA0018 airfoil (chord C=200mm, span L=400mm) are designed and an example with W=0.2mm is shown in Fig.(a). The TEs with cracks have the same solid thickness as the baseline one (h_solid=0.76mm, standard NACA0018 airfoil TE thickness with chord of 200mm) but different dimensions of total TE thickness (h=W+h_solid). A phased microphone array with 64 microphones is used for acoustic measurement then beamforming is applied to extract TE noise and source power integration is performed within a 200×200mm2 region centred at TE midpoint [4][5]. Fig.(b) shows sound pressure levels (SPLs) L_p at the integrated region of four damaged cases as well as baseline with the frequency resolution of 10Hz under the freestream velocity U of 35m/s and geometrical angle of attack (AoA) alpha of 0º. The cases with smaller cracks show less remarkable tonal peaks compared with the one of W=2.0mm (~4dB); when the crack size is smaller the spectral peak broadens. These peaks or humps are attributed to the periodic vortex shedding from blunt TEs. Fig.(c) shows the SPL differences Delta L_p between the damaged cases and baseline; frequency is normalized as TE-thickness-based Strouhal number St. Local maxima of Lp are present at approximately St = 0.1 [6]. In the experiment, it is difficult to extract the spectral peaks or humps if the effective AoA (alpha*) [6] is more than 2.40º because the boundary layer on suction side becomes thicker and the asymmetry of boundary layers prevents coherent and periodic vortex shedding [7]. In Fig.(d), the discrete points are the St at peak L_p (St_peak) versus the ratio of TE thickness and averaged displacement thickness of pressure and suction sides (overline delta *) extracted from available cases (U=15m/s, 20m/s, 25m/s, 30m/s and 35m/s); the grey and blue curves are obtained from models reported in [6] with solid angle (Psi) of 20º and 23.76º (baseline solid angle), respectively. The points of St_peak versus thickness ratio show a good agreement with the prediction model [6]. This means that particularly for smaller cracks at the first stage of damaged process, the effect of solid angle can be neglected and considered as a minor and adjunctive factor. The TE thickness retrieved through the application of the model can be used as a prediction of the damage level. Additional data obtained from experiments with turbulent inflow will be presented to assess if the approach proposed is still feasible in more realistic turbulent inflow conditions. Keywords: wind turbine blade; trailing edge crack; damage detection; aerodynamic noise. Images: Link: https://s3-eu-west-1.amazonaws.com/static.vcongress.de/cms/forwind/paper/417dd783-7a7c-424d-a4d3- 55ce31fa41e1.png Description: (a) An example of NACA0018 airfoil with a TE crack of 0.2mm. (b) SPLs with resolution of 10Hz (U=35m/s and alpha=0º). (c) Corresponding SPL differences compared with baseline case normalized as peak St. (d) Relations of peak St and thickness ratio: discrete points are the experimental date; grey and black curves are prediction models Brooks et al. proposed with solid angle of 20º and 23.76º. References: [1] Tautz-Weinert, J. and Watson, S.J., 2016. Using SCADA data for wind turbine condition monitoring–a review. IET Renewable Power Generation, 11(4), pp.382-394. [2] Yang, W., Peng, Z., Wei, K. and Tian, W., 2016. Structural health monitoring of composite wind turbine blades: challenges, issues and potential solutions. IET Renewable Power Generation, 11(4), pp.411-416. [3] Du, Y., Zhou, S., Jing, X., Peng, Y., Wu, H. and Kwok, N., 2020. Damage detection techniques for wind turbine blades: A review. Mechanical Systems and Signal Processing, 141, p.106445. [4] Merino-Martínez, R., Carpio, A.R., Pereira, L.T.L., van Herk, S., Avallone, F., Ragni, D. and Kotsonis, M., 2020. Aeroacoustic design and characterization of the 3D-printed, open-jet, anechoic wind tunnel of Delft University of Technology. Applied Acoustics, 170, p.107504. [5] Carpio, A.R., Avallone, F., Ragni, D., Snellen, M. and van der Zwaag, S., 2020. Quantitative criteria to design optimal permeable trailing edges for noise abatement. Journal of Sound and Vibration, 485, p.115596. [6] Brooks, T.F., Pope, D.S. and Marcolini, M.A., 1989. Airfoil self-noise and prediction. [7] Moreau, D.J. and Doolan, C.J., 2016. Tonal noise production from a wall-mounted finite airfoil. Journal of Sound and Vibration, 363, pp.199-224.","wind turbine blade; trailing edge crack; damage detection; aerodynamic noise","en","abstract","","","","","","","","","","","Wind Energy","","",""
"uuid:15f3be4a-182c-4449-b99f-6ee815983988","http://resolver.tudelft.nl/uuid:15f3be4a-182c-4449-b99f-6ee815983988","Research On ADCN Method For Damage Detection Of Mining Conveyor Belt","Qu, Dingran (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology)","","2021","Belt conveyor is considered as a momentous component of modern coal mining transportation system, and thus it is an essential task to diagnose and monitor the damage of belt in real time and accurately. Based on the deep learning algorithm, this present study proposes a method of conveyor belt damage detection based on ADCN (Adaptive Deep Convolutional Network). A deep convolution network with unique adaptability is built to extract the different scale features of visible light image of conveyor belt damage, and the target is classified and located in the form of anchor boxes. A data set with data diversity is collected according to the actual working conditions of the conveyor belt. After training and regression, the ADCN model can perfectly capture and classify the damaged target in the video of the conveyor running. Compared with the SVM based method, the method based on ADCN can better meet the real-time and reliability requirements of belt damage detection, and it has the positioning function which SVM does not have.","ADCN; Belts; Conveyor belt; Convolution; Damage; Deep learning; Feature extraction; Kernel; Mathematical model; Neural networks; Sensors","en","journal article","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:d30350b7-f9f8-4209-b732-a024e98aa906","http://resolver.tudelft.nl/uuid:d30350b7-f9f8-4209-b732-a024e98aa906","Dual-Gate Fet-Based Charge Sensor Enhanced by In-Situ Electrode Decoration in a MEMS Organs-On-Chip Platform","Aydogmus, H. (TU Delft Electronic Components, Technology and Materials); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Galiti, Anna-Danai (Student TU Delft); Hu, Michel (Leiden University Medical Center); Frimat, Jean-Philippe (Leiden University Medical Center); van den Maagdenberg, Arn (Leiden University Medical Center); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2021","Continuous monitoring of tissue microphysiology is a key enabling feature of the organ-on-chip (OoC) approach for drug screening and disease modeling. Sensing charged species in OoC tissue microenvironments is thereby essential. However, the inherently small (i.e., cm) size of OoC devices poses the challenging requirement to integrate miniaturized and highly sensitive in situ charge sensing components to maximize signal extraction from small volumes (nL to L, range) of media used in these devices. Here we meet this need by presenting a novel dual-gate field-effect transistor-based charge sensor integrated within an optically transparent microelectromechanical (MEM) OoC device. Post-process mask-less decoration of Ti sensing electrodes by spark-ablated Au nanoparticle films significantly increases the effective electrode surface area and thus sensor sensitivity while retaining the CMOS-compatibility of the wafer-level fabrication process. We validate the biocompatibility of the sensor and its selective response to poly-D-lsine and KC1, and provide a perspective on monitoring cultures and differentiation of hiPSC-derived cortical neurons on our OoC device.","Biosensor; charge sensing; electrodes; microfabrication; neurons; organ-on-chip; spark ablation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-06","","","Electronic Components, Technology and Materials","","",""
"uuid:6e7a29af-9a29-4385-8f54-5a1ea51a19ab","http://resolver.tudelft.nl/uuid:6e7a29af-9a29-4385-8f54-5a1ea51a19ab","Acoustic Emission-based crack tracking for existing concrete structures: Influence of number of load cycles and loading speed","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","Yokota, Hiroshi (editor); Frangopol, Dan M. (editor)","2021","Acoustic Emission (AE)-based crack tracking is a promising approach to locate cracks in concrete structures. Different from conventional AE, this approach uses AE activities during crack closure. But, AE activities during crack closure may be influenced by the number of load cycles and loading speed. This may challenge the applicability of AE-based crack tracking, especially for existing concrete structures with many load cycles in the service life. This paper investigates the influence of the number of load cycles and loading speed on AE-based crack tracking. A total of 80 load cycles were applied on a pre-cracked concrete beam. The last 5 cycles had increasing loading speeds. AE-based crack tracking was carried out in each load cycle. For calibration, Digital Image Correlation (DIC) was performed to measure the crack opening and closure. We found that AE-based crack tracking can locate the cracks, while, with many load cycles, it cannot indicate the crack width. Loading speed had little influence on AE-based crack tracking. The results of this paper put forward the applicability of AE-based crack tracking for existing concrete structures.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-18","","","Concrete Structures","","",""
"uuid:57d1e77f-17b1-435a-ab42-6b61ec581f60","http://resolver.tudelft.nl/uuid:57d1e77f-17b1-435a-ab42-6b61ec581f60","An LSTM Approach to Short-range personnel recognition using Radar Signals","Li, Zhenghui (University of Glasgow); Le Kernec, Julien (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (CY Cergy Paris University); Zhang, Lei (University of Glasgow); Yang, Shufan (University of Glasgow)","","2021","In personnel recognition based on radar, significant research exists on statistical features extracted from the micro-Doppler signatures, whereas research considering other domains and information such as phase is less developed. This paper presents the use of deep learning methods to integrate both phase and magnitude features from range profiles and spectrogram. The temporal features of both domains are separately extracted using a stack of Long Short Term Memory (LSTM) layers. Then, the extracted features are aggregated in the corresponding domains and pass through a series of dense layers with SoftMax classifier. Finally, the information from the two domains is fused with a soft fusion approach to improve the performance further. Preliminary results show that the proposed network with soft fusion can achieve 85.5% accuracy in personnel recognition with six subjects","Radar sensing; Personnel Recognition; LSTM network; Phase information; Micro-Doppler signatures; Range-time information","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-18","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b3521dd9-ec1b-41a0-8770-719d7e82373c","http://resolver.tudelft.nl/uuid:b3521dd9-ec1b-41a0-8770-719d7e82373c","Fabrication of Nanoslits with <111> Etching TSWE Method","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Ye, Li (Tsinghua University); Li, Ke (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2021","In this paper, we report a modified three step anisotropic wet etching (TSWE) method to fabricate solid-state silicon nanoslits. The slit-opening process is performed by <111> crystal plane etching. The etching rate of the <111> crystal plane is reasonably slow as it is only 1/45 of the <100> etching rate, thus allowing and therefore good slits-opening controllability. By slowly etching the <111> crystal plane, the over-etching was effectively reduced. Perfectly rectangular nanoslits with different dimensions were successfully obtained. The smallest achieved feature size of the nanoslit is 8.3 nm.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-07","","","Electronic Components, Technology and Materials","","",""
"uuid:f3031513-50e4-4c58-8a87-87f920fa9758","http://resolver.tudelft.nl/uuid:f3031513-50e4-4c58-8a87-87f920fa9758","An analysis of acquisition-related subsampling effects on Marchenko focusing, redatuming, and primary estimation","Peng, Haorui (Universiteit Utrecht); Vasconcelos, Ivan (Universiteit Utrecht); Sripanich, Yanadet (Universiteit Utrecht); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2021","Marchenko methods can retrieve both Green’s functions and focusing functions from single-sided reflection data and a smooth velocity model, as essential components of a redatuming process. Recent studies also show that a modified Marchenko scheme can reconstruct primary-only reflection responses directly from reflection data without requiring a priori model information. To provide insight into the artifacts that arise when input data are not ideally sampled, we study the effects of subsampling in both types of Marchenko methods in 2D earth and data — by analyzing the behaviour of Marchenko-based results on synthetic data subsampled in sources or receivers. We show with a layered model that for Marchenko redatuming, subsampling effects jointly depend on the choice of integration variable and the subsampling dimension, originated from the integrand gather in the multidimensional convolution process. When reflection data are subsampled in a single dimension, integrating on the other yields spatial gaps together with artifacts while integrating on the subsampled dimension produces aliasing artifacts but without spatial gaps. Our complex subsalt model shows the subsampling may lead to very strong artifacts, which can be further complicated by having limited apertures. For Marchenko-based primary estimation (MPE), subsampling below a certain fraction of the fully-sampled data can cause MPE iterations to diverge, which can to some extent be mitigated by using more robust iterative solvers, such as LSQR. Our results, covering redatuming and primary estimation in a range of subsampling scenarios, provide insights that can inform acquisition sampling choices as well as processing parameterization and quality control, e.g., to set up appropriate data filters and scaling to accommodate the effects of dipole fields, or to help ensuring that the data interpolation achieves the desired levels of reconstruction quality that minimize subsampling artifacts in Marchenko-derived fields and images.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e0dc858a-b811-4193-9abc-0f1d759c0fe0","http://resolver.tudelft.nl/uuid:e0dc858a-b811-4193-9abc-0f1d759c0fe0","Monitoring structural responses during proof load testing of reinforced concrete bridges: A review","Zarate Garnica, G.I. (TU Delft Concrete Structures); Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Naaktgeboren, M. (Rijkswaterstaat); Fennis, S.A.A.M. (Rijkswaterstaat)","Yokota, Hiroshi (editor); Frangopol, Dan M. (editor)","2021","Proof load testing can be an interesting method to assess existing bridges for which analytical methods are unable to provide an accurate assessment. In a proof load test, a load representative of the factored live load is applied to the bridge. If the bridge can carry this load without distress, the proof load test is success-ful, and the bridge proves it fulfils the code requirements. Since large loads are applied, the structure or element that is tested needs to be carefully monitored during the test. This paper reviews the literature on reported load tests and the measurement techniques used during these tests. It also includes the test goals these techniques can address, and the advantages and disadvantages of the contact and non-contact techniques. The result of this re-view is guidance for the selection of appropriate monitoring and measurement techniques during load tests. This practical recommendation can serve engineers during the preparation of a load test, and will be extended in the future with stop criteria validated with experimental results.","","en","conference paper","CRC Press","","","","","Accepted Author Manuscript","","2022-04-18","","","Concrete Structures","","",""
"uuid:884884af-e8be-4cfc-9c97-584da261b7e3","http://resolver.tudelft.nl/uuid:884884af-e8be-4cfc-9c97-584da261b7e3","Environmentally benign solid catalysts for sustainable biodiesel production: A critical review","Alagumalai, Avinash (GMR Institute of technology, Andra Pradesh); Mahian, Omid (Xi’an Jiaotong University; Ferdowsi University of Mashhad); Hollmann, F. (TU Delft BT/Biocatalysis); Zhang, Wuyuan (Chinese Academy of Sciences; National Technology Innovation Center of Synthetic Biology, Tianjin)","","2021","Versatile bio-derived catalysts have been under dynamic investigation as potential substitutes to conventional chemical catalysts for sustainable biodiesel production. This is because of their unique, low-cost benefits and production processes that are environmentally and economically acceptable. This critical review aspires to present a viable approach to the synthesis of environmentally benign and cost-effective heterogeneous solid-base catalysts from a wide range of biological and industrial waste materials for sustainable biodiesel production. Most of these waste materials include an abundance of metallic minerals like potassium and calcium. The different approaches proposed by researchers to derive highly active catalysts from large-scale waste materials of a re-usable nature are described briefly. Finally, this report extends to present an overview of techno-economic feasibility of biodiesel production, its environmental impacts, commercial aspects of community-based biodiesel production and potential for large-scale expansion.","Bio-derived catalyst; Biodiesel; Biofuel; Biowaste; E-factor assessment; Industrial waste","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-10","","","BT/Biocatalysis","","",""
"uuid:1f34618d-7738-479b-a24d-245aed6b8b23","http://resolver.tudelft.nl/uuid:1f34618d-7738-479b-a24d-245aed6b8b23","Energy consumption, self-reported teachers’ actions and children's perceived indoor environmental quality of nine primary school buildings in the Netherlands","Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2021","Literature shows that both building systems and occupants’ behaviour contribute to the amount of energy used to create a comfortable indoor environment. To determine possible relationships, energy consumption of nine school buildings was studied in relation to identified building characteristics, self-reported frequency of teachers’ actions, and (perceived and measured) indoor environmental quality (IEQ) of the school children in the classrooms studied. These schools were located in different areas in the Netherlands, and their yearly energy consumption differed a lot. Results demonstrated significant relationships of electrical energy consumption with lighting distribution in classrooms and the frequency of teachers’ light switch behaviour: the higher the measured illuminance in the classrooms, the more electricity was used in the school building. The more electricity was used, the more children complained about the IEQ in their classrooms; and the more frequently the teachers turned on the light, the less electricity the schools consumed. It was concluded that stimulating teachers to be more active in controlling the light might lead to energy saving, but a larger sample of schools with more variation in buildings systems is required to confirm this.","Energy saving; Indoor environmental quality; Primary schools; Teachers’ actions","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:256325bb-f63c-4ca9-a3ce-f430bf83cb3b","http://resolver.tudelft.nl/uuid:256325bb-f63c-4ca9-a3ce-f430bf83cb3b","Data driven design for online industrial auctions","Ye, Qing Chuan (Erasmus Universiteit Rotterdam); Rhuggenaath, Jason. S. (Eindhoven University of Technology); Zhang, Yingqian (Eindhoven University of Technology); Verwer, S.E. (TU Delft Cyber Security); Hilgeman, Michiel Jurgen (Troostwijk Auctions)","","2021","Designing auction parameters for online industrial auctions is a complex problem due to highly heterogeneous items. Currently, online auctioneers rely heavily on their experts in auction design. The ability of predicting how well an auction will perform prior to the start comes in handy for auctioneers. If an item is expected to be a low-performing item, the auctioneer can take certain actions to influence the auction outcome. For instance, the starting selling price of the item can be modified, or the location where the item is displayed on the website can be changed to attract more attention. In this paper, we take a real-world industrial auction data set and investigate how we can improve upon the expert’s design using insights learned from data. More specifically, we first construct a classification model that predicts the expected performance of auctions. We propose a data driven auction design framework (called DDAD) that combines the expert’s knowledge with the learned prediction model, in order to find the best parameter values, i.e., starting price and display positions of the items, for a given new auction. The prediction model is evaluated, and the new design for several auctions is discussed and validated with the auction experts.","Auction design; Machine learning; Optimization","en","journal article","","","","","","Accepted author manuscript","","2022-01-05","","","Cyber Security","","",""
"uuid:cb759955-5166-48a8-b5b6-fbcfd0950096","http://resolver.tudelft.nl/uuid:cb759955-5166-48a8-b5b6-fbcfd0950096","A Fully Synthesizable Fractional-N MDLL With Zero-Order Interpolation-Based DTC Nonlinearity Calibration and Two-Step Hybrid Phase Offset Calibration","Liu, Bangan (Tokyo Institute of Technology); Zhang, Yuncheng (Tokyo Institute of Technology); Qiu, Junjun (Tokyo Institute of Technology); Ngo, Huy Cu (Tokyo Institute of Technology); Deng, Wei (Tsinghua University); Nakata, Kengo (Tokyo Institute of Technology); Yoshioka, Toru (Tokyo Institute of Technology); Emmei, Jun (Tokyo Institute of Technology); Pang, Jian (Tokyo Institute of Technology); Someya, T. (TU Delft Electronic Instrumentation)","","2021","In this paper, a fully-synthesizable digital-to-time (DTC)-based fractional-N multiplying delay-locked loop,(MDLL) is presented. Noise and linearity of synthesizable DTCs are analyzed, and a two-stage synthesizable DTC is proposed in which a path-selection DTC is used as the coarse stage and a variable-slope DTC is used as the fine stage. To calibrate the DTC nonlinearity, a highly robust zero-order interpolation based nonlinearity calibration is proposed. Besides, the static phase offsets,(SPO) between bang-bang phase detector,(BBPD) and multiplexer,(MUX) are calibrated by a proposed hybrid analog/digital phase offset calibration, while the dynamic phase offsets,(DPO) are removed by a proposed complementary switching scheme. The co-design of the analog circuits and digital calibrations enable excellent jitter and spur performance. The MDLL achieves 0.70 and 0.48,ps root-mean-square,(RMS) jitter in fractional-N and integer-N modes, respectively. The fractional spur is less than -59.0,dBc, and the reference spur is -64.5,dBc. The power consumptions are 1.85,mW and 1.22,mW, corresponding to figures of merit,(FOM) of -240.4,dB and -245.5,dB.","Multiplying delay-locked loop (MDLL); bang-bang phase detector (BBPD); digital-to-time converter (DTC); fully-synthesizable; injection locking; nonlinearity calibration; path-selection DTC; phase offset; phase-locked loop (PLL); variable-slope DTC","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:9812c946-eae2-43d2-b28e-d775445c10dd","http://resolver.tudelft.nl/uuid:9812c946-eae2-43d2-b28e-d775445c10dd","Adaptation to climate change in coastal towns of between 10,000 and 50,000 inhabitants","Doust, Ken (Southern Cross University; Windana Research Pty Ltd); Wejs, Anja (Region Midtjylland); Zhang, T. (Aalborg University); Swan, Andrew (Southern Cross University; AJSwan Consulting); Sultana, Nahid (University of New South Wales); Braneon, Christian (NASA Goddard Institute for Space Studies; SciSpace LLC); Luetz, Johannes (Christian Heritage College, Australia); Casset, Laura (Inc. (LAN)); Fatorić, Sandra (TU Delft History, Form & Aesthetics)","","2021","This chapter focuses on mainland coastal towns that have populations of between 10,000 and 50,000 inhabitants. Through six case studies, the chapter develops an understanding of the characteristics that shape each of the communities. By exploring the climate change hazards each of the case studies are experiencing now and forecast into the future, the physical and ecological profile and the human development condition, a view of the climate impacts for each is shaped. The six case study areas include towns that are large enough to be a regional centre, down to much less organised and resourced communities that are at a more subsistence level of development. The case studies include communities that range from tropical storm areas to more temperate climatic areas. Adaptation strategies for each case study are observed, drawing out the similarities and the differences. Insights and lessons learnt show that the bottom-up inclusion of all communities in shaping the adaptation approach is crucial, together with alignment of policy between governments from national to local. Also crucial is the resourcing of local councils which sit on the front line of many adaptation initiatives. The role of universities and other research organisations to provide data, skills training and a toolbox of methodologies to those in the front line leadership roles. An important insight is the collaborative opportunity for universities, communities and agencies to draw out the innovative adaptation strategies that can inform other coastal communities from the smallest village to the largest city.","Bottom up adaptation; Climate change; Coastal hazards; Coastal towns; Engaging communities","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-28","","","History, Form & Aesthetics","","",""
"uuid:3b627de3-87c8-4e6f-a4ac-d7d83cd183db","http://resolver.tudelft.nl/uuid:3b627de3-87c8-4e6f-a4ac-d7d83cd183db","A-121.5 dB THD Class-D Audio Amplifier with 49 dB Suppression of LC Filter Nonlinearity and Robust to +/-30% LC Filter Spread","Zhang, H. (TU Delft Electronic Instrumentation); Berkhout, M. (Goodix Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","","2021","This paper reports a Class-D audio amplifier that uses multiloop feedback to suppress output LC filter nonlinearity by 49 dB, enabling the use of small, low-cost LC filters with ±30% spread while maintaining low distortion. Fabricated in a 180 nm BCD process, the prototype achieves a THD of-121.5 dB and a THD+N of-107.1 dB. It delivers 12W/21W into an 8-Ω/4-Ω load with 91%/87% efficiency.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-25","","Microelectronics","Electronic Instrumentation","","",""
"uuid:d567b3f4-4ff4-403d-857a-f62cc7682ca7","http://resolver.tudelft.nl/uuid:d567b3f4-4ff4-403d-857a-f62cc7682ca7","Sustainable Passive Design for Building Performance of Healthy Built Environment in the Lingnan Area","Li, Bin (South China University of Technology); Guo, Weihong (South China University of Technology); Liu, Xiao (South China University of Technology; University of Hong Kong); Zhang, Yuqing (South China University of Technology); Russell, P.J. (TU Delft General Support; Tsinghua University); Schnabel, Marc Aurel (Victoria University of Wellington)","","2021","Having a healthy built environment becomes increasingly important, especially under the effects of COVID-19. This paper intends to combine sustainable goals based on climate change with passive design principles to achieve a healthy built environment regarding the building performance of residential buildings. The Yuedao Residential Community in the Lingnan area was taken as an example for the research. Based on relevant standards of healthy buildings, the thermal, light, and acoustic environment requirements were determined. The methods of building performance simulation and on-site measurement were used to quantify the research object environments. Then, the outcomes were obtained based on these standards. As observed, the thermal environment’s adaptive thermal comfort level was level III. It was hot indoors, but the light and acoustic environments met the requirements. Building designs based on a built environment optimized by external shading systems aim to solve problems through building performance simulation and qualitative analysis. After optimization, the thermal environment improved. According to the literature review, this research focused on a healthy built environment with a sustainable passive design in terms of building performance. A research workflow was established that could be used for more practical research, with abundant research methods. The problems were solved to varying degrees, and the Lingnan architectural culture was preserved. Moreover, this research filled the gap in interactive research on healthy built environments with sustainable passive design regarding building performance","Acoustic; Building performance; Healthy built environment; Light; Lingnan area; Passive design; Residential building; Sustainability; Thermal","en","journal article","","","","","","","","","","","General Support","","",""
"uuid:8d910b72-a1b5-4202-98a1-a74bbe2b4e34","http://resolver.tudelft.nl/uuid:8d910b72-a1b5-4202-98a1-a74bbe2b4e34","Anonymous and Verifiable Reputation System for E-commerce Platforms based on Blockchain","Li, Meng (Hefei University of Technology); Zhu, Liehuang (Beijing Institute of Technology); Zhang, Zijian (Beijing Institute of Technology; The University of Auckland); Lal, C. (TU Delft Cyber Security); Conti, M. (TU Delft Cyber Security; University of Padua); Alazab, Mamoun (Charles Darwin University)","","2021","E-commerce platforms incorporate reputation systems that allow customers to rate suppliers following financial transactions. Existing reputation systems cannot defend the centralized server against arbitrarily tampering with the supplier’s reputation. Furthermore, they do not offer reputation access across platforms. Rates are faced with privacy leakages because rating activities are correlated with privacy (e.g., identity and rating). Meanwhile, raters could be malicious and initiate multiple rating attacks and abnormal rating attacks. Determining how to address these issues have both research and practical value. In this paper, we propose a blockchain-based privacy-preserving reputation system for e-commerce platforms named RepChain; our system allows cross-platform reputation access and anonymous and private ratings. Using RepChain, all e-commerce platforms collaborate and share users’ reputations by co-constructing a consortium blockchain and modeling the rating process as a finite state machine. In particular, we facilitate one-show anonymous credentials constructed from two-move blind signatures to protect customers’ identities and resist multiple rating attacks, leverage zero-knowledge range proof to verify the correctness of ratings and defend against abnormal rating attacks, design a secure sum computation protocol among nodes to update reputations, and verify ratings via batch processing and consensus hashes. Finally, we demonstrate the security and privacy of RepChain via a formal analysis and evaluate its performance based on Ethereum test network.","Blockchain; E-commerce platforms; Privacy; Rating; Security","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-04","","","Cyber Security","","",""
"uuid:d7303900-3284-4ce8-9453-cf77cfcc6d18","http://resolver.tudelft.nl/uuid:d7303900-3284-4ce8-9453-cf77cfcc6d18","On the Power Radiated by Photo Conductive Sources","Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Zhang, H. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Bueno Lopez, J. (TU Delft Electronics); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2021","The time evolution of voltages and currents in a pulsed photo conductive antenna (PCA) source is evaluated resorting to a rigorous procedure that stems from semiconductor physics first, to define the phenomena involved in the generation of the photocurrent, and then relies on an equivalent circuit in time domain, providing a direct estimation of the power generated by the PCA as well as its spectral distribution. The circuit model is validated via a campaign of measurements of standard PC antenna sources. The saturation phenomena in the THz radiated power occurring at large optical excitation levels, previously observed by the scientific community and associated to different phenomena, are accurately predicted by the present method, which ascribe their main cause to the feedback from the antenna: indeed, the electromagnetic field generated by the device tend to reduce the strength of the forcing field used to accelerate the photo-carriers.","Equivalent circuit; Photoconductive antennas (PCAs); Terahertz (THz); THz radiated power; THz sources; THz technology","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Tera-Hertz Sensing","","",""
"uuid:d717f418-0a4d-492f-bf79-5902214c967a","http://resolver.tudelft.nl/uuid:d717f418-0a4d-492f-bf79-5902214c967a","Numerical investigation of external sulfate attack and its effect on chloride binding and diffusion in concrete","Zhang, Cheng-lin (Shanghai Jiao Tong University); Chen, Wei-kang (Shanghai Jiao Tong University); Mu, Song (Jiangsu Research Institute of Building Science,); Šavija, B. (TU Delft Materials and Environment); Liu, Qing-feng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure)","","2021","Reinforced concrete (RC) structures may suffer from serious durability problems during long-term service. To investigate the deterioration of RC structures subjected to the dual attacks of chlorides and sulfates, this study proposes a coupled model for external sulfate attack (ESA) and its effect on chloride binding and diffusion. To account for the influence of sulfate attack on chloride binding, a reduction function is proposed. The effect of sulfate-induced damage on the chloride diffusion and chloride binding is considered through a damage function. The coupled model is validated against third-party experimental data from multiple perspectives. Some important factors such as solution concentration, immersion time, curing time and water-cement ratio, as well as how these factors affect the impact of sulfate attack on chloride transport, were elaborated. The findings may bring insights to the durability design of RC structures serving in harsh environments.","Binding capacity; Chloride diffusivity; Damage evolution; External sulfate attack; Multi-phase","en","journal article","","","","","","Accepted author manuscript","","2023-03-11","","","Materials and Environment","","",""
"uuid:704bcad1-146f-4645-85ca-acb73fc01f48","http://resolver.tudelft.nl/uuid:704bcad1-146f-4645-85ca-acb73fc01f48","Fresh and hardened properties of self-compacting concrete containing recycled fine clay brick aggregates","Zhang, Hongzhi (Shandong University); Yuan, Huaqiang (Shandong University); Ge, Zhi (Shandong University); Wu, Jiajie (Shandong University); Fang, Cheng (Shandong University); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2021","Clay brick is one of the major components of demolition waste, which is generally landfilled. Effective and new uses of recycled clay brick may provide sustainability benefits in terms of landfill reduction. Therefore, this research aims at applying Recycled fine clay brick aggregates (RFCBA) with sizes from 0.075 mm–4.75 mm to prepare Self-compacting concrete (SCC). The effects of RFCBA on fresh and hardened properties of SCC were investigated. Saturated surface dry RFCBA was used to replace Natural fine aggregate (NFA) with the percentage of 25%, 50%, 75% and 100%, respectively, in making the SCC mixes. Although experimental results showed that the flowability, passing ability, and segregation resistance of SCC containing RFCBA (RFCBA-SCC) decreased with the increasing RFCBA content, these properties still satisfy the criteria of SCC. The compressive strength, splitting strength, flexural strength, and elastic modulus of the RFCBA-SCC mixes decreased with an increase of RFCBA content. Due to their porous nature, recycled fine clay brick aggregates may also be a source of additional water for internal curing. The internal curing effect was confirmed by the mercury intrusion porosimetry, X-ray diffraction, and thermogravimetric analysis measurements. Moreover, a significant autogenous shrinkage reduction of SCC is achieved by using the RFCBA due to the release of additional water pre-stored in the RFCBA. Therefore, it can be concluded that the addition of RFCBA to SCC mixtures can provide additional practical benefits in the hardened state.","Recycled fine clay brick aggregate; Selfcompacting concrete; Rheology properties; Mechanical properties; Microstructures; Self-compacting concrete","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2022-01-13","","","Materials and Environment","","",""
"uuid:15c6f200-e3a5-4ddf-a0d4-07f734b6f22e","http://resolver.tudelft.nl/uuid:15c6f200-e3a5-4ddf-a0d4-07f734b6f22e","Author Correction: In-plane selective area InSb–Al nanowire quantum networks (Communications Physics, (2020), 3, 1, (59), 10.1038/s42005-020-0324-4)","op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Xu, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Schaller, V. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Q. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Moor, M.W.A. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Hesselmann, Bart (Kavli institute of nanoscience Delft; Student TU Delft); Vermeulen, K.J. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft); Zhang, H. (TU Delft Electronic Components, Technology and Materials; Kavli institute of nanoscience Delft; Tsinghua University; Beijing Academy of Quantum Information Sciences, Beijing)","","2021","The Data availability statement of this article has been modified to add the accession link to the raw data. The old Data availability statement read “Materials and data that support the findings of this research are available within the paper. All data are available from the corresponding author upon request”. This has been replaced by “Materials and data that support the findings of this research are available within the paper. The raw data have been deposited at https://zenodo.org/record/4589484#.YEoEOy1Y7Sd”. This has been corrected in both the HTML and PDF version of the article.","","en","journal article","","","","","","Correction include: The Data availability statement of this article has been modified to add the accession link to the raw data.","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:d6ebc36a-bd51-4ada-8afc-5dcdeb66d9ac","http://resolver.tudelft.nl/uuid:d6ebc36a-bd51-4ada-8afc-5dcdeb66d9ac","Erratum: The inactivation mechanism of chemical disinfection against SARS-CoV-2: The MD and DFT perspectives(RSC Advances (2020) 10 (40480–40488) DOI: 10.1039/D0RA06730J)","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Gao, Chenshan (Chongqing University); Zhou, Quan (Chongqing University); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Ye, H. (Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","The authors regret that one of the affiliations (affiliation f) was incorrectly omitted in the original manuscript. The corrected list of affiliations is as shown below. The Royal Society of Chemistry apologises for these errors and any consequent inconvenience to authors and readers.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9f3d3442-e0b9-4e90-9d9a-12a7146104cd","http://resolver.tudelft.nl/uuid:9f3d3442-e0b9-4e90-9d9a-12a7146104cd","Biocatalytic Aromaticity-Breaking Epoxidation of Naphthalene and Nucleophilic Ring-Opening Reactions","Zhang, W. (TU Delft BT/Biocatalysis; Chinese Academy of Sciences); Li, Huanhuan (Xi’an Jiaotong University); Younes, S.H.H. (TU Delft BT/Biocatalysis; Sohag University); Gómez De Santos, Patricia (Institute of Catalysis, CSIC, Madrid); Tieves, F. (TU Delft BT/Biocatalysis); Grogan, Gideon (University of York); Pabst, Martin (TU Delft BT/Environmental Biotechnology); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Whitwood, Adrian C. (University of York); Hollmann, F. (TU Delft BT/Biocatalysis)","","2021","Aromatic hydroxylation reactions catalyzed by heme-thiolate enzymes proceed via an epoxide intermediate. These aromatic epoxides could be valuable building blocks for organic synthesis giving access to a range of chiral trans-disubstituted cyclohexadiene synthons. Here, we show that naphthalene epoxides generated by fungal peroxygenases can be subjected to nucleophilic ring opening, yielding non-racemic trans-disubstituted cyclohexadiene derivates, which in turn can be used for further chemical transformations. This approach may represent a promising shortcut for the synthesis of natural products and APIs.","arene epoxides; arene oxyfunctionalization; biocatalysis; chemoenzymatic reactions; naphthalene epoxides; oxidation; peroxygenase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:cdf239b2-bda3-4626-b916-15e3fcd17fa1","http://resolver.tudelft.nl/uuid:cdf239b2-bda3-4626-b916-15e3fcd17fa1","DFT and experimental study of elemental mercury (Hg0) removal by 2D-g-C3N4","Liu, G. (TU Delft Sanitary Engineering; Jiangnan University); Yan, Qun (Jiangnan University); Zhou, Yanan (Sichuan University); Zhang, X. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2021","2D-g-C3N4 nanosheet was prepared and employed for the adsorption of elemental mercury (Hg0). The g-C3N4 was analyzed through X-ray diffraction (XRD), scanning electron microscope (SEM) and Fourier transform infrared spectroscopy (FT-IR) methods, and the results showed that the prepared sample was well-defined 2D-nanosheet. The 2D-g-C3N4 sorbent exhibited a high Hg0 removal efficiency (> 90%) at the condition of temperature 120 °C. To investigate the mechanism of Hg0 adsorption on the 2D-g-C3N4 surface, corresponding theoretical exploration based on the first principle prediction and X-ray photoelectron spectroscopy (XPS) test was implemented. The DFT calculation results showed that Hg0 was strongly bound to the B1 site of the g-C3N4 surface with an adsorption energy change of -162.2 kJ mol−1, the equilibrium distance of Hg-C was 3.473 Å, and electron transfer between Hg and C atoms was 0.02. The results of XPS showed the main species of mercury was HgO on the surface of 2D-g-C3N4 sample and the interaction between C3N4 surface and Hg0 was physisorption. This study provides a demonstration of proof-of-concept demonstration that g-C3N4 is a promising sorbent capable of capturing Hg0, and presents in-depth understanding of Hg0 adsorption mechanism on 2D-g-C3N4 sorbent.","Adsorption; CN; DFT; Elemental mercury","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a5cd6107-c67b-4fc9-ad71-0da5dfbd8ed0","http://resolver.tudelft.nl/uuid:a5cd6107-c67b-4fc9-ad71-0da5dfbd8ed0","Revisiting China’s Sponge City Planning Approach: Lessons From a Case Study on Qinhuai District, Nanjing","Chen, Shiyang (Student TU Delft; Deltares); van de Ven, F.H.M. (TU Delft Water Resources; Deltares); Zevenbergen, C. (TU Delft Urban Design; TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Verbeeck, Simon (LOLA Landscape Architects); Ye, Qinghua (TU Delft Coastal Engineering; Deltares); Zhang, Weijun (Ewaters (Shanghai)); Wei, Liang (Achterboschzantman International)","","2021","Integrating sustainable urban water management into the urban planning process is essential for developing water-resilient cities. To this end, the central government of the People’s Republic of China initiated the “Sponge City” programme. However, challenges and gaps exist in current urban planning practice. The operationalizable planning approach to realise the multiple objectives of Sponge City is missing in the existing guidelines. Using a local example of Sponge City planning in Nanjing City as a case study, this paper outlines the current Sponge City approach from the perspectives of planning content and planning process. A qualitative comparative analysis between Nanjing’s Sponge City planning and Auckland Water Sensitive Design, as well as an evaluation of the Sponge City approach through the lens of Dutch urban water management, identified key missing elements that would enhance the current Sponge City planning approach. Examples include targets for pluvial flood protection, a strategy for planning interventions, and tools for interdisciplinary cooperation in the planning process. This enhanced approach was successfully applied in the Sponge City planning for Qinhuai District, Nanjing City. Nevertheless, challenges on data availability and the decision-makers’ mindsets called for more efforts on the interface of research and policy development for upscaling the Sponge City approach.","Sponge City; co-design; comprehensive approach; urban planning; water management","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:e6a8c4b3-c5a5-4ff4-bb8b-6870c9528608","http://resolver.tudelft.nl/uuid:e6a8c4b3-c5a5-4ff4-bb8b-6870c9528608","High-Silica CHA Zeolite Membrane with Ultra-High Selectivity and Irradiation Stability for Krypton/Xenon Separation","Wang, Xuerui (Nanjing Tech University); Zhou, Tao (Nanjing Tech University); Zhang, Ping (Nanjing Tech University); Yan, Wenfu (Jilin University); Li, Yongguo (China Institute for Radiation, Taiyuan); Peng, Li (Nanjing Tech University); Veerman, Dylan (Student TU Delft); Shi, Mengyang (Nanjing Tech University); Gu, Xuehong (Nanjing Tech University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2021","Capture and storage of the long-lived 85Kr is an efficient approach to mitigate the emission of volatile radionuclides from the spent nuclear fuel reprocessing facilities. However, it is challenging to separate krypton (Kr) from xenon (Xe) because of the chemical inertness and similar physical properties. Herein we prepared high-silica CHA zeolite membranes with ultra-high selectivity and irradiation stability for Kr/Xe separation. The suitable aperture size and rigid framework endures the membrane a strong size-exclusion effect. The ultrahigh selectivity of 51–152 together with the Kr permeance of 0.7–1.3×10−8 mol m−2 s−1 Pa−1 of high-silica CHA zeolite membranes far surpass the state-of-the-art polymeric membranes. The membrane is among the most stable polycrystalline membranes for separation of humid Kr/Xe mixtures. Together with the excellent irradiation stability, high-silica CHA zeolite membranes pave the way to separate radioactive Kr from Xe for a notable reduction of the volatile nuclear waste storage volume.","CHA zeolite; gas separation; krypton; membrane; xenon","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:ec99cb59-5f45-4bdc-baf9-83b33acc7267","http://resolver.tudelft.nl/uuid:ec99cb59-5f45-4bdc-baf9-83b33acc7267","Conversational Search and Recommendation: Introduction to the Special Issue","Hauff, C. (TU Delft Web Information Systems); Kiseleva, Julia (Microsoft); Sanderson, Mark (Royal Melbourne Institute of Technology University); Zamani, Hamed (University of Massachusetts Amherst); Zhang, Yongfeng (Rutgers University–New Brunswick)","","2021","An introduction to the special issue on conversational search and recommendation is presented in this article. While conversational search and recommendation has roots in early Information Retrieval (IR) research, the recent advances in automatic voice recognition and conversational agents have created increasing interest in this area. In recent years, the IR and related communities have witnessed a number of major contributions to the field of conversational search and recommendation. They include but are not limited to conversational search conceptualization. The growing body of work in this area has been supplemented by an increasing number of recent seminars.","conversational question answering; conversational recommendation; Conversational search; interactive information retrieval;","en","review","","","","","","","","","","","Web Information Systems","","",""
"uuid:58d6df96-16c4-428b-8de8-73583823c7e5","http://resolver.tudelft.nl/uuid:58d6df96-16c4-428b-8de8-73583823c7e5","Surface-micromachined Silicon Carbide Pirani Gauges for Harsh Environments","Mo, J. (TU Delft Electronic Components, Technology and Materials; TU Delft QN/Zandbergen Lab); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Morana, B. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","The application of pressure sensors in harsh environments is typically hindered by the stability of the material over long periods of time. This work focuses on the design and fabrication of surface micromachined Pirani gauges which are designed to be compatible with state-of-the-art Silicon Carbide CMOS technology. Such an integrated platform would boost harsh environment compatibility while reducing the required packaging complexity. An analytical model was derived describing the design variables of the Pirani gauges followed by Finite Element Analysis. The Pirani gauges were fabricated in a CMOS compatible cleanroom with a process employing only three masks, thus suitable for mass production. The SiC-based Pirani gauge is far more competitive than the traditional Si-based Pirani gauge in terms of endurance in high-temperature environments. From 25°C to 650°C, the gauge shows a reproducible response to pressure changes and has a maximum sensitivity of $17.63~\Omega $ /Pa at room temperature, and of $1.23~\Omega $ /Pa at 650°C. Additionally, some of the gauges were demonstrated to operate at temperatures up to 750°C.","MEMS; Pressure sensor; harsh environments; silicon carbide; surface micromaching; vacuum gauge","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-02","","","Electronic Components, Technology and Materials","","",""
"uuid:df20c956-7919-47fe-ba19-8f9244ce734c","http://resolver.tudelft.nl/uuid:df20c956-7919-47fe-ba19-8f9244ce734c","A Fourier Optics Tool to Derive the Plane Wave Spectrum of Quasi-Optical Systems [EM Programmer's Notebook]","Zhang, H. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Carluccio, G. (NXP Semiconductors); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2021","We present a freely accessible graphical user interface (GUI) for analyzing antenna-fed quasi-optical (QO) systems in reception (Rx). This analysis is presented here for four widely used canonical QO components: parabolic reflectors and elliptical, extended hemispherical, and hyperbolic lenses. The employed methods are geometrical optics (GO) and Fourier optics (FO). Specifically, QO components are illuminated by incident plane waves. By using a GO-based propagation code, the scattered fields are evaluated at an equivalent sphere centered on the primary focus of the component. The FO methodology is then used to represent the scattered fields over the focal plane as plane wave spectrum. A field correlation between this spectrum and the antenna feed radiating without the QO component is implemented to evaluate the induced open-circuit voltage on the feed in Rx. By performing a field matching between these two spectral fields, feed designers can optimize the broadside and/or steering aperture efficiencies of QO systems in a fast manner. The tool is packaged into a MATLAB GUI, which reports the efficiency terms, directivity, and gain patterns of antenna-coupled QO systems. The described tool is validated via full-wave simulations with excellent agreement.","","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:f44af94b-40be-4ee9-a573-1965a4e3d002","http://resolver.tudelft.nl/uuid:f44af94b-40be-4ee9-a573-1965a4e3d002","The effect of a mobile HEPA filter system on ‘infectious’ aerosols, sound and air velocity in the SenseLab","Bluyssen, P.M. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment)","","2021","High efficiency air filtration has been suggested to reduce airborne transmission of ‘infectious’ aerosols. In this study the ‘air cleaning’ effect as well as the effect on sound and air velocity (draught risk) of a mobile High-Efficiency Particulate Air (HEPA) filter system was tested for different settings and positions in the Experience room of the SenseLab. From both the noise assessments by a panel of subjects and sound monitoring it was concluded that the mobile HEPA filter system causes an unacceptable background sound level in the tested classroom setting (Experience room). With respect to the air velocity measurements and draught rating calculations, it was concluded that both depend on the position and the setting of the HEPA filter system as well as on the position and height of the measurements. For the removal of aerosols simulated by air-filled soap bubbles in front of the subject, the mobile HEPA filter system performed better as compared to the ‘No ventilation’ regime, for all settings and both positions, and for some settings, even better than all the tested mixing ventilation regimes. The use of a mobile HEPA filter system seems a good additional measure when only natural ventilation options are available. Future research should focus on rooms of different sizes or shapes, as this may also play a role in the filter's performance, noise and draught effects.","Aerosols; Airborne transmission; HEPA filters; SARS-CoV-2; Ventilation","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:6ed1de6b-65ab-443a-9edb-e6e5ae840dc0","http://resolver.tudelft.nl/uuid:6ed1de6b-65ab-443a-9edb-e6e5ae840dc0","Analysis of Railway Ballasted Track Stiffness and Behavior with a Hybrid Discrete-Continuum Approach","Shi, Can (Southwest Jiaotong University); Zhao, Chunfa (Southwest Jiaotong University); Yang, Yang (China Railway Eryuan Engineering Group Co.); Guo, Y. (TU Delft Railway Engineering); Zhang, Xu (Guangdong University of Technology)","","2021","Railway ballasted track stiffness is an important indicator to identify supporting condition that ensures that the facility is well designed and functioned. Although many studies have been performed on track stiffness based on experimental tests and finite-element methods, the factors influencing the track stiffness have not been completely confirmed yet, especially the influences from ballast and subgrade layers at the mesoscopic level. To address this research gap, a combination of the discrete element method and the finite difference method model was utilized to study the factors influencing the track stiffness from the particle level. Factors (related to ballast layer properties) are bulk density, thickness, and stiffness, and another factor (related to subgrade properties) is elastic modulus. Additionally, the relationship between the track stiffness and the mechanical behavior of ballast was analyzed. This study quantified the influences of track components on the track stiffness and accordingly proposed how to improve it from the ballast and subgrade layers at the mesoscopic level, which can provide guidance for railway ballasted track design and maintenance.","Discrete element method; Finite difference method; Hybrid simulation; Railway ballasted track; Track stiffness","en","journal article","","","","","","Accepted Author Manuscript","","","","","Railway Engineering","","",""
"uuid:e5edba67-1244-4285-bef6-90090c0f5840","http://resolver.tudelft.nl/uuid:e5edba67-1244-4285-bef6-90090c0f5840","Effect of oxidation ditch and anaerobic-anoxic-oxic processes on CX3R-type disinfection by-product formation during wastewater treatment","Peng, Liqi (Tongji University; Shanghai Institute of Pollution Control and Ecological Security); Wang, Feifei (Shanghai University); Zhang, Di (Tongji University; Shanghai Institute of Pollution Control and Ecological Security); Fang, Chao (Tongji University; Shanghai Institute of Pollution Control and Ecological Security); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Chu, Wenhai (Tongji University; Shanghai Institute of Pollution Control and Ecological Security)","","2021","The high chlorine dosages in wastewater treatment plants during the COVID-19 pandemic may result in increased formation of disinfection by-products (DBPs), posing great threat to the aquatic ecosystem of the receiving water body and the public health in the downstream area. However, limited information is available on the effect of biological wastewater treatment processes on the formation of CX3R-type DBPs. This study investigated the effect of oxidation ditch (OD) and anaerobic-anoxic-oxic (AAO), two widely used biological wastewater treatment processes, on the formation of five classes of CX3R-type DBPs, including trihalomethanes (THMs), haloacetic acids (HAAs), haloacetaldehydes (HALs), haloacetonitriles (HANs) and halonitromethanes (HNMs), during chlorination. Experimental results showed that biological treatment effectively reduced the dissolved organic carbon (DOC) and UV254, while it increased the dissolved organic nitrogen (DON), and therefore the ratio of DON/DOC. In addition, increases in the contents of soluble microbial product- and humic acid-like matters, and the transformation of high molecular weight (MW) fractions in the dissolved organic matter into low MW fractions were observed after OD and AAO processes. Although biological treatment effectively decreased the formation of Cl-THMs, Cl-HAAs, Cl-HANs and Cl-HNMs, the formation of DBCM, DBAA, BDCAA, DBCAA, DCAL, TCAL and DBAN (where C = chloro, B = bromo, D = di, T = tri) all increased significantly, due to the increased formation reactivity. Moreover, biological treatment increased the ratio of bromide/DOC and bromine incorporation into THMs, HAAs and DHANs except for HALs and THANs. Different from previous studies, this study revealed that biological treatment increased the formation of some DBPs, especially brominated DBPs, despite the efficient removal of organic matters. It provides insights into the DBP risk control in wastewater treatment, particularly during the COVID-19 pandemic.","Disinfection by-products; Wastewater disinfection; Oxidation ditch; Anaerobic-anoxic-oxic; Formation reactivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-22","","","Sanitary Engineering","","",""
"uuid:12525ccf-027a-48c8-a99f-eacf373483f9","http://resolver.tudelft.nl/uuid:12525ccf-027a-48c8-a99f-eacf373483f9","Unified elimination of 1D acoustic multiple reflection","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2021","Migration, velocity and amplitude analysis are the employed processing steps to find the desired subsurface information from seismic reflection data. The presence of free-surface and internal multiples can mask the primary reflections for which many processing methods are built. The ability to separate primary from multiple reflections is desirable. Connecting Marchenko theory with classical one-dimensional inversion methods allows to understand the process of multiple reflection elimination as a data-filtering process. The filter is a fundamental wave field, defined as a pressure and particle velocity that satisfy the wave equation. The fundamental wave field does not depend on the presence or absence of free-surface multiples in the data. The backbone of the filtering process is that the fundamental wave field is computed from the measured pressure and particle velocity without additional information. Two different multiples-free datasets are obtained: either directly from the fundamental wave field or by applying the fundamental wave field to the data. In addition, the known schemes for Marchenko multiple elimination follow from the main equation. Numerical examples show that source and receiver ghosts, free-surface and internal multiples can be removed simultaneously using a conjugate gradient scheme. The advantage of the main equation is that the source wavelet does not need to be known and no pre-processing is required. The fact that the reflection coefficients can be obtained is an interesting feature that could lead to improved amplitude analysis and inversion than would be possible with other processing methods.","Multiple attenuation; Reverse-time migration; Seismic imaging","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:5a8af4ba-bbb9-448c-847f-58a91324ca98","http://resolver.tudelft.nl/uuid:5a8af4ba-bbb9-448c-847f-58a91324ca98","Interlayer closed-loop control of forming geometries for wire and arc additive manufacturing based on fuzzy-logic inference","Li, Yongzhe (Student TU Delft); Li, Xinlei (Harbin Institute of Technology); Zhang, Guangjun (Harbin Institute of Technology); Horvath, I. (TU Delft Cyber-Physical Systems); Han, Qinglin (Harbin Institute of Technology)","","2021","The deposition process of wire and arc additive manufacturing (WAAM) is usually planned based on a bead geometry model (BGM), which represents the relationship between bead geometries (e.g. width, height) and required deposition parameters. However, the actual deposition situation may deviate from the one in which the BGM is built, such as varied heat dissipation conditions, resulting in morphological changes of deposited beads and geometrical errors in the formed parts. In this paper, a novel control mechanism for enhancing the fabrication accuracy of WAAM based on fuzzy-logic inference is proposed. It considers the geometrical errors measured on already deposited layers and deposition context to adjust deposition parameters of beads in the subsequent layer, forming an interlayer closed-loop control (ICLC) mechanism. This paper not only presents the theoretical fundamentals of the ICLC mechanism but also reports the technical details about utilizing this mechanism to control the forming height of multi-layer multi-bead (MLMB) components. A fuzzy-logic inference machine was applied as the core component for calculating speed change of bead deposition based on height error and previously applied change. In terms of validation, the effectiveness of the proposed control mechanism and the implemented controller was investigated through both simulative studies and real-life experiments. The fabricated cuboid blocks showed good accuracy in height with a maximum error of 0.20 mm. The experimental results implied that the proposed ICLC approach facilitates deposition continuity of WAAM, and thus enables process automation for robotic manufacturing.","Closed-loop control; Fuzzy-logic inference; Interlayer adjustment; Multi-layer multi-bead deposition; Wire and arc additive manufacturing","en","journal article","","","","","","","","2021-04-24","","","Cyber-Physical Systems","","",""
"uuid:18d235ca-662e-409c-bd40-0df3410d5284","http://resolver.tudelft.nl/uuid:18d235ca-662e-409c-bd40-0df3410d5284","Long-term deterioration of lubricant-infused nanoporous anodic aluminium oxide surface immersed in NaCl solution","Wu, Dequan (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Liu, Bei (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Minhas, Badar (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Terryn, H.A. (TU Delft Team Arjan Mol; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2021","This study investigated the deterioration of a lubricant-infused anodic aluminium oxide surface in a 1 M NaCl solution for ∼200 days. Direct observation by cryo-SEM and quantitative analyses by UV spectroscopy and EIS revealed that the long-term deterioration of the lubricant-infused surface was divided into two stages: the surface-adhered lubricant layer gradually dissolved at a constant rate until the substrate was exposed; afterwards the lubricant infused in the nanochannels began to diffuse and was depleted after ∼200 days. The EIS results also revealed that the defects reduced the corrosion resistance of the lubricant-infused surface considerably.","Anodic aluminium oxide; Deterioration; EIS; Lubricant-infused surface","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-08","","","Team Arjan Mol","","",""
"uuid:932fb88a-6b18-4054-8a38-2b077bda49b5","http://resolver.tudelft.nl/uuid:932fb88a-6b18-4054-8a38-2b077bda49b5","Multimodal dispersive waves in a free rail: Numerical modeling and experimental investigation","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2021","In this paper, we present a solution method based on finite element (FE) modeling to predict multimodal dispersive waves in a free rail. As well as the modal behaviors and wavenumber-frequency dispersion relations, the phase and group velocities of six types of propagative waves are also derived and discussed in detail in the frequency range of 0–5 kHz. To experimentally distinguish different types of wave modes, the operating deflection shape (ODS) measurement approach is employed in the laboratory. ODS is measured from the spatial distribution of imaginary parts of the FRFs. We also propose a synchronized multiple-acceleration wavelet (SMAW) approach to experimentally study the propagation and dispersion characteristics of waves in a free rail. The group velocities in the vertical, longitudinal and lateral directions are estimated from the wavelet power spectra (WPSs). The good agreement between the simulation and measurement in terms of mode shapes and ODSs, wavenumber-frequency dispersion curves, and group velocities indicates that the ODS and SMAW approaches are capable of distinguishing different wave modes and measuring wave propagation and dispersion characteristics. In situ experimental results further demonstrate the effectiveness of the ODS measurement for coupled modal identification and the SMAW approach for wave dispersion analysis of the rail in a field track.","Multimodal dispersive waves; Operating deflection shapes; Phase and group velocities; Synchronized multiple-acceleration wavelet","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:3235aba3-b050-40e7-adc9-bdcb7533d75f","http://resolver.tudelft.nl/uuid:3235aba3-b050-40e7-adc9-bdcb7533d75f","Recent advances in hot tearing during casting of aluminium alloys","Li, Yue (University of Science and Technology Beijing); Li, Hongxiang (University of Science and Technology Beijing); Katgerman, L. (TU Delft Team Kevin Rossi); Du, Qiang (SINTEF); Zhang, Jishan (University of Science and Technology Beijing); Zhuang, Linzhong (University of Science and Technology Beijing)","","2021","Hot tearing is one of the most severe and irreversible casting defects for many metallic materials. In 2004, Eskin et al. published a review paper in which the development of hot tearing of aluminium alloys was evaluated (Eskin and Suyitno, 2004). Sixteen years have passed and this domain has undergone considerable development. Nevertheless, an updated systematic description of this field has not been presented. Therefore, this article presents the latest research status of the hot tearing during the casting of aluminium alloys. The first part explains the hot tearing phenomenon and its occurrence mechanism. The second part presents a detailed description and analysis of the characterisation methods of the mushy zone mechanical properties and hot tearing susceptibility. The third part presents considerable data pertaining to the mushy zone behaviour, including those of the linear contraction and load behaviour during solidification, semi-solid strength and ductility, and characteristic points related to hot tearing. The fourth part examines the effect of the composition and casting process parameters on the hot tearing susceptibility of aluminium alloys. The fifth part describes the hot tearing simulations and the associated criteria and mechanisms. Finally, recommendations for the further development of hot tearing research are presented.","Aluminium alloys; Casting; Compositions; Hot tearing; Mushy zone; Process parameters","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-22","","","Team Kevin Rossi","","",""
"uuid:3abbaf7e-a248-45a7-8c91-0f66647fefb4","http://resolver.tudelft.nl/uuid:3abbaf7e-a248-45a7-8c91-0f66647fefb4","Magnetic seeding coagulation: Effect of Al species and magnetic particles on coagulation efficiency, residual Al, and floc properties","Lv, Miao (Harbin Institute of Technology); Li, Dongyi (Tianjin University); Zhang, Zhaohan (Harbin Institute of Technology); Logan, Bruce E. (The Pennsylvania State University); van der Hoek, J.P. (TU Delft Sanitary Engineering); Sun, Muchen (Harbin Institute of Technology); Chen, Fan (Northwestern Polytechnical University); Feng, Yujie (Harbin Institute of Technology)","","2021","Magnetic seeding coagulation (MSC) process has been used to accelerate flocs sedimentation with an applied magnetic field, offering large handling capacity and low energy consumption. The interactions of three typical Al species, aluminum chloride (AlCl3), Al13O4(OH)247+ polymer (Al13), and (AlO4)2Al28(OH)5618+ polymer (Al30), with magnetic particles (MPs) were examined to clarify the MSC process. In traditional coagulation (TC) process, the aggregation of primary Ala-dissolved organic matter (DOM) complexes with in-situ-formed polynuclear species generated a large average floc size (226 μm), which was proved to be efficient for DOC removal (52.6%). The weak connections between dissolved Ala-DOM complexes and MPs led to the negligible changes of dissolved Al after seeding with MPs in AlCl3. A significant interaction between MPs and Al13 was observed, in which the MPs-Al13-DOM complexes were proposed to be responsible for the significant improvement of DOC removal (from 47% to 52%) and residual total Al reduction (from 1.05 to 0.27 mg Al L−1) with MPs addition. Al30 produced a lower floc fractal dimension (Df = 1.88) than AlCl3 (2.08) and Al13 (1.99) in the TC process, whereas its floc strength (70.9%) and floc recovery (38.5%) were higher than the others. Although more detached fragments were produced with MPs addition, the effective sedimentation of these fragments with the applied magnetic field led to the decrease of residual turbidity and colloidal Al in Al30. The dependence of coagulation behavior to MPs and different Al species can be applied to guide the application of an effective MSC process.","Al species; Coagulation; Floc properties; Magnetic particles; Response surface methodology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-17","","","Sanitary Engineering","","",""
"uuid:a89cc532-0f3a-4f22-8ea8-9462c82c604d","http://resolver.tudelft.nl/uuid:a89cc532-0f3a-4f22-8ea8-9462c82c604d","Spatial-temporal potential exposure risk analytics and urban sustainability impacts related to COVID-19 mitigation: A perspective from car mobility behaviour","Jiang, Peng (Institute of High Performance Computing); Fu, Xiuju (Institute of High Performance Computing); Fan, Yee Van (Brno University of Technology - VUT Brno); Klemeš, Jiří Jaromír (Brno University of Technology - VUT Brno); Chen, P. (TU Delft Statistics); Ma, Stefan (Ministry of Health, Government of Singapore); Zhang, Wanbing (Institute of High Performance Computing)","","2021","Coronavirus disease-2019 (COVID-19) poses a significant threat to the population and urban sustainability worldwide. The surge mitigation is complicated and associates many factors, including the pandemic status, policy, socioeconomics and resident behaviours. Modelling and analytics with spatial-temporal big urban data are required to assist the mitigation of the pandemic. This study proposes a novel perspective to analyse the spatial-temporal potential exposure risk of residents by capturing human behaviours based on spatial-temporal car park availability data. Near real-time data from 1,904 residential car parks in Singapore, a classical megacity, are collected to analyse car mobility and its spatial-temporal heat map. The implementation of the circuit breaker, a COVID-19 measure, in Singapore has reduced the mobility and heat (daily frequency of mobility) significantly at about 30.0%. It contributes to a 44.3%–55.4% reduction in the transportation-related air emissions under two scenarios of travelling distance reductions. Urban sustainability impacts in both environment and economy are discussed. The spatial-temporal potential exposure risk mapping with space-time interactions is further investigated via an extended Bayesian spatial-temporal regression model. The maximal reduction rate of the defined potential exposure risk lowers to 37.6% by comparison with its peak value. The big data analytics of changes in car mobility behaviour and the resultant potential exposure risks can provide insights to assist in (a) designing a flexible circuit breaker exit strategy, (b) precise management via identifying and tracing hotspots on the mobility heat map, and (c) making timely decisions by fitting curves dynamically in different phases of COVID-19 mitigation. The proposed method has the potential to be used by decision-makers worldwide with available data to make flexible regulations and planning.","Air-emission reduction; Car mobility behaviour; COVID-19; Flexible lockdown strategy; Risk mitigation; Spatial-temporal analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-28","","","Statistics","","",""
"uuid:f85c94f1-5b01-46e8-a285-7154e45761a2","http://resolver.tudelft.nl/uuid:f85c94f1-5b01-46e8-a285-7154e45761a2","Novel Solid-State Sodium-Ion Battery with Wide Band Gap NaTi2(PO4)3 Nanocrystal Electrolyte","Dai, Hanqing (Fudan University); Xu, Wenqian (Nanjing University of Posts and Telecommunications); Hu, Zhe (Fudan University); Chen, Yuanyuan (Fudan University); Gu, Jing (Nanjing University of Posts and Telecommunications); Xie, Fengxian (Fudan University); Wei, Wei (Nanjing University of Posts and Telecommunications); Guo, Ruiqian (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","NaTi2(PO4)3 (NTP), a well-known anode material, could be used as a solid wide-band gap electrolyte. Herein, a novel solid-state sodium-ion battery (SSIB) with the thickness of electrolyte up to the millimeter level is proposed. The results of the difference in charge density investigated by the first-principles calculations imply that using the NTP nanocrystals as electrolytes to transport sodium ions is feasible. Moreover, the SSIB exhibits a high initial discharge capacity of 3250 mAh g-1 at the current density of 50 mA g-1. As compared with other previously reported SSIBs, our results are better than those reported and suggest that the NTP nanocrystals have potential application in SSIBs as solid electrolytes.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f6ea009a-fa7d-4e74-8f5d-d6be3e2d43e6","http://resolver.tudelft.nl/uuid:f6ea009a-fa7d-4e74-8f5d-d6be3e2d43e6","Plant traits determining biogeomorphic landscape dynamics: A study on clonal expansion strategies driving cliff formation at marsh edges","Cao, Haobing (East China Normal University; Universiteit Utrecht); Zhu, Zhenchang (Guangdong University of Technology); Herman, P.M.J. (TU Delft Environmental Fluid Mechanics; TU Delft Hydraulic Engineering; Deltares); Temmerman, Stijn (Universiteit Antwerpen); de Smit, Jaco (Universiteit Utrecht); Zhang, Liquan (East China Normal University); Yuan, Lin (East China Normal University); Bouma, Tjeerd J. (Universiteit Utrecht)","","2021","Despite the well-recognized importance of plant traits for biogeomorphic development of landscapes, our understanding remains limited of how species-specific plant traits respond to and serve as drivers for the sedimentary dynamics within a biogeomorphic landscape. By manipulating a series of laboratory experiments, using mesocosms and a flume, we examined how species-specific differences in expansion strategy, i.e., clonal step-length of laterally expanding tillers, both respond to sediment type and drive cliff formation and persistence. We compared three marsh species, with contrasting clonal expansion traits, that are dominant in many estuaries worldwide: Spartina anglica, Scirpus maritimus, and Phragmites australis. Our results revealed that S. anglica tussocks tend to have high shoot density due to a short clonal expansion step-length, whereas S. maritimus tussocks were much more diffuse and tend to have a longer clonal expansion step-length. P. australis showed intermediate traits. Clonal expansion step-length did show within-species variation in response to sediment texture, but species-specific differences remained the most important. Species with smaller clonal step-lengths, such as S. anglica in this study, are more likely to induce cliffs at the marsh edge by driving formation of larger cliff heights and also having lower capacity to grow out from cliffs. Our findings thus illustrate how dynamic landscape features like cliffs at marsh edges depend on the clonal expansion traits of the dominant species. This enhances current understanding of the formation and development of marsh edges, and is instructive for understanding the role of species-specific traits in driving distinct biogeomorphic landscape dynamics.","","en","journal article","","","","","","","","","","Hydraulic Engineering","Environmental Fluid Mechanics","","",""
"uuid:7c359de3-07a4-406e-b916-424b5186a75f","http://resolver.tudelft.nl/uuid:7c359de3-07a4-406e-b916-424b5186a75f","Guidelines for Studying Diverse Types of Compound Weather and Climate Events","Bevacqua, Emanuele (Helmholtz Centre for Environmental Research - UFZ; University of Reading); De Michele, Carlo (Politecnico di Milano); Manning, Colin (Newcastle University); Couasnon, A.A.O. (Vrije Universiteit Amsterdam); Ribeiro, Andreia F.S. (ETH Zürich; University of Lisbon); Ramos, Alexandre M. (University of Lisbon); Ragno, E. (TU Delft Hydraulic Structures and Flood Risk); Saunders, Kate (Queensland University of Technology); Zhang, Tianyi (Chinese Academy of Sciences)","","2021","Compound weather and climate events are combinations of climate drivers and/or hazards that contribute to societal or environmental risk. Studying compound events often requires a multidisciplinary approach combining domain knowledge of the underlying processes with, for example, statistical methods and climate model outputs. Recently, to aid the development of research on compound events, four compound event types were introduced, namely (a) preconditioned, (b) multivariate, (c) temporally compounding, and (d) spatially compounding events. However, guidelines on how to study these types of events are still lacking. Here, we consider four case studies, each associated with a specific event type and a research question, to illustrate how the key elements of compound events (e.g., analytical tools and relevant physical effects) can be identified. These case studies show that (a) impacts on crops from hot and dry summers can be exacerbated by preconditioning effects of dry and bright springs. (b) Assessing compound coastal flooding in Perth (Australia) requires considering the dynamics of a non-stationary multivariate process. For instance, future mean sea-level rise will lead to the emergence of concurrent coastal and fluvial extremes, enhancing compound flooding risk. (c) In Portugal, deep-landslides are often caused by temporal clusters of moderate precipitation events. Finally, (d) crop yield failures in France and Germany are strongly correlated, threatening European food security through spatially compounding effects. These analyses allow for identifying general recommendations for studying compound events. Overall, our insights can serve as a blueprint for compound event analysis across disciplines and sectors.","climate change; compound events; environmental risk; guidelines; multidisciplinary; typology","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:59c2b3d4-8d5f-42ef-bc41-8ce85e290d89","http://resolver.tudelft.nl/uuid:59c2b3d4-8d5f-42ef-bc41-8ce85e290d89","Chlorination contributes to multi-antibiotic resistance in a pilot-scale water distribution system","Li, Jinmei (University of Chinese Academy of Sciences); Zhang, Shuting (DongGuan University of Technology, Dongguan); Guo, Lizheng (Chinese Academy of Sciences); Chen, L. (TU Delft Sanitary Engineering); Yu, Zhisheng (University of Chinese Academy of Sciences)","","2021","The generation and dissemination of antibiotic resistance bacteria (ARB) and antibiotic resistance genes (ARGs) in the environment has become a critical risk to human health. This study is based on a pilot-scale simulated water distribution system to understand the effects of chlorine disinfection treatment (without free chlorine) on ARB and ARGs in biofilms. The hydraulic parameters and pipe materials of the system were simulated based on a drinking water system. The results of the colony counts showed that bacterial multi-antibiotic resistance could be enhanced 13-fold in the biofilms of the pipeline. The use of high-throughput qPCR (HT-qPCR) indicated that the total relative abundance of ARGs in biofilm samples increased significantly (p, 0.05), while the diversity of bacteria was shown to be reduced via taxonomic analysis of the V3–V4 region of 16S rRNA. The prominent types of ARGs were conferred resistance by aminoglycoside and β-lactam after the chlorine disinfection treatment, and antibiotic deactivation was the main mechanism. Phyla Proteobacteria had the highest abundance in both treatment and control groups but decreased from 70.81% (initial biofilm sample) to 26.09% (the sixth-month biofilm sample) in the treatment groups. The results show that the chlorine disinfection plays a role in the risk of development of bacterial antibiotic resistance in pipe networks owing to bacteria in biofilms. This study was the first to investigate the contribution of chlorination without free chlorine to the bacterial community shift and resistome alteration in biofilms at a pilot-test level.","Antibiotic resistance; ARG; Biofilm; DBPs; Multi-drug resistance","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:dc3b82e4-52b9-47c2-9458-cd8c483f0831","http://resolver.tudelft.nl/uuid:dc3b82e4-52b9-47c2-9458-cd8c483f0831","Development of an adaptive CTM–RPIM method for modeling large deformation problems in geotechnical engineering","Li, Jianguo (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Wang, Bin (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Jiang, Quan (University of Chinese Academy of Sciences; Chinese Academy of Sciences); He, Benguo (Northeastern University); Zhang, Xue (University of Liverpool); Vardon, P.J. (TU Delft Geo-engineering)","","2021","In this paper, a meshfree method called adaptive CTM–RPIM is developed to model geotechnical problems with large deformation. The developed adaptive CTM–RPIM is a combination of the Cartesian transformation method (CTM), the radial point interpolation method (RPIM) and the alpha shape method. To reduce the requirement for meshes, the CTM is adopted to transform domain integrals into line integrals, and the RPIM is applied to construct interpolation functions. The alpha shape method, which is capable of capturing severe boundary evolution due to large deformations, is then introduced into the CTM–RPIM to form the adaptive CTM–RPIM. The accuracy of CTM–RPIM is first verified by considering a cantilever beam under small deformation, where the influence of key parameters on the simulation results is explored. Afterward, the ability of the adaptive CTM–RPIM to handle large deformation problems is demonstrated by simulating cantilever beams with large deformations for which analytical solutions are available. Finally, the ability of the proposed method to model the geotechnical large deformations is illustrated from both quasi-static and dynamic aspects, where a slope failure problem and a footing bearing capacity problem are modeled to evaluate the stability of geotechnical structures; and a 2-D soil collapse experiment using small aluminum bars is simulated to show the method capability in describing the soil flows. These benchmark examples demonstrate that the adaptive CTM–RPIM is a numerical method with broad application prospects for modeling large deformation problems in geotechnical engineering.","Alpha shape method; Cartesian transformation method; Geotechnical engineering; Large deformation; Radial point interpolation method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-07","","","Geo-engineering","","",""
"uuid:9aeb33b8-3cd9-48a4-b591-b7cd3b407177","http://resolver.tudelft.nl/uuid:9aeb33b8-3cd9-48a4-b591-b7cd3b407177","Glacier area and snow cover changes in the range system surrounding tarim from 2000 to 2020 using google earth engine","Zhang, Jing (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Zhou, Jie (Central China Normal University); Ren, Shaoting (Chinese Academy of Sciences)","","2021","Glacier and snow are sensitive indicators of regional climate variability. In the early 21st century, glaciers in the West Kunlun and Pamir regions showed stable or even slightly positive mass budgets, and this is anomalous in a worldwide context of glacier recession. We studied the evolution of snow cover to understand whether it could explain the evolution of glacier area. In this study, we used the thresholding of the NDSI (Normalized Difference Snow Index) retrieved with MODIS data to extract annual glacier area and snow cover. We evaluated how the glacier trends related to snow cover area in five subregions in the Tarim Basin. The uncertainty in our retrievals was assessed by comparing MODIS results with the Landsat-5 TM in 2000 and Landsat-8 OLI in 2020 glacier delineation in five subregions. The glacier area in the Tarim Basin decreased by 1.32%/a during 2000–2020. The fastest reductions were in the East Tien Shan region, while the slowest relative reduction rate was observed in the West Tien Shan and Pamir, i.e., 0.69%/a and 1.08%/a, respectively, during 2000–2020. The relative glacier stability in Pamir may be related to the westerlies weather system, which dominates climate in this region. We studied the temporal variability of snow cover on different temporal scales. The analysis of the monthly snow cover showed that permanent snow can be reliably delineated in the months from July to September. During the summer months, the sequence of multiple snowfall and snowmelt events leads to intermittent snow cover, which was the key feature applied to discriminate snow and glacier.","Climate change; Glacier area; MODIS; Snow cover; Tarim Basin","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:0a0fe7d2-74c1-4ef1-b769-65fe78a5e79d","http://resolver.tudelft.nl/uuid:0a0fe7d2-74c1-4ef1-b769-65fe78a5e79d","Fluidization of fine lactose for dry powder inhalation: A comparison of assisting methods","Zhang, F. (TU Delft ChemE/Product and Process Engineering); La Zara, D. (TU Delft ChemE/Product and Process Engineering); Sun, F. (TU Delft ChemE/Product and Process Engineering); Quayle, Michael J. (Operations); Petersson, Gunilla (Operations); Folestad, Staffan (Operations); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2021","Fluidization of cohesive pharmaceutical powders is difficult to achieve and typically requires the introduction of external forces. This study investigates the fluidization of the fine inhalation grade of lactose powders (size range from 0.1-20 μm) that are specifically developed for dry powder inhalation (DPI) applications. The fluidization behaviour of fine lactose powders was evaluated under six conditions: without fluidization aids, with only vertical vibration (VFA), with only a downward-pointing micro-jet (MFA), with both vibration and pre-mixing with coarse particles (VCFA), with both vibration and micro-jet (VMFA), and with the combined assistance of vibration, micro-jet, and addition of coarse particles (VMCFA). The enhancement of fluidization due to the use of different assistance methods is reflected by the increase of bed expansion and the decrease in both the minimum fluidization velocity and agglomerate formation. However, applying micro-jet results in considerable powder losses due to the high fraction of fine particles stuck to the wall. Combining any two assisting methods leads to better fluidization than using a single approach. In particular, the combination of vibration and micro-jet shows the best performance in improving fluidization. Further addition of coarse particles does not play a significant influence on promoting fluidization. Finally, the analysis of the forces acting on the lactose agglomerates shows the enhancement of separation forces by introducing the fluidization assistance, which leads to a decrease in agglomerate size.","fine lactose powder; fluidization; micro-jet; premixing; vibration","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:eff7f12f-b0bb-4d27-adcf-0449402093f7","http://resolver.tudelft.nl/uuid:eff7f12f-b0bb-4d27-adcf-0449402093f7","Valorization of Small Alkanes by Biocatalytic Oxyfunctionalization","Mahor, Durga (Chinese Academy of Sciences; Indian Institute of Science Education and Research, Berhampur); Cong, Zhiqi (Chinese Academy of Sciences); Weissenborn, Martin J. (Leibniz Institut of Plant Biochemistry, Halle); Hollmann, F. (TU Delft BT/Biocatalysis); Zhang, Wuyuan (Chinese Academy of Sciences)","","2021","The oxidation of alkanes into valuable chemical products is a vital reaction in organic synthesis. This reaction, however, is challenging, owing to the inertness of C−H bonds. Transition metal catalysts for C−H functionalization are frequently explored. Despite chemical alternatives, nature has also evolved powerful oxidative enzymes (e. g., methane monooxygenases, cytochrome P450 oxygenases, peroxygenases) that are capable of transforming C−H bonds under very mild conditions, with only the use of molecular oxygen or hydrogen peroxide as electron acceptors. Although progress in alkane oxidation has been reviewed extensively, little attention has been paid to small alkane oxidation. The latter holds great potential for the manufacture of chemicals. This Minireview provides a concise overview of the most relevant enzyme classes capable of small alkanes (C<6) oxyfunctionalization, describes the essentials of the catalytic mechanisms, and critically outlines the current state-of-the-art in preparative applications.","alkanes; biocatalysis; enzymes; oxidation; oxyfunctionalization","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-20","","","BT/Biocatalysis","","",""
"uuid:9032c70c-7390-4fbd-a997-2db77616dfc9","http://resolver.tudelft.nl/uuid:9032c70c-7390-4fbd-a997-2db77616dfc9","Quantification on fuel cell degradation and techno-economic analysis of a hydrogen-based grid-interactive residential energy sharing network with fuel-cell-powered vehicles","He, Yingdong (University of California; Hunan University); Zhou, Yuekuan (The Hong Kong Polytechnic University); Wang, Zhe (Lawrence Berkeley National Laboratory); Liu, Jia (The Hong Kong Polytechnic University); Liu, Zhengxuan (TU Delft Housing Quality and Process Innovation; Hunan University); Zhang, Guoqiang (Hunan University)","","2021","Hydrogen-based (H2-based) interactive energy networks for buildings and transportations provide novel solutions for carbon-neutrality transition, regional energy flexibility and independence on fossil fuel consumption, where vehicle fuel cells are key components for H2-electricity conversion and clean power supply. However, due to the complexity in thermodynamic working environments and frequent on/off operations, the proton exchange membrane fuel cells (PEMFCs) suffer from performance degradation, depending on cabin heat balance and power requirements, and the ignorance of the degradation may lead to the performance overestimation. In order to quantify fuel cell degradation in both daily cruise and vehicle-to-grid (V2G) interactions, this study firstly proposes a two-space cabin thermal model to quantify the ambient temperature of vehicle PEMFCs and the power supply from PEMFCs to vehicle HVAC systems. Afterwards, a stack voltage model is proposed to quantify the fuel cell degradation for multiple purposes, such as daily transportation and V2G interactions. Afterwards, the two models are coupled in a community-level based building-vehicle energy network, consisting of twenty single residential buildings, rooftop PV systems, four hydrogen vehicles (HVs), a H2 station, community-served micro power grid, local main power grid, and local H2 pipelines, located in California, U.S.A. Comparative analysis with and without fuel cell degradation is conducted to study the impact of dynamic fuel cell degradation on the energy flexibility and operating cost. Furthermore, a parametrical analysis is conducted on the integrated HV quantity and the grid feed-in tariff to reach trade-off strategies between associated fuel cell degradation costs and grid import cost savings. The results indicate that, in the proposed hydrogen-based building-vehicle energy network, the total fuel cell degradation is 3.16% per vehicle within one year, where 2.50% and 0.66% are caused by daily transportation and V2G interactions, respectively. Furthermore, in the H2-based residential community, the total fuel cell degradation cost is US$6945.2, accounting for 33.4% of the total operating cost at $20770.61. The sensitivity analysis results showed that, when the HV quantity increases to twenty, the fuel cell degradation of each HV decreases to 2.50%, whereas the total fuel cell degradation cost increases to 42.8% of the total operating cost. Last but not the least, the cost saving by V2G interactions can compensate the fuel cell degradation cost when the grid feed-in tariff is reduced by 40%. Research results can provide basic modelling tools on dynamic fuel cell degradation, in respect to vehicle power supply, vehicle HVAC and V2G interactions, together with techno-economic feasibility analysis, paving path for the development of hydrogen energy for the carbon-neutrality transition.","Cabin thermal model; Distributed hydrogen infrastructure; Fuel cell degradation; Hydrogen energy storage and economy; Solar energy; Wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-23","","","Housing Quality and Process Innovation","","",""
"uuid:54aaf589-57ee-410e-8758-c7c710ce8d89","http://resolver.tudelft.nl/uuid:54aaf589-57ee-410e-8758-c7c710ce8d89","Enhancing Volatile Fatty Acid Production during Anaerobic Fermentation of Waste Activated Sludge with Persulfates: Peroxymonosulfate versus Peroxydisulfate","Fang, Wei (Chinese Academy of Sciences); Zhang, Xuedong (Jiangnan University); Spanjers, H. (TU Delft Sanitary Engineering); Zhang, Tao (Chinese Academy of Sciences)","","2021","Persulfates ((peroxymonosulfate (PMS) and peroxydisulfate (PDS)) can disintegrate waste activated sludge (WAS), but their influence on volatile fatty acid (VFA) production during anaerobic fermentation is largely unclear. Particularly, it is unknown whether persulfates can improve fermentation without any preactivation. This study investigated how the direct addition of PMS and PDS into the fermenter influences VFA production from WAS, and uncovered possible mechanisms of improved VFA production. At the PMS dosage of 1.0 mM/gVS, maximum VFA yield (1025 ± 55 mg COD/L) was observed in 5 days of fermentation, which was 24% higher than that with an equimolar addition of PDS and 132% higher than that without persulfate addition. However, the cost-effectiveness of PMS in enhancing VFA production is lower than that of PDS. The in situ generated hydroxyl and sulfate radicals from persulfates in the fermenter enhanced not only WAS disintegration and solubilization but also the formation of biodegradable substances for acidification. Moreover, the direct addition of persulfates into the fermenter shifted the microbial community toward hydrolysis-acidification such as Clostridium_sensu_stricto_10 and Fonticella. Results of this study also suggest that preactivation is not necessary when persulfates are applied to improve WAS fermentation.","Anaerobic fermentation; Peroxydisulfate (PDS); Peroxymonosulfate (PMS); Volatile fatty acids; Waste activated sludge (WAS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-22","","","Sanitary Engineering","","",""
"uuid:2e5f160d-2921-4f71-af4f-f11233872e20","http://resolver.tudelft.nl/uuid:2e5f160d-2921-4f71-af4f-f11233872e20","Prognostics of radiation power degradation lifetime for ultraviolet light-emitting diodes using stochastic data-driven models","Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changzhou Institute of Technology Research for Solid State Lighting); Jing, Zhou (Hohai University); Cao, Yixing (Fudan University); Ibrahim, Mesfin Seid (The Hong Kong Polytechnic University); Li, Min (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","With their advantages of high efficiency, long lifetime, compact size and being free of mercury, ultraviolet light-emitting diodes (UV LEDs) are widely applied in disinfection and purification, photolithography, curing and biomedical devices. However, it is challenging to assess the reliability of UV LEDs based on the traditional life test or even the accelerated life test. In this paper, radiation power degradation modeling is proposed to estimate the lifetime of UV LEDs under both constant stress and step stress degradation tests. Stochastic data-driven predictions with both Gamma process and Wiener process methods are implemented, and the degradation mechanisms occurring under different aging conditions are also analyzed. The results show that, compared to least squares regression in the IESNA TM-21 industry standard recommended by the Illuminating Engineering Society of North America (IESNA), the proposed stochastic data-driven methods can predict the lifetime with high accuracy and narrow confidence intervals, which confirms that they provide more reliable information than the IESNA TM-21 standard with greater robustness.","Degradation modeling; Gamma process; IESNA TM-21; Ultraviolet light-emitting diodes (UV LEDs); Wiener process","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:67d15412-58c7-4a5e-9ee3-369297fd7e7d","http://resolver.tudelft.nl/uuid:67d15412-58c7-4a5e-9ee3-369297fd7e7d","Environmental and anthropogenic drivers of surface urban heat island intensity: A case-study in the Yangtze River Delta, China","Wang, Zian (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Meng, Qingyan (Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Allam, Mona (Chinese Academy of Sciences; National Water Research Center, Egypt); Hu, Die (Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Zhang, Linlin (Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences)","","2021","Nowadays urban climate is a global problem and many studies focused on understanding the relation between urban climate the built-up space using radiometric observations of the land surface temperature to estimate and monitor the surface urban heat island intensity (SUHIs). In this study MODIS land surface temperature (LST) data were used. The Yangtze River Delta Urban Agglomeration (YRDUA), eastern China, was selected as an example to study SUHI and multiple influencing factors in 16 big cities. Anthropogenic factors are considered the most important ones in determining SUHI, while natural factors remain influential. By using stratified random sampling (SRS), 78,085 random points were selected within the 16 cities. Nine influencing factors were selected in this study: distance from building (BD), distance from the main roads (RD), distance from water (WD), digital elevation model product (DEM), gross domestic product (GDP), normalized difference vegetation index product (NDVI), nighttime lighting intensity (NTI), population (POP) and impervious surface area data (%ISA). The SUHI intensity was extracted at each random point as well as the values of the influencing factors, NDVI, DEM, ISA, POP, NTI and GDP. For BD, WD and RD, random points were selected from the water, building and main roads using the near tool in ArcGIS to measure these distances. Boosted regression tree (BRT) model was applied to capture the contributions of the above factors to SUHI. We also applied a different procedure to evaluate the relative influence of Land Use and Land Cover (LULC). The relative influence refers to the contribution of each factor to determine SUHI. The influencing factors were ranked on the basis of the relative influence on SUHI. The results showed that (1) higher SUHI intensity was recorded in Shanghai, Jiaxing and Nanjing cities respectively, while Hangzhou recorded the lowest SUHI. (2) Anthropogenic drivers have slightly higher relative influence on SUHI than natural drivers, i.e. 51.29% and 48.71% respectively. The influence of all drivers on SUHI from high to low is NTI (27.62%), ISA (24.38%), NDVI (12.11%), GDP (7.95%), DEM (7.29%), POP (6.37%), BD (5.33%), WD (4.93%), RD (4.02%). (3) The variation in the socioeconomic level lead to different spatial patterns of different influence factors, further indicating that the overall mean SUHI intensity is affected by the development of the city.","Boosted regression trees; Land surface temperature; Surface urban heat island; Yangtze River Delta","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:c9530048-5863-44d7-8703-53619749a64c","http://resolver.tudelft.nl/uuid:c9530048-5863-44d7-8703-53619749a64c","Driving factors of land surface temperature in urban agglomerations: A case study in the pearl river delta, china","Liu, Wenxiu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Meng, Qingyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Allam, Mona (National Water Research Center, Egypt); Zhang, Linlin (Chinese Academy of Sciences); Hu, Die (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing)","","2021","Land surface temperature (LST) in urban agglomerations plays an important role for policymakers in urban planning. The Pearl River Delta (PRD) is one of the regions with the highest urban densities in the world. This study aims to explore the spatial patterns and the dominant drivers of LST in the PRD. MODIS LST (MYD11A2) data from 2005 and 2015 were used in this study. First, spatial analysis methods were applied in order to determine the spatial patterns of LST and to identity the hotspot areas (HSAs). Second, the hotspot ratio index (HRI), as a metric of thermal heterogeneity, was developed in order to identify the features of thermal environment across the nine cities in the PRD. Finally, the geo-detector (GD) metric was employed to explore the dominant drivers of LST, which included elevation, land use/land cover (LUCC), the normalized difference vegetation index (NDVI), impervious surface distribution density (ISDD), gross domestic product (GDP), population density (POP), and nighttime light index (NLI). The GD metric has the advantages of detecting the dominant drivers without assuming linear relationships and measuring the combined effects of the drivers. The results of Moran’s Index showed that the daytime and nighttime LST were close to the cluster pattern. Therefore, this process led to the identification of HSAs. The HSAs were concentrated in the central PRD and were distributed around the Pearl River estuary. The results of the HRI indicated that the spatial distribution of the HSAs was highly heterogeneous among the cities for both daytime and nighttime. The highest HRI values were recorded in the cities of Dongguan and Shenzhen during the daytime. The HRI values in the cities of Zhaoqing, Jiangmen, and Huizhou were relatively lower in both daytime and nighttime. The dominant drivers of LST varied from city to city. The influence of land cover and socio-economic factors on daytime LST was higher in the highly urbanized cities than in the cities with low urbanization rates. For the cities of Zhaoqing, Huizhou, and Jiangmen, elevation was the dominant driver of daytime LST during the study period, and for the other cities in the PRD, the main driver changed from land cover in 2005 to NLI in 2015. This study is expected to provide useful guidance for planning of the thermal environment in urban agglomerations.","Driving factors; Geo-detector metric; Land surface temperature; Spatial analysis; Urban agglomeration","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:d0e5d15c-36b4-4215-b967-c4bc9be5d926","http://resolver.tudelft.nl/uuid:d0e5d15c-36b4-4215-b967-c4bc9be5d926","Three‐ and four‐dimensional topographic measurement and validation","Rocca, Fabio (Politecnico di Milano); Li, Deren (Wuhan University); Tebaldini, Stefano (Politecnico di Milano); Liao, Mingsheng (Wuhan University); Zhang, Lu (Wuhan University); Lombardini, Fabrizio (University of Pisa); Balz, Timo (Wuhan University); Haala, Norbert (University of Stuttgart); Ding, Xiaoli (The Hong Kong Polytechnic University); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2021","This paper reports on the activities carried out in the context of “Dragon project 32278: Three‐ and Four‐Dimensional Topographic Measurement and Validation”. The research work was split into three subprojects and encompassed several activities to deliver accurate characterization of targets on land surfaces and deepen the current knowledge on the exploitation of Synthetic Aperture Radar (SAR) data. The goal of Subproject 1 was to validate topographic mapping accuracy of various ESA, TPM, and Chinese satellite system on test sites in the EU and China; define and im-prove validation methodologies for topographic mapping; and develop and setup test sites for the validation of different surface motion estimation techniques. Subproject 2 focused on the specific case of spatially and temporally decorrelating targets by using multi‐baseline interferometric (In‐ SAR) and tomographic (TomoSAR) SAR processing. Research on InSAR led to the development of robust retrieval techniques to estimate target displacement over time. Research on TomoSAR was focused on testing or defining new processing methods for high‐resolution 3D imaging of the inte-rior of forests and glaciers and the characterization of their temporal behavior. Subproject 3 was focused on near‐real‐time motion estimation, considering efficient algorithms for the digestion of new acquisitions and for changes in problem parameterization.","3D imaging; Deformation; Synthetic Aperture Radar; Synthetic Aperture Radar Interferometry; Synthetic Aperture Radar Tomography; Temporal decorrelation; Validation","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:dc0a1512-a7bd-4580-9777-7f51b972b424","http://resolver.tudelft.nl/uuid:dc0a1512-a7bd-4580-9777-7f51b972b424","Barrier Lypunov functions-based nonsingular fixed-time switching control for strict-feedback nonlinear dynamics with full state constraints","Zhang, Wenqian (Air Force Engineering University China); Dong, Wenhan (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Liu, Zongcheng (Air Force Engineering University China; Northwestern Polytechnical University); Zhou, Yang (Air Force Engineering University China); Feng, Haoming (Air Force Engineering University China)","","2021","This work proposes a nonsingular adaptive fixed-time switching control method for a class of strict-feedback nonlinear dynamics subject to full state constraints. The peculiarity of this design lies in overcoming the singularity issue that typically appears in the existing backstepping-based fixed-time control methods caused by the iterative differentiation of fractional power terms as tracking errors approach to zero, while guaranteeing the nonviolation of full state constraints. Crucial in solving such singularity issue is to skillfully introduce a smooth switching between fractional power and integer power terms, which guarantees that fractional power term is confined within a positive interval all the time. An asymmetric time-varying barrier Lyapunov function is delicately incorporated into control design, rendering state variables to be within prescribed time-varying bounds. Besides, radial basis function neural network is employed to handle system unknown nonlinearities. It is rigorously proved that all the closed-loop signals eventually converge to small regions around origin within fixed-time. Comparative simulation results are finally given to validate the effectiveness and superiority of the proposed control strategy.","adaptive backstepping control; fixed-time stability; switching control; time-varying state constraints","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:8a59f5fd-bf25-4c01-9019-0cf747672255","http://resolver.tudelft.nl/uuid:8a59f5fd-bf25-4c01-9019-0cf747672255","Path planning for autonomous ships: A hybrid approach based on improved apf and modified vo methods","Zhang, Liang (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Mou, Junmin (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Chen, Pengfei (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Li, M. (TU Delft Safety and Security Science; Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology)","","2021","In this research, a hybrid approach for path planning of autonomous ships that generates both global and local paths, respectively, is proposed. The global path is obtained via an improved artificial potential field (APF) method, which makes up for the shortcoming that the typical APF method easily falls into a local minimum. A modified velocity obstacle (VO) method that incorpo-rates the closest point of approach (CPA) model and the International Regulations for Preventing Collisions at Sea (COLREGS), based on the typical VO method, can be used to get the local path. The contribution of this research is two-fold: (1) improvement of the typical APF and VO methods, making up for previous shortcomings, and integrated COLREGS rules and good seamanship, making the paths obtained more in line with navigation practice; (2) the research included global and local path planning, considering both the safety and maneuverability of the ship in the process of avoiding collision, and studied the whole process of avoiding collision in a relatively entirely way. A case study was then conducted to test the proposed approach in different situations. The results indicate that the proposed approach can find both global and local paths to avoid the target ship.","Artificial potential field method; Autonomous ship; Path planning; Velocity obstacles method","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:07c6551a-3e14-4a4e-9da2-509deb697bdf","http://resolver.tudelft.nl/uuid:07c6551a-3e14-4a4e-9da2-509deb697bdf","Room temperature ppt-level NO2 gas sensor based on SnO x/SnS nanostructures with rich oxygen vacancies","Tang, H. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Chongqing University; Sky Chip Interconnection Technology Co); Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Sokolovskij, R. (Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Ye, H. (Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","In this paper, tin oxidation (SnO x )/tin-sulfide (SnS) heterostructures are synthesized by the post-oxidation of liquid-phase exfoliated SnS nanosheets in air. We comparatively analyzed the NO2 gas response of samples with different oxidation levels to study the gas sensing mechanisms. The results show that the samples oxidized at 325 °C are the most sensitive to NO2 gas molecules, followed by the samples oxidated at 350 °C, 400 °C and 450 °C. The repeatabilities of 350 °C samples are better than that of 325 °C, and there is almost no shift in the baseline. Thus this work systematically analyzed the gas sensing performance of SnO x/SnS-based sensor oxidized at 350 °C. It exhibits a high response of 171% towards 1 ppb NO2, a wide detecting range (from 1 ppb to 1 ppm), and an ultra-low theoretical detection limit of 5 ppt, and excellent repeatability at room temperature. The sensor also shows superior gas selectivity to NO2 in comparison to several other gas molecules, such as NO, H2, SO2, CO, NH3, and H2O. After X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscope, and electron paramagnetic resonance characterizations combining first principle analysis, it is found that the outstanding NO2 sensing behavior may be attributed to three factors: The Schottky contact between electrodes and SnO x/SnS; active charge transfer in the surface and the interface layer of SnO x/SnS heterostructures; and numerous oxygen vacancies generated during the post-oxidation process, which provides more adsorption sites and superior bandgap modulation. Such a heterostructure-based room-temperature sensor can be fabricated in miniaturized size with low cost, making it possible for large-scale applications.","NO2 sensor; oxygen vacancies; ppt-level; room temperature; SnOx/SnS heterostructures","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0771afc5-2dd8-433f-a72f-d508b963dee6","http://resolver.tudelft.nl/uuid:0771afc5-2dd8-433f-a72f-d508b963dee6","An omics-based framework for assessing the health risk of antimicrobial resistance genes","Zhang, An Ni (The University of Hong Kong; Massachusetts Institute of Technology); Gaston, Jeffry M. (Google LLC); Dai, Chengzhen L. (Massachusetts Institute of Technology); Zhao, Shijie (Massachusetts Institute of Technology); Poyet, Mathilde (Massachusetts Institute of Technology; Broad Institute of MIT and Harvard); Groussin, Mathieu (Massachusetts Institute of Technology; Broad Institute of MIT and Harvard); Li, Li Guan (The University of Hong Kong); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Zhang, Tong (The University of Hong Kong)","","2021","Antibiotic resistance genes (ARGs) are widespread among bacteria. However, not all ARGs pose serious threats to public health, highlighting the importance of identifying those that are high-risk. Here, we developed an ‘omics-based’ framework to evaluate ARG risk considering human-associated-enrichment, gene mobility, and host pathogenicity. Our framework classifies human-associated, mobile ARGs (3.6% of all ARGs) as the highest risk, which we further differentiate as ‘current threats’ (Rank I; 3%) - already present among pathogens - and ‘future threats’ (Rank II; 0.6%) - novel resistance emerging from non-pathogens. Our framework identified 73 ‘current threat’ ARG families. Of these, 35 were among the 37 high-risk ARGs proposed by the World Health Organization and other literature; the remaining 38 were significantly enriched in hospital plasmids. By evaluating all pathogen genomes released since framework construction, we confirmed that ARGs that recently transferred into pathogens were significantly enriched in Rank II (‘future threats’). Lastly, we applied the framework to gut microbiome genomes from fecal microbiota transplantation donors. We found that although ARGs were widespread (73% of genomes), only 8.9% of genomes contained high-risk ARGs. Our framework provides an easy-to-implement approach to identify current and future antimicrobial resistance threats, with potential clinical applications including reducing risk of microbiome-based interventions.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:e9a906ea-7fd7-46b8-a2d7-005d417b8fe8","http://resolver.tudelft.nl/uuid:e9a906ea-7fd7-46b8-a2d7-005d417b8fe8","A numerical study of fatigue of hardened cement paste at the microscale","Gan, Y. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Liang, M. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2021","In this study, a numerical model using a 2D lattice network is developed to investigate the fatigue behaviour of cement paste at the microscale. Images of 2D microstructures of cement pastes obtained from XCT tests are used as inputs and mapped to the lattice model. Different local mechanical and fatigue properties are assigned to different phases of the cement paste. A cyclic constitutive law is proposed for considering the fatigue damage evolution. Fatigue experiments performed at the same length scale are used to calibrate and validate the model. The proposed model can reproduce well the flexural fatigue experimental results, in terms of S-N curve, stiffness degradation and residual deformation. The validated model is then used to predict the uniaxial tensile fatigue fracture of cement paste. The effects of microstructure and stress level on the fatigue fracture are studied using the proposed model. This model forms a basis for the multiscale analysis of concrete fatigue.","Cement paste; Fatigue; Lattice model; Microscale simulation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:794ec41f-0738-422f-8d77-d50f26500d4b","http://resolver.tudelft.nl/uuid:794ec41f-0738-422f-8d77-d50f26500d4b","Combined effects of elevated temperatures and high strain rates on compressive performance of S30408 austenitic stainless steel","Li, Lijun (Taiyuan University of Technology); Wang, Rui (Taiyuan University of Technology); Zhao, Hui (Taiyuan University of Technology; Tianjin University); Zhang, Haoran (Taiyuan University of Technology); Yan, R. (TU Delft Steel & Composite Structures)","","2021","This paper presents an experimental investigation on the dynamic mechanical performance of S30408 austenitic stainless steel (ASS) under elevated temperatures, which is essential for determining the behaviour of structures made with this type of steel subjected to the coupled fire and impact/explosion. For this purpose, the quasi-static and dynamic compression tests using Split Hopkinson Pressure Bar (SHPB) were conducted under temperatures of 20–600 °C and strain rates from 0.001 to 3000 s−1. In addition, the corresponding microstructures of tested samples were observed. The stress–strain responses, strain rate and temperature effects as well as the microstructural evolutions were analyzed. Test results show that the stress–strain responses are sensitive to the strain rate and temperature. The strain-rate sensitivity coefficient increases as the strain rate and temperature rise. The microstructural observation reveals that the grain dimension declines with an increment of strain rate or a decreasing temperature. Finally, the dynamic compressive stress–strain models for S30408 ASS under 20–600 °C were suggested on the basis of the Johnson-Cook (J-C) model and have been proved to give a reasonable prediction.","Constitutive model; Dynamic response; Elevated temperatures; S30408 austenitic stainless steel; Strain rate","en","journal article","","","","","","Accepted Author Manuscript","","2022-07-28","","","Steel & Composite Structures","","",""
"uuid:702d1d86-5422-4bef-ae9b-5da23aeefce5","http://resolver.tudelft.nl/uuid:702d1d86-5422-4bef-ae9b-5da23aeefce5","A Numerical Investigation of an Abnormal Phenomenon of Stress Intensity Factor (SIF) in a Cracked T-Butt Joint Accounting for Welding Effect","Schiaretti, Matteo (Student TU Delft); Cai, Jie (University of Southern Denmark); Jiang, X. (TU Delft Transport Engineering and Logistics); Zhang, Shengming (Lloyd's Register); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2021","Industry design standards such as BS 7910 deployed some empirical formulas for the prediction of stress intensity factor (SIF) based on simulation results from traditional finite element method (FEM). However, such FEM simulation occasionally failed to convince people due to the large discrepancies compared with engineering practice. As a consequence, inaccuracy predictions via such formulas in engineering standards inevitably occur, which will compromise the safety of structures. In our previous research work, an abnormal phenomenon of SIF in a cracked T-butt joint accounting for welding effect has been observed. Compared with BS 7910, the calculation results of SIF at the surface points of welded specimens cannot be well predicted, with a large discrepancy appearing. In order to explore such problem with an abnormal increase at the surface points of cracked welded specimens, a numerical investigation in terms of SIF among BS 7910, XFEM, and FEM is performed in this paper. Numerical models on both a simple cracked plate without welding effect and a cracked T-butt joint with welding effect are developed through ABAQUS. Parametric studies in terms of the effects of varied crack depth to thickness ratio (a/T) and the effects of crack depth to crack half-length ratio (a/c) are carried out. Empirical solutions from BS 7910 are used for comparison. It is found that the XFEM can provide predictions of SIF at both the crack deepest point and crack surface point of a simple cracked plate as accurate as FEM. For a T-butt joint with a transverse stiffener, a large discrepancy in terms of the weld magnification factors (Mk) occurs at the crack surface point compared with empirical predictions. An exceptional increase of von Mises stress gradient in regions close to the weld-toe is found through the simulation of FEM, whereas a constant stress gradient is obtained through XFEM. The comparison results indicate an inappropriate prediction of SIF by the utilization of the empirical formulas in BS 7910. A more reasonable prediction of the SIF at the surface point of a crack is obtained by the XFEM. Therefore, further updating of the empirical solutions in BS 7910 for SIF accounting for welding effect is recommended.","BS 7910; Crack; Extended finite element method (XFEM); Finite element method (FEM); Stress intensity factor (SIF); T-butt joint","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-06","","","Transport Engineering and Logistics","","",""
"uuid:a1581524-75d9-437a-94ee-6caa8cf82d8e","http://resolver.tudelft.nl/uuid:a1581524-75d9-437a-94ee-6caa8cf82d8e","Molecular dynamics simulation of clay hydration inhibition of deep shale","Zhang, Yayun (State Key Laboratory of Shale Oil and Gas Enrichment Mechanisms and Effective Development; Sinopec Research Institute of Petroleum Engineering); Xiao, C. (TU Delft Mathematical Physics)","","2021","In the process of the exploitation of deep oil and gas resources, shale wellbore stability control faces great challenges under complex temperature and pressure conditions. It is difficult to reflect the micro mechanism and process of the action of inorganic salt on shale hydration with the traditional experimental evaluation technology on the macro effect of restraining shale hydration. Aiming at the characteristics of clay minerals of deep shale, the molecular dynamics models of four typical cations (K+, NH4+, Cs+ and Ca2+) inhibiting the hydration of clay minerals have been established by the use of the molecular dynamics simulation method. Moreover, the micro dynamics mechanism of typical inorganic cations inhibiting the hydration of clay minerals has been systematically evaluated, as has the law of cation hydration inhibition performance in response to temperature, pressure and ion type. The research indicates that the cations can promote the contraction of interlayer spacing, compress fluid intrusion channels, reduce the intrusion ability of water molecules, increase the negative charge balance ability and reduce the interlayer electrostatic repulsion force. With the increase in temperature, the inhibition of the cations on montmorillonite hydration is weakened, while the effect of pressure is opposite. Through the molecular dynamics simulation under different temperatures and pressures, we can systematically understand the microcosmic dynamics mechanism of restraining the hydration of clay in deep shale and provide theoretical guidance for the microcosmic control of clay hydration.","Chemical inhibition; Clay mineral; Deep shale; Inorganic cation; Molecular dynamic simulation","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:c9854c56-1d32-4547-878d-ab60b18cd1b3","http://resolver.tudelft.nl/uuid:c9854c56-1d32-4547-878d-ab60b18cd1b3","Wireless, implantable catheter-type oximeter designed for cardiac oxygen saturation","Lu, Wei (Northwestern University); Bai, Wubin (Northwestern University; University of North Carolina); Zhang, Hao (Northwestern University; Tsinghua University); Xu, Chenkai (Northwestern University); Chiarelli, Antonio M. (University G. D'Annunzio of Chieti-Pescara); Vázquez-Guardado, Abraham (Northwestern University); Xie, Zhaoqian (Dalian University of Technology); Shen, Haixu (Northwestern University); Rwei, A.Y. (TU Delft ChemE/Product and Process Engineering; Northwestern University)","","2021","Accurate, real-time monitoring of intravascular oxygen levels is important in tracking the cardiopulmonary health of patients after cardiothoracic surgery. Existing technologies use intravascular placement of glass fiber-optic catheters that pose risks of blood vessel damage, thrombosis, and infection. In addition, physical tethers to power supply systems and data acquisition hardware limit freedom of movement and add clutter to the intensive care unit. This report introduces a wireless, miniaturized, implantable optoelectronic catheter system incorporating optical components on the probe, encapsulated by soft biocompatible materials, as alternative technology that avoids these disadvantages. The absence of physical tethers and the flexible, biocompatible construction of the probe represent key defining features, resulting in a high-performance, patient-friendly implantable oximeter that can monitor localized tissue oxygenation, heart rate, and respiratory activity with wireless, real-time, continuous operation. In vitro and in vivo testing shows that this platform offers measurement accuracy and precision equivalent to those of existing clinical standards.","","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:3c9afbad-a3ee-425f-b408-587a3a6d7fac","http://resolver.tudelft.nl/uuid:3c9afbad-a3ee-425f-b408-587a3a6d7fac","Longitudinal tear detection method of conveyor belt based on audio-visual fusion","Che, Jian (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2021","Conveyor belt tear detection is a very important part of coal mine safety production. In this paper, a new method of detecting conveyor belt damage named audio-visual fusion (AVF) detection method is proposed. The AVF method uses both a visible light CCD and a microphone array to collect images and sounds of the conveyor belt in different running states. By processing and analyzing the collected images and sounds, the image and sound features of normal, tear and scratch can be extracted respectively. Then the extracted features of images and sounds are fused and classified by machine learning algorithm. The results show that the accuracy of AVF method for conveyor belt scratch is 93.66%, and the accuracy of longitudinal tear is higher than 96.23%. Compared with existing methods AVF method overcomes the limitation of visual detection condition, and is more accurate and reliable for conveyor belt tear detection.","Audio-visual feature extraction; Feature fusion; Longitudinal tear detection method; Machine learning","en","journal article","","","","","","Accepted Author Manuscript","","2023-02-19","","","Transport Engineering and Logistics","","",""
"uuid:3ca590bd-8204-4041-bb61-a4ebd31cc7a6","http://resolver.tudelft.nl/uuid:3ca590bd-8204-4041-bb61-a4ebd31cc7a6","A semi-quantitative methodology for risk assessment of university chemical laboratory","Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Luyao (Xi'an University of Architecture and Technology); Zhang, Renren (Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science); Li, Hua (Xi'an University of Architecture and Technology)","","2021","University chemical laboratory is a high-risk place for teaching and scientific research due to the presence of various physical and chemical hazards. In recent years, university chemical laboratory accidents occur frequently. This urges the need to enhance university chemical lab safety. A semi-quantitative methodology comprising Matter-Element Extension Theory (MEET) implemented with Combination Ordered Weighted Averaging (C-OWA) operator is proposed to assess the risk of a university chemical laboratory. First, an index-based risk assessment system of university chemical laboratory is built by identifying various risk factors from a system perspective. Then, C-OWA operator is used to calculate the weight of assessment indices, whereas MEET is employed to determine the correlation degree of assessment indices. Finally, the comprehensive risk of university chemical laboratories is assessed, and some safety measures are proposed to reduce the risk of university chemical laboratories. The applicability of the proposed methodology is tested using a practical case. It is observed that the methodology can be a useful tool for risk assessment and management of university chemical laboratories.","C-OWA operator; MEET; Risk assessment; University chemical laboratories","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-21","","","Safety and Security Science","","",""
"uuid:35a4bd15-370b-4a2b-a16a-46de714e7d66","http://resolver.tudelft.nl/uuid:35a4bd15-370b-4a2b-a16a-46de714e7d66","Integrating van der Waals materials on paper substrates for electrical and optical applications","Zhang, Wenliang (Instituto de Ciencia de Materiales de Madrid (ICMM)); Zhao, Qinghua (Instituto de Ciencia de Materiales de Madrid (ICMM); Northwestern Polytechnical University; Ministry of Industry and Information Technology Xi an); Munuera, Carmen (Instituto de Ciencia de Materiales de Madrid (ICMM)); Lee, M. (TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Flores, Eduardo (Universidad Nacional Autónoma de México); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Wang, Tao (Northwestern Polytechnical University; Ministry of Industry and Information Technology Xi an); Frisenda, Riccardo (Instituto de Ciencia de Materiales de Madrid (ICMM)); Castellanos-Gomez, Andres (Instituto de Ciencia de Materiales de Madrid (ICMM))","","2021","Paper holds the promise to replace silicon substrates in applications like internet of things or disposable electronics that require ultra-low-cost electronic components and an environmentally friendly electronic waste management. In the last years, spurred by the abovementioned properties of paper as a substrate and the exceptional electronic, mechanical and optical properties of van der Waals (vdW) materials, many research groups have worked towards the integration of vdW materials-based devices on paper. Recently, a method to deposit a continuous film of densely packed interconnects of vdW materials on paper by simply rubbing the vdW crystals against the rough surface of paper has been presented. This method utilizes the weak interlayer vdW interactions and allows cleaving of the crystals into micro platelets through the abrasion against the paper. Here, we aim to illustrate the general character and the potential of this technique by fabricating films of 39 different vdW materials (including superconductors, semi-metals, semiconductors, and insulators) on standard copy paper. We have thoroughly characterized their optical properties showing their high optical quality: one can easily resolve the absorption band edge of semiconducting vdW materials and even the excitonic features present in some vdW materials with high exciton binding energy. We also measured the electrical resistivity for several vdW materials films on paper finding exceptionally low values, which are in some cases, orders of magnitude lower than those reported for analogous films produced by inkjet printing. We finally demonstrate the fabrication of field-effect devices with vdW materials on paper using the paper substrate as an ionic gate.","Electrical properties; Optical properties; Paper-based electronics; Van der Waals materials","en","journal article","","","","","","","","","","","QN/Steeneken Lab","","",""
"uuid:b0e1dbc6-9bcc-4db7-94cc-c90be7d0b850","http://resolver.tudelft.nl/uuid:b0e1dbc6-9bcc-4db7-94cc-c90be7d0b850","The hydro-mechanical interaction in novel polyurethane-bound pervious pavement by considering the saturation states in unbound granular base course","Lu, Guoyang (The Hong Kong Polytechnic University; Rheinisch-Westfälische Technische Hochschule); Wang, H. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Wang, Dawei (Rheinisch-Westfälische Technische Hochschule; Harbin Institute of Technology); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Grabe, Jürgen (Hamburg University of Technology)","","2021","The pore-water pressure generated by intermittent dynamic vehicle loading under various saturation states is recognized as a critical factor influencing the behaviour of permeable pavement structures, especially the behaviour of UGB layer. However, the underlying mechanisms of hydro-mechanical interaction in the UGB layer and the influence on the pavement structure are still unclear. This study aims to characterize the changes in dynamic response in permeable pavement structures under various saturation conditions by considering the hydro-mechanical interaction within the UGB layer. To achieve this objective, a full-scale test track with a PUPM wearing course was constructed. Pressures and water distribution were characterized by embedded sensors within different layers of the test track when subjected to the accelerating pavement test. Based on the coupled SAME model, the water distribution and the dynamic response of UGB in the rainfall events were both characterised and solved by FEM. The results predicted by the proposed SAME model correspond to the field measurements, and the influence of the water content on the resilient modulus distribution within the UGB layer was then estimated. Based on the predictions for the stress state of the UGB layer, the sensitivity analysis was also proposed.","hydro-mechanical interaction; matric suction; polyurethane bound pervious material (PUPM); unsaturated flow; Water content","en","journal article","","","","","","Accepted Author Manuscript","","2022-05-12","","","Pavement Engineering","","",""
"uuid:09a9bcdf-2848-4dc3-aacb-69dd9511e1d0","http://resolver.tudelft.nl/uuid:09a9bcdf-2848-4dc3-aacb-69dd9511e1d0","Long-lived charge separation following pump-wavelength–dependent ultrafast charge transfer in graphene/WS2 heterostructures","Fu, Shuai (Max Planck Institute for Polymer Research); du Fossé, I. (TU Delft ChemE/Opto-electronic Materials); Jia, Xiaoyu (Max Planck Institute for Polymer Research); Xu, Jingyin (Max Planck Institute for Polymer Research; University of Electronic Science and Technology of China); Yu, Xiaoqing (Max Planck Institute for Polymer Research); Zhang, Heng (Max Planck Institute for Polymer Research); Zheng, Wenhao (Max Planck Institute for Polymer Research); Krasel, Sven (Max Planck Institute for Polymer Research); Houtepen, A.J. (TU Delft ChemE/Opto-electronic Materials)","","2021","Van der Waals heterostructures consisting of graphene and transition metal dichalcogenides have shown great promise for optoelectronic applications. However, an in-depth understanding of the critical processes for device operation, namely, interfacial charge transfer (CT) and recombination, has so far remained elusive. Here, we investigate these processes in graphene-WS2 heterostructures by complementarily probing the ultrafast terahertz photoconductivity in graphene and the transient absorption dynamics in WS2 following photoexcitation. We observe that separated charges in the heterostructure following CT live extremely long: beyond 1 ns, in contrast to ~1 ps charge separation reported in previous studies. This leads to efficient photogating of graphene. Furthermore, for the CT process across graphene-WS2 interfaces, we find that it occurs via photo-thermionic emission for sub-A-exciton excitations and direct hole transfer from WS2 to the valence band of graphene for above-A-exciton excitations. These findings provide insights to further optimize the performance of optoelectronic devices, in particular photodetection.","","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:ab6bccc6-d8ba-4a3c-99d0-fe7a156c53da","http://resolver.tudelft.nl/uuid:ab6bccc6-d8ba-4a3c-99d0-fe7a156c53da","A historical review of sediment export–import shift in the North Branch of Changjiang Estuary","Guo, Leicheng (East China Normal University); Xie, Weiming (East China Normal University); Xu, Fan (East China Normal University); Wang, Xianye (East China Normal University); Zhu, C. (TU Delft Coastal Engineering; East China Normal University); Meng, Yi (East China Normal University); Zhang, Weiguo (East China Normal University); He, Qing (East China Normal University)","","2021","Net sediment transport is predominantly seaward in fluvial-dominated estuaries worldwide. However, a distributary branch in the Changjiang Estuary, the North Branch, undergoes net landward sediment transport, which leads to severe channel aggradation. Its controlling mechanism and the role of human activities remain insufficiently understood, although such knowledge is necessary for better management and restoration opportunities. In this study we revisit the centennial hydro-morphodynamic evolution of the North Branch based on historical maps, field data, and satellite images and provide a synthesis of the regime change from ebb to flood dominance. The North Branch was once a major river and ebb-dominant distributary channel. Within which alternative meandering channels and sand bars developed. Deposition of river-borne sediment leads to infilling of the branch, while tidal flat embankment reduces the bankfull width and modifies the channel configuration, resulting in a profound decline in the sub-tidal flow partition rate. The North Branch then becomes tide-dominant with an occurrence of tidal bores and elongated sand ridges. Once tidal dominance is established, extensive tidal flat reclamation enhances the funnel-shaped planform, amplifying the incoming tides and initiating a positive feedback process that links tidal flat loss, sediment import, and channel aggradation. Overall, the shift in branch dominance is a combined result of a natural southeastward realignment of the deltaic distributary channels and extensive reclamation. One management option to mitigate channel aggradation is to stop the aggressive reclamation and allow tidal flats to build up, which might reduce the sediment import and eventually lead to a morphodynamic equilibrium in the longer term. Understanding the impact of tidal flat reclamation is informative for the management of similar tidal systems under strong human interference.","Changjiang; flood dominance; morphodynamics; reclamation; regime shift","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-29","","","Coastal Engineering","","",""
"uuid:fc6d6bab-8112-485c-9322-d4b3a7948769","http://resolver.tudelft.nl/uuid:fc6d6bab-8112-485c-9322-d4b3a7948769","Individual control as a new way to improve classroom acoustics: A simulation-based study","Zhang, D. (TU Delft Indoor Environment); Tenpierik, M.J. (TU Delft Building Physics); Bluyssen, P.M. (TU Delft Indoor Environment)","","2021","Previous studies indicate that acoustic improvements at classroom-level, such as using ceiling panels, do not work well to solve noise problems in classrooms. Therefore, this study introduced a new way – individual control – to improve classroom acoustics. The acoustic effect of five different classroom settings is simulated: two individual-level acoustic improvement settings (“Single-sided canopies” and “Double-sided canopies”), two classroom-level acoustic improvement settings (“Half-ceiling” and “Full-ceiling”), and one “Control” setting. The simulation was accomplished with Computer Aided Theatre Technique (CATT-Acoustic™), which is a ray-tracing-based room acoustics prediction software package. According to the two main ways of using classrooms (instruction and self-study), the simulations were run for two situations: instruction situation and self-study situation, and the Lombard Effect was taken into consideration in the self-study situation. The results showed that in both situations, all of these improvement settings, compared with the “Control” setting, could shorten the reverberation time and increase the speech transmission index, and the improvements caused by the individually controlled canopies were more obvious than caused by the ceiling panels. Additionally, in the instruction situation, the individual-level improvements could increase the sound pressure level of the teacher's speech, while in the self-study situation, the individual-level improvements could decrease the sound pressure level of other children's talk. In the future, it is recommended to produce and test different individually controlled devices in a lab or real classroom to verify these results.","Individual control; Lombard effect; Ray-based simulation; Room acoustics","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:d96d5236-c2b8-4b51-8b9d-b367bb35536b","http://resolver.tudelft.nl/uuid:d96d5236-c2b8-4b51-8b9d-b367bb35536b","Bio-orthogonal Red and Far-Red Fluorogenic Probes for Wash-Free Live-Cell and Super-resolution Microscopy","Werther, Philipp (University of Heidelberg); Yserentant, Klaus (University of Heidelberg); Braun, Felix (University of Heidelberg); Grußmayer, K.S. (TU Delft BN/Kristin Grussmayer Lab; TU Delft BN/Afdelingsbureau; Swiss Federal Institute of Technology; Kavli institute of nanoscience Delft); Navikas, Vytautas (Swiss Federal Institute of Technology); Yu, Miao (Johannes Gutenberg-University Mainz); Zhang, Zhibin (University of Heidelberg; Harbin Institute of Technology); Ziegler, Michael J. (University of Heidelberg; Max Planck Institute for Medical Research); Mayer, Christoph (University of Heidelberg)","","2021","Small-molecule fluorophores enable the observation of biomolecules in their native context with fluorescence microscopy. Specific labeling via bio-orthogonal tetrazine chemistry combines minimal label size with rapid labeling kinetics. At the same time, fluorogenic tetrazine-dye conjugates exhibit efficient quenching of dyes prior to target binding. However, live-cell compatible long-wavelength fluorophores with strong fluorogenicity have been difficult to realize. Here, we report close proximity tetrazine-dye conjugates with minimal distance between tetrazine and the fluorophore. Two synthetic routes give access to a series of cell-permeable and -impermeable dyes including highly fluorogenic far-red emitting derivatives with electron exchange as the dominant excited-state quenching mechanism. We demonstrate their potential for live-cell imaging in combination with unnatural amino acids, wash-free multicolor and super-resolution STED, and SOFI imaging. These dyes pave the way for advanced fluorescence imaging of biomolecules with minimal label size.","","en","journal article","","","","","","","","","","","BN/Kristin Grussmayer Lab","","",""
"uuid:1f7d4796-c759-4b39-8894-c823a313bcf1","http://resolver.tudelft.nl/uuid:1f7d4796-c759-4b39-8894-c823a313bcf1","Transformation towards a carbon-neutral residential community with hydrogen economy and advanced energy management strategies","He, Yingdong (University of California; Hunan University); Zhou, Yuekuan (The Hong Kong University of Science and Technology); Yuan, Jing (University of California); Liu, Zhengxuan (TU Delft Housing Quality and Process Innovation; Hunan University); Wang, Zhe (Lawrence Berkeley National Laboratory); Zhang, Guoqiang (Hunan University)","","2021","Cleaner power production, distributed renewable generation, building-vehicle integration, hydrogen storage and associated infrastructures are promising for transformation towards a carbon–neutral community, whereas the academia provides limited information through integrated solutions, like intermittent renewable integration, hydrogen sharing network, smart operation on electrolyzer and fuel cell, seasonal hydrogen storage and advanced heat recovery. This study proposes a hybrid electricity-hydrogen sharing system in California, United States, with synergistic electric, thermal and hydrogen interactions, including low-rise houses, rooftop photovoltaic panels, hydrogen vehicles, a hydrogen station, micro and utility power grid and hydrogen pipelines. Advanced energy management strategies were proposed to enhance energy flexibility and grid stability. Besides, simulation-based optimizations on smart power flows of vehicle-to-grid interaction and electrolyzer are conducted for further seasonal grid stability and annual cost saving. The obtained results indicate that, the green renewable-to-hydrogen can effectively reduce reliance on pipelines delivered hydrogen, and the hydrogen station is effective to address security concerns of high-pressure hydrogen and improve participators’ acceptance. Microgrid peer-to-peer sharing can improve hydrogen system efficiency under idling modes. Furthermore, the integrated system can reduce the annual net hydrogen consumption in transportation from 127.0 to 1.2 kg/vehicle. The smart operation (minimum input power of electrolyzer and fuel cell at 65 and 80 kW) can reduce the maximum mean hourly grid power to 78.2 kW by 24.2% and the annual energy cost to 1228.5 $/household by 38.9%. The proposed district hydrogen-based community framework can provide cutting-edge techno-economic guidelines for carbon-neutral transition with district peer-to-peer energy sharing, zero-energy buildings, hydrogen-based transportations together with smart strategies for high energy flexibility.","Distributed hydrogen infrastructure; Distributed renewable energy sharing; Flexible energy management strategy; Hydrogen energy storage and economy; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-09","","","Housing Quality and Process Innovation","","",""
"uuid:6379b6bf-c351-4921-aafd-29b027dcc7b7","http://resolver.tudelft.nl/uuid:6379b6bf-c351-4921-aafd-29b027dcc7b7","Ca-modified Al–Mg–Sc alloy with high strength at elevated temperatures due to a hierarchical microstructure","Du, Haiquan (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Zhang, Shasha (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Zhang, Bingyi (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Tao, Xuewei (Nanjing Institute of Technology); Yao, Zhengjun (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Belov, Nikolay (National University of Science and Technology MISiS); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Liu, Zili (Nanjing University of Aeronautics and Astronautics)","","2021","Al-Mg alloys are normally prone to lose part of their yield and tensile strength at high temperatures due to insufficient thermal stability of the microstructure. Here, we present a Ca-modified Al–Mg–Sc alloy demonstrating high strength at elevated temperatures. The microstructure contains Al4Ca phases distributed as a network along the grain boundary and Al3(Sc,Zr) nano-particles dispersed within the grains. The microstructure evolution and age-hardening analysis indicate that the combination of an Al4Ca network and Sc-rich nano-particles leads to excellent thermal stability even upon aging at 300 °C. The tensile strength of the alloy for temperatures up to 250 °C is significantly improved by an aging treatment and is comparable with the commercial heat-resistant aluminum alloys, i.e., A356 and A319. At a high temperature of 300 °C, the tensile strength is superior to the above-mentioned commercial alloys, even more so when expressed as the specific strength due to the low density of Ca-modified Al–Mg–Sc alloy. The excellent high-temperature strength results from a synergistic effect of solid solution strengthening, grain boundary strengthening and nanoparticle order strengthening.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-10","","","Novel Aerospace Materials","","",""
"uuid:bf74537e-8403-4ad4-85e6-830a5ac8e368","http://resolver.tudelft.nl/uuid:bf74537e-8403-4ad4-85e6-830a5ac8e368","What motivates stakeholders to engage in collaborative innovation in the infrastructure megaprojects?","Chen, Xiaoyan (Tongji University); He, Qinghua (Tongji University); Zhang, Xinyue (Tongji University); Cao, Tingting (Tianjin University of Technology); Liu, Y. (TU Delft Integral Design & Management)","","2021","Collaborative innovation has become an innovation paradigm to improve innovation performance and firms’ economic output. However, there is relatively little research investigating stakeholders’ drivers for engaging in collaborative innovation and the relative importance of drivers in infrastructure megaprojects. This research aims to address this gap by identifying the drivers for collaborative innovation and their relative importance in the context of infrastructure megaprojects. We adopt the literature review and questionnaire survey methods to identify drivers, the Relative Importance Index to rank them, and exploratory factor analysis to group them. The results show that 18 drivers are grouped into 6 dimensions. Their rankings are as follows: responding to project and clients’ requirements, improving efficiency, gaining rewards, learning, responding to competition, responding to environmental changes. The research contributes to stake-holders’ drivers to engage in collaborative innovation and the relative importance of drivers in the context of infrastructure megaprojects. Identifying and prioritizing stakeholders’ drivers can provide practitioners with suggestions on managing the collaborative innovation process in infrastructure megaprojects.","Collaborative innovation; Drivers; Exploratory factor analysis; Infrastructure megaproject; Principal component analysis; Relative importance; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:cbf16540-8b2e-4d08-b8cb-3082a1427774","http://resolver.tudelft.nl/uuid:cbf16540-8b2e-4d08-b8cb-3082a1427774","Salt marsh establishment in poorly consolidated muddy systems: effects of surface drainage, elevation, and plant age","Cao, Haobing (East China Normal University; Universiteit Utrecht); Zhu, Zhenchang (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory (Guangzhou)); van Belzen, Jim (Universiteit Utrecht); Gourgue, Olivier (Universiteit Antwerpen); van de Koppel, J. (Universiteit Utrecht); Temmerman, O. Stijn (Universiteit Antwerpen); Herman, P.M.J. (TU Delft Hydraulic Engineering); Zhang, Liquan (East China Normal University); Yuan, Lin (East China Normal University); Bouma, Tjeerd J. (Universiteit Utrecht; Rijksuniversiteit Groningen; NIOZ Royal Netherlands Institute for Sea Research)","","2021","Conservation and restoration of salt marsh ecosystems are becoming increasingly important because of the many ecosystem services they provide. However, the processes controlling salt marsh establishment and persistence, especially on bare tidal flats in muddy areas, remain unclear. As muddy sediments typically experience a restriction of soil drainage, we expect that a surface drainage relief due to a heterogeneity topography, as might occur on the edge of tidal channels, can facilitate the establishment of salt marsh vegetation on muddy tidal flats. By means of a manipulative field experiment, using “Mega-Marsh Organ” mesocosms, we investigated the impact of surface drainage and elevation relative to mean sea level on (1) the survival of Spartina anglica seedlings from three different age classes: 1-yr, 3-month, and 1-week; and (2) the growth performance of mature S. anglica marsh tussocks. S. anglica seedling survival, especially in the establishment phase, was positively affected by better surface drainage, increases of seedling age, and higher elevation relative to mean sea level. That is, the survival rate of S. anglica seedlings at the end of 6th week increased from 0% (at surface water undrained, 1-week, 0 cm elevation) to 94.44% (at surface water drained, 1-yr, 90 cm elevation). In contrast, surface drainage did not affect the performance of large S. anglica marsh tussocks, as only increased elevation relative to mean sea level was shown to affect S. anglica tussock growth in terms of plant height, shoot numbers, and dry biomass. Based on our findings, we proposed a conceptual model to understand how surface drainage-driven feedbacks in a heterogeneous topography may be reinforced to induce salt marsh establishment in muddy systems. Further testing of present hypothesized model would be beneficial for insights into salt marsh establishment on tidal mudflats.","establishment; muddy sediment; plant age; relative elevation to mean sea level; salt marsh; surface drainage","en","journal article","","","","","","","","","","Hydraulic Engineering","","","",""
"uuid:9d9ff795-5300-4f67-9e38-856be956f065","http://resolver.tudelft.nl/uuid:9d9ff795-5300-4f67-9e38-856be956f065","Nonlinearity-modulated single molecule trapping and Raman scattering analysis","Zhang, Shuoshuo (Shenzhen University); Zhang, Yuquan (Shenzhen University); Fu, Yanan (Shenzhen University); Zhu, Z. (TU Delft ImPhys/Optics; Shenzhen University); Man, Zhongsheng (Shandong University of Technology; Shandong Normal University); Bu, Jing (Shenzhen University); Fang, Hui (Shenzhen University); Min, Changjun (Shenzhen University); Yuan, Xiaocong (Shenzhen University)","","2021","Single molecule detection and analysis play important roles in many current biomedical researches. The deep-nanoscale hotspots, being excited and confined in a plasmonic nanocavity, make it possible to simultaneously enhance the nonlinear light-matter interactions and molecular Raman scattering for label-free detections. Here, we theoretically show that a nanocavity formed in a tip-enhanced Raman scattering (TERS) system can also achieve valid optical trapping as well as TERS signal detection for a single molecule. In addition, the nonlinear responses of metallic tip and substrate film can change their intrinsic physical properties, leading to the modulation of the optical trapping force and the TERS signal. The results demonstrate a new degree of freedom brought by the nonlinearity for effectively modulating the optical trapping and Raman detection in single molecule level. This proposed platform also shows a great potential in various fields of research that need high-precision surface imaging.","","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:d1cc1030-d97a-4cfc-8219-2596803e4a06","http://resolver.tudelft.nl/uuid:d1cc1030-d97a-4cfc-8219-2596803e4a06","Dynamic responses of the aero-engine rotor system to bird strike on fan blades at different rotational speeds","Wu, Bin (Tianjin University); Lin, Jiewei (Tianjin University); Hedayati, R. (TU Delft Novel Aerospace Materials); Zhang, Guichang (Civil Aviation University of China); Zhang, Junhong (Tianjin University; Tianjin Ren’ai College); Zhang, Lipeng (Tianjin University)","","2021","To study the effect of a bird striking engine fan on the rotor system, a low-pressure rotor system dynamic model based on a real aero-engine structure was established. Dynamic equations were derived considering the case of the bird strike force which transferred to the rotor system. The bird strike force was obtained from the bird strike process simulation in LS-DYNA, where a smoothed particle hydrodynamics (SPH) mallard model was constructed using a computed tomog-raphy (CT) scanner, and finite element method (FEM) was used to simulate the bird strike on an actual fan model. The dynamic equations were solved using the Newmark-β method. The effect of rotational speeds on the rotor system dynamics after bird strike was investigated and discussed. Results show that the maximum bird impact force can reach 104 kN at 3772 r/min. Impact time is only 0.06 s, but the bird strike on fan blades lead to a transient shock on the rotor system. Under the action of transient shocks, the rotor system displacement in the horizontal and vertical directions increase sharply, and the closer the mass point is to the fan, the more it is affected; the vibration amplitude at the fan will increase 15 times within 0.1 s of the bird strike and will gradually decrease with the effect of damping. The dynamics of the rotor system changes from a stable single periodic motion to a complex irregular quasi-periodic motion after a bird strike, and the strike force excites the first-order vibrational mode of the rotor system. This phenomenon occurs at all speeds when bird strikes occur. Bird strikes will cause resonance in the rotor system, which may cause damage to the engine. It was also seen that the bird strike force, and hence the effects on the rotor system, increases as the engine rotational speed increases; the peak force is larger and the number of peaks has increased. The impact force at 3772 r/min is 99.5 kN higher than at 836 r/min, and three additional peaks emerged. This effect is more reflected in the amplitude, and the overall vibration characteristics do not change. Combining the bird strike with the rotor dynamics calculation, the dynamic response of the aero-engine rotor system to bird strike is studied at different flight stages, which is of guiding significance for power evaluation of aero engines after bird strike.","Aero-engine rotor system; Bird strike; Dynamic responses; SPH","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:70c66d02-9aca-4ef3-9e8f-a3a90ae49f62","http://resolver.tudelft.nl/uuid:70c66d02-9aca-4ef3-9e8f-a3a90ae49f62","Fixation effects of different types of cannulated screws on vertical femoral neck fracture: A finite element analysis and experimental study","Zhan, Shi (Shanghai Jiao Tong University); Jiang, Dajun (Shanghai Jiao Tong University); Ling, Ming (Shanghai Jiao Tong University); Ding, Jian (Shanghai Jiao Tong University); Yang, Kai (Shanghai Jiao Tong University); Duan, Lei (Shanghai Jiao Tong University); Tsai, Tsung Yuan (Shanghai Jiao Tong University); Feng, Yong (Shanghai Jiao Tong University); van Trigt, B. (TU Delft Biomechanical Engineering); Jia, Weitao (Shanghai Jiao Tong University); Zhang, Changqing (Shanghai Jiao Tong University); Hu, Hai (Shanghai Jiao Tong University)","","2021","Femoral neck fractures (FNFs) in young patients usually result from high-energy violence, and the vertical transcervical type is typically challenging for its instability. FNFs are commonly treated with three cannulated screws (CS), but the role of screws type on fixation effects (FE) is unclear. The purpose of this study was to evaluate the FE of ten types of CS with different diameters, lengths, depths, and pitches of thread via finite element analysis which was validated by a biomechanical test. Ten vertical FNF models were grouped, fixed by ten types of CS, respectively, all in a parallel, inverted triangular configuration. Their FE were scored comprehensively from six aspects via an entropy evaluation method, as higher scores showed better results. For partial-thread screws, thread length and thread shape factor (TSF) are determinative factors on stability of FNF only if thread depth is not too thick, and they have less cut-out risk, better compression effects and better detached resistance of fracture than full-thread screws, whereas full-thread screws appear to have better shear and shortening resistance. A combination of two superior partial-thread screws and one inferior full-thread screw for vertical FNF may get optimal biomechanical outcomes. The type of cannulated screw is important to consider when treating vertical FNF.","Biomechanics; Finite element analysis; Types of screws; Vertical femoral neck fracture","en","journal article","","","","","","Accepted Author Manuscript","","2022-10-06","","Biomechanical Engineering","","","",""
"uuid:9896e66a-2139-47f7-a497-a2a0069c121a","http://resolver.tudelft.nl/uuid:9896e66a-2139-47f7-a497-a2a0069c121a","Changjiang Delta in the Anthropocene: Multi-scale hydro-morphodynamics and management challenges","Guo, Leicheng (East China Normal University); Zhu, C. (TU Delft Coastal Engineering; East China Normal University); Xie, Weiming (East China Normal University); Xu, Fan (East China Normal University); Wu, Hui (East China Normal University); Wan, Yuanyang (Shanghai Estuarine and Coastal Research Center); Wang, Zhanghua (East China Normal University); Zhang, Weiguo (East China Normal University); Shen, Jian (Virginia Institute of Marine Science); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); He, Qing (East China Normal University)","","2021","The Changjiang Delta (CD) is one of well-studied large deltas of critical socio-economical and ecological importance regionally and global representativeness. Cumulated field data and numerical modeling has facilitated scientific understanding of its hydro-morphodynamics at multiple spatial and time scales, but the changing boundary forcing conditions and increasing anthropogenic influences pose management challenges requiring integrated knowledge. Here we provide a comprehensive synthesis of the multi-scale deltaic hydro-morphodynamics, discuss their relevance and management perspectives in a global context, and identify knowledge gaps for future study. The CD is classified as a river-tide mixed-energy, muddy and highly turbid, fluvio-deltaic composite system involving large-scale land-ocean interacted processes. Its hydro-morphodynamic evolution exhibits profound temporal variations at the fortnightly, seasonal, and inter-annual time scales, and strong spatial variability between tidal river and tidal estuary, and between different distributary channels. As the river-borne sediment has declined >70%, the deltaic morphodynamic adaptation lags behind sediment decline because sediment redistribution within the delta emerges to play a role in sustaining tidal flat accretion. However, the deltaic channels have become narrower, deepened and growingly constrained under cumulated human activities, e.g., extensive embankment and construction of jetties and groins, possibly initiating a decrease in morphodynamic activities and sediment trapping efficiency. Overall, the CD undergoes transitions from net sedimentation and naturally slow morphodynamic adaptation to erosion and human-driven radical adjustment. A shift in management priority from delta development to ecosystem conservation provides an opportunity for restoring the resilience to flooding and erosion hazards. The lessons and identified knowledge gaps inform study and management of worldwide estuaries and deltas undergoing intensified human interferences.","Changjiang; Delta; Human activities; Morphology; Sediment; Tide","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-05","","","Coastal Engineering","","",""
"uuid:a86d826e-7618-489e-a556-0fede591f9cf","http://resolver.tudelft.nl/uuid:a86d826e-7618-489e-a556-0fede591f9cf","A machine learning method for the discovery of minimum marker gene combinations for cell type identification from single-cell RNA sequencing","Aevermann, Brian (J. Craig Venter Institute); Zhang, Yun (J. Craig Venter Institute); Novotny, Mark (J. Craig Venter Institute); Keshk, Mohamed (J. Craig Venter Institute); Bakken, Trygve (Allen Institute for Brain Science); Miller, Jeremy (Allen Institute for Brain Science); Hodge, Rebecca (Allen Institute for Brain Science); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Lein, Ed (Allen Institute for Brain Science); Scheuermann, Richard H. (J. Craig Venter Institute; University of California; La Jolla Institute for Immunology)","","2021","Single-cell genomics is rapidly advancing our knowledge of the diversity of cell phenotypes, including both cell types and cell states. Driven by single-cell/-nucleus RNA sequencing (scRNA-seq), comprehensive cell atlas projects characterizing a wide range of organisms and tissues are currently underway. As a result, it is critical that the transcriptional phenotypes discovered are defined and disseminated in a consistent and concise manner. Molecular biomarkers have historically played an important role in biological research, from defining immune cell types by surface protein expression to defining diseases by their molecular drivers. Here, we describe a machine learning-based marker gene selection algorithm, NS-Forest version 2.0, which leverages the nonlinear attributes of random forest feature selection and a binary expression scoring approach to discover the minimal marker gene expression combinations that optimally capture the cell type identity represented in complete scRNA-seq transcriptional profiles. The marker genes selected provide an expression barcode that serves as both a useful tool for downstream biological investigation and the necessary and sufficient characteristics for semantic cell type definition. The use of NS-Forest to identify marker genes for human brain middle temporal gyrus cell types reveals the importance of cell signaling and noncoding RNAs in neuronal cell type identity.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:505d445b-6f4b-4110-9f96-0f9c96f7109a","http://resolver.tudelft.nl/uuid:505d445b-6f4b-4110-9f96-0f9c96f7109a","Micro-scale Realization of Compliant Mechanisms: Manufacturing Processes and Constituent Materials—A Review","Wang, M. (TU Delft Mechatronic Systems Design; Jiangsu University); Ge, Daohan (Jiangsu University); Zhang, Liqiang (Jiangsu University); Herder, J.L. (TU Delft Precision and Microsystems Engineering; TU Delft Mechatronic Systems Design)","","2021","Compliant micromechanisms (CMMs) acquire mobility from the deflection of elastic members and have been proven to be robust by millions of silicon MEMS devices. However, the limited deflection of silicon impedes the realization of more sophisticated CMMs, which often require larger deflections. Recently, some novel manufacturing processes have emerged but are not well known by the community. In this paper, the realization of CMMs is reviewed, aiming to provide help to mechanical designers to quickly find the proper realization method for their CMM designs. To this end, the literature surveyed was classified and statistically analyzed, and representative processes were summarized individually to reflect the state of the art of CMM manufacturing. Furthermore, the features of each process were collected into tables to facilitate the reference of readers, and the guidelines for process selection were discussed. The review results indicate that, even though the silicon process remains dominant, great progress has been made in the development of polymer-related and composite-related processes, such as micromolding, SU-8 process, laser ablation, 3D printing, and the CNT frameworking. These processes result in constituent materials with a lower Young’s modulus and larger maximum allowable strain than silicon, and therefore allow larger deflection. The geometrical capabilities (e.g., aspect ratio) of the realization methods should also be considered, because different types of CMMs have different requirements. We conclude that the SU-8 process, 3D printing, and carbon nanotube frameworking will play more important roles in the future owing to their excellent comprehensive capabilities.","Compliant micromechanism; Constituent material; Manufacturing process","en","review","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:0b9f4780-10db-494a-a148-0b97737bcdcf","http://resolver.tudelft.nl/uuid:0b9f4780-10db-494a-a148-0b97737bcdcf","Precipitation of supersaturated solute in H ion irradiated Fe-Au and Fe-Au-W alloys studied by positron annihilation spectroscopy","Zhang, Z. (Nanjing University of Aeronautics and Astronautics; Chinese Academy of Sciences); Zhang, S. (Nanjing University of Aeronautics and Astronautics); Yao, Z. (Nanjing University of Aeronautics and Astronautics); Tao, X. (Nanjing Institute of Technology); Cao, X. (Chinese Academy of Sciences); Zhang, P. (Chinese Academy of Sciences); Kuang, P. (Chinese Academy of Sciences); Fu, Y. (TU Delft Novel Aerospace Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2021","The effect of thermal aging of homogenized Fe-Au and Fe-Au-W alloys, irradiated at room temperature with hydrogen ions, was studied for an aging treatment at 300 °C for aging times up to 100 h. The aging behavior of the Fe-based alloys is compared to the results for pure Fe. The precipitation behavior of Au-rich and W-rich precipitates and its correlation to the H+ irradiation-induced defects is investigated by variable energy positron annihilation spectroscopy (VEPAS). The formation of open-volume defects after irradiation is monitored by an increase in the S parameter, while the recovery of the vacancy-like defects and the formation of precipitates are signalled by an increase in the W parameter. Au-rich precipitation continuously develops during long-term aging, as indicated by the increase in the W parameter. The change of the W parameter in the Fe-Au-W alloy is not only due to the effect of solute W on the Au precipitates, but also because of the interface of W-rich Laves phase with matrix.","Fe-based alloys; Hydrogen ion irradiation; Positron annihilation spectroscopy; Precipitation; Thermal aging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-01","","","Novel Aerospace Materials","","",""
"uuid:c731b535-5ac4-42e1-9542-67c73678f9c2","http://resolver.tudelft.nl/uuid:c731b535-5ac4-42e1-9542-67c73678f9c2","Study on the aging resistance of polyurethane precursor modified bitumen and its mechanism","Zhang, Liang (Heilongjiang Highway Construction Center); Li, Pengfei (Highway Engineering Cost Station of Heilongjiang Province); Hu, Guanfeng (Heilongjiang Longjian Road bridge 5th Engineering Co); Zhang, Sufeng (Heilongjiang Highway Construction Center); Hong, Bin (Harbin Institute of Technology); Wang, H. (TU Delft Pavement Engineering); Wang, Dawei (Harbin Institute of Technology; Rheinisch-Westfälische Technische Hochschule); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule)","","2021","As an environmentally friendly alternative for the production of high-performance modified asphalt by chemical reactions, a liquid-state polyurethane-precursor-based reactive modifier (PRM) was developed and employed in the asphalt modification. In contrast to the traditional solid bitumen modifier, for example, rubber and thermoplastic elastomers, the PRM as a liquid modifier has more significant advantages in reducing energy consumption and improving asphalt performance, which has attracted widespread attention. However, the aging resistance and its mechanism are not clear. In view of this, the aging performance of two PRM-modified bitumen (PRM-70 and PRM-90), under the short-term thermo-oxidative aging, long-term thermo-oxidative aging, and ultraviolet (UV) aging conditions, was investigated through chemical and mechanical methods. The results show that the PRM-90 is more susceptible to the thermos-oxidative aging and UV aging. The use of low-penetration-grade bitumen and ensuring an adequate reaction are beneficial to enhance the aging resistance of PRM-modified bitumen. The impact of aging on high-temperature performance of PRM-modified bitumen is great, followed by the low-temperature performance and the anti-fatigue performance. The mechanic-relevant rheological aging index (RAI) and fracture energy index (FEI) are recommended to evaluate aging properties for PRM-modified bitumen. This study not only provides support for further research on the relationship between the aging properties and mechanical performance of PRM-modified bitumen, but also provides a reference for conducting mechanism analysis.","Aging resistance; Modified bitumen; Polyurethane precursor; Thermal-oxidation aging; Ultraviolet aging","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:2ab3045b-03f3-4d8a-9403-e5b708636ac7","http://resolver.tudelft.nl/uuid:2ab3045b-03f3-4d8a-9403-e5b708636ac7","Solder joint reliability risk estimation by AI-assisted simulation framework with genetic algorithm to optimize the initial parameters for AI models","Yuan, Cadmus (Feng Chia University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","Solder joint fatigue is one of the critical failure modes in ball-grid array packaging. Because the reliability test is time-consuming and geometrical/material nonlinearities are required for the physics-driven model, the AI-assisted simulation framework is developed to establish the risk estimation capability against the design and process parameters. Due to the time-dependent and nonlinear characteristics of the solder joint fatigue failure, this research follows the AI-assisted simulation framework and builds the non-sequential artificial neural network (ANN) and sequential recurrent neural network (RNN) architectures. Both are investigated to understand their capability of abstracting the time-dependent solder joint fatigue knowledge from the dataset. Moreover, this research applies the genetic algorithm (GA) optimization to decrease the influence of the initial guessings, including the weightings and bias of the neural network architectures. In this research, two GA optimizers are developed, including the “back-to-original” and “progressing” ones. Moreover, we apply the principal component analysis (PCA) to the GA optimization results to obtain the PCA gene. The prediction error of all neural network models is within 0.15% under GA optimized PCA gene. There is no clear statistical evidence that RNN is better than ANN in the wafer level chip-scaled packaging (WLCSP) solder joint reliability risk estimation when the GA optimizer is applied to minimize the impact of the initial AI model. Hence, a stable optimization with a broad design domain can be realized by an ANN model with a faster training speed than RNN, even though solder fatigue is a time-dependent mechanical behavior.","Artificial neural net-work; Generic algorithm; Principle component analysis; Recurrent neural network; Solder joint fatigue risk estimation; Time/temperature-dependent nonlinearity; Wafer level chip-scaled packaging","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:758b8901-eea1-40a1-8624-60234e417623","http://resolver.tudelft.nl/uuid:758b8901-eea1-40a1-8624-60234e417623","Ultimate lateral pressures exerted on buried pipelines by the initiation of submarine landslides","Zhang, W. (TU Delft Geo-engineering); Askarinejad, A. (TU Delft Geo-engineering)","","2021","Submarine slope instabilities are considered one of the major threats for offshore buried pipelines. This paper presents a novel method to evaluate the ultimate pressure acting on a buried pipeline during the liquefaction of an inclined seabed. Small-scale model tests with pipes buried at three different embedment ratios have been conducted at an enhanced centrifugal acceleration condition. A high-speed, high-resolution imaging system was developed to quantify the soil displacement field of the soil body and to visualize the development of the liquefied zone. The measured lateral pressures were compared with the hybrid approach proposed for the landslide–pipeline interaction in clay-rich material by Randolph and White (2012) and Sahdi et al. (2014). The hybrid approach is proved to be able to predict later pressures induced by the movement of (partially) liquefied sand on buried pipelines. It is found that the fluid inertia (fluid dynamics) component plays an important role when the non-Newtonian Reynolds number >~2 or the shear strain rate > 4.5 × 10−2 sec−1.","Image analysis; Liquefaction; Pipelines; Soil–pipeline interaction; Submarine landslides; Underwater infrastructure","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:922ce788-2c1d-4ece-97b7-3bbfba7211a8","http://resolver.tudelft.nl/uuid:922ce788-2c1d-4ece-97b7-3bbfba7211a8","A novel design of multi-stable metastructures for energy dissipation","Zhang, Y. (TU Delft Computational Design and Mechanics); Tichem, M. (TU Delft Micro and Nano Engineering); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2021","Multi-stable metastructures composed of curved beams can switch to a series of stable configurations via elastic snap-through transitions. The elastic deformations allow metastructures to function as reusable energy absorbers. However, conventional metastructure designs based on solid beams often result in relatively low energy dissipation. In this work, it is found that by increasing the beam unit's bending stiffness while keeping the volume/mass constant, energy dissipation of the metastructure can be largely improved. Based on this observation, we propose two types of structural designs (lattice and hollow cross-section design) as building blocks for multi-stable metastructures. The lattice design is realized by incorporating lattice structures into pre-shaped beams while for the hollow cross-section design, a box-shaped cross section is adopted. The proposed structures are experimentally characterized under cyclic loading and are shown to exhibit sequential snap-through transitions with relatively large energy dissipation. Results show the snap-through behavior can be further tailored through tuning structural in-plane thickness. Effects of geometric parameters on snap-through, local buckling and bi-stability are investigated, and the feasible design domains for selecting proper lattice and cross-section geometries are identified. In addition, we demonstrate that the proposed design is not restricted to beams, and can be extended to shell structures.","Cross-section design; Lattice structure; Multi-stable metastructure; Snap-through behavior","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:099d46a2-de64-4b0f-855b-a52b9ca68ecd","http://resolver.tudelft.nl/uuid:099d46a2-de64-4b0f-855b-a52b9ca68ecd","Molecular dynamics and experimental study on the adhesion mechanism of polyvinyl alcohol (PVA) fiber in alkali-activated slag/fly ash","Zhang, Shizhe (TU Delft Materials and Environment); Duque-Redondo, Eduardo (University of the Basque Country; Donostia International Physics Center); Kostiuchenko, A. (TU Delft Materials and Environment); Sanchez Dolado, J. (TU Delft Materials and Environment; Donostia International Physics Center; Centro Mixto CSIC-UPV/EHU); Ye, G. (TU Delft Materials and Environment)","","2021","This paper aims to study the adhesion mechanism of polyvinyl alcohol (PVA) fiber within alkali-activated slag/fly ash (AASF) matrix using molecular dynamics (MD) simulation in combination with systematic experimental characterization. The adhesion of PVA to C-(N-)A-S-H gel with different Ca/(Si+Al) and Al/Si ratios was modeled using MD simulation, with the related adsorption enthalpy calculated and the adhesion mechanism explored. The experimentally attained chemical bonding energy of PVA fiber in AASF coincides well with the simulation results. In both cases, the adhesion enhances primarily with increasing Ca/(Si+Al) ratio of C-(N-)A-S-H gel. Additionally, MD simulation indicates preferential element distributions of Ca around PVA molecule, which was confirmed experimentally by the detection of the Ca-rich C-(N-)A-S-H gel in the interfacial transition zone (ITZ). This study provides further insights into the adhesion mechanism of PVA fiber to C-(N-)A-S-H gel formed in AASF, which is particularly valuable for the future development of PVA-based high-performance alkali-activated composites.","Adhesion; Alkali-activated materials; Fly ash; Interface; Molecular dynamics; PVA; Slag","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4ca94ce5-5685-442d-bf73-773d922dec99","http://resolver.tudelft.nl/uuid:4ca94ce5-5685-442d-bf73-773d922dec99","Vibration modes and wave propagation of the rail under fastening constraint","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2021","This paper investigates three-dimensional (3D) rail vibrations under fastening constraint up to 5000 Hz and provides insights into rail vibration control by fastening parameters. A methodology is proposed, including experimental investigation and numerical simulations of rail vibrations. Three steps are considered: 1) experimental investigation of rail vibrations under fastening constraint; 2) validation and analysis of 3D finite element (FE) modeling of rail-fastening systems; 3) rail vibration control by fastening parameters. In Step 1, operating deflection shape (ODS) and synchronized multiple-acceleration wavelet (SMAW) measurements are applied to identify rail vibration modes and measure wave propagation characteristics under fastening constraint. In Step 2, a 3D FE model capable of reproducing the dynamic behaviors of rail-fastening up to 5000 Hz is developed to analyze rail vibrations and validated using measurements from Step 1. In Step 3, insights into the control of rail vibrations are gained by sensitivity analysis of fastening parameters using the validated 3D FE model from Step 2. The results indicate that (1) under fastening constraint, ODS measurement identifies vertical bending modes, longitudinal compression modes, and lateral bending modes of the rail with shifted frequencies and significantly reduced vibration amplitude compared to that of free rail. (2) Vertical wave attenuation of rail-fastening is relatively small between 1800 and 3600 Hz, and lateral wave attenuation presents a dominant peak at about 3800 Hz. (3) Compared to the vertical and lateral directions, the fastening system constrains the longitudinal rail vibrations less strongly. (4) The change of fastening stiffness and damping can control rail mode frequencies and their vibration amplitude, and influence the wave propagation velocities and attenuation along the rail.","Fastening constraint; Rail vibration control; Rail vibration modes; Three-dimensional finite element model; Wave propagation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:03066ed4-c279-4bb5-a485-ac9446a7f884","http://resolver.tudelft.nl/uuid:03066ed4-c279-4bb5-a485-ac9446a7f884","Directional electron filtering at a superconductor-semiconductor interface","Breunig, Daniel (University of Würzburg); Zhang, Song Bo (University of Würzburg); Trauzettel, Björn (University of Würzburg); Klapwijk, T.M. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft; University of Würzburg)","","2021","We evaluate the microscopically relevant parameters for electrical transport of hybrid superconductor-semiconductor interfaces. In contrast to the commonly used geometrically constricted metallic systems, we focus on materials with dissimilar electronic properties like low-carrier density semiconductors combined with superconductors, without imposing geometric confinement. We find an intrinsic mode-selectivity, a directional momentum-filter, due to the differences in electronic band structure, which creates a separation of electron reservoirs each at the opposite sides of the semiconductor, while at the same time selecting modes propagating almost perpendicular to the interface. The electronic separation coexists with a transport current dominated by Andreev reflection and low elastic backscattering, both dependent on the gate-controllable electronic properties of the semiconductor.","","en","journal article","","","","","","","","","","","QN/Afdelingsbureau","","",""
"uuid:a8ad1db7-0499-43d6-85c9-01eb9a47a7c3","http://resolver.tudelft.nl/uuid:a8ad1db7-0499-43d6-85c9-01eb9a47a7c3","Lyapunov-Equation-Based Stability Analysis for Switched Linear Systems and Its Application to Switched Adaptive Control","Yuan, S. (TU Delft Team Bart De Schutter; Harbin Institute of Technology); Lv, Maolong (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Zhang, Lixian (Harbin Institute of Technology)","","2021","This article investigates the stability of continuous-time switched linear systems with dwell-time constraints. A fresh insight into this established problem is provided via novel stability conditions that require the solution to a family of differential Lyapunov equations and algebraic Lyapunov equations. The proposed analysis, which leads to a peculiar Lyapunov function that is decreasing in between and at switching instants, enjoys the following properties: it achieves the same dwell time as the well-known result in the research 'stability and stabilization of continuous time switched linear systems' by Geromel and Colaneri; it removes the increasing computational complexity of the linear interpolation method; it leads to a straightforward counterpart for discrete-time switched linear systems.We show the application of this methodology to the problem of adaptive control of switched linear systems with parametric uncertainties.","Dwell-time switching; stability analysis; switched adaptive control; switched linear systems","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:0b077115-24c7-462e-9694-33eac45fb7f1","http://resolver.tudelft.nl/uuid:0b077115-24c7-462e-9694-33eac45fb7f1","High-Voltage Cathode α-Fe2O3Nanoceramics for Rechargeable Sodium-Ion Batteries","Dai, Hanqing (Fudan University); Xu, Wenqian (Nanjing University of Posts and Telecommunications); Hu, Zhe (Fudan University); Gu, Jing (Nanjing University of Posts and Telecommunications); Chen, Yuanyuan (Fudan University); Guo, Ruiqian (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Wei, Wei (Nanjing University of Posts and Telecommunications)","","2021","Previously, α-Fe2O3 nanocrystals are recognized as anode materials owing to their high capacity and multiple properties. Now, this work provides high-voltage α-Fe2O3 nanoceramics cathodes fabricated by the solvothermal and calcination processes for sodium-ion batteries (SIBs). Then, their structure and electrical conductivity were investigated by the first-principles calculations. Also, the SIB with the α-Fe2O3 nanoceramics cathode exhibits a high initial charge-specific capacity of 692.5 mA h g-1 from 2.0 to 4.5 V at a current density of 25 mA g-1. After 800 cycles, the discharge capacity is still 201.8 mA h g-1, well exceeding the one associated with the present-state high-voltage SIB. Furthermore, the effect of the porous structure of the α-Fe2O3 nanoceramics on sodium ion transport and cyclability is investigated. This reveals that α-Fe2O3 nanoceramics will be a remarkably promising low-cost and pollution-free high-voltage cathode candidate for high-voltage SIBs.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:03087d00-59e0-42b2-8ff3-32047feb5c89","http://resolver.tudelft.nl/uuid:03087d00-59e0-42b2-8ff3-32047feb5c89","Thermal aging behaviors of the waste tire rubber used in bitumen modification","Wang, H. (TU Delft Pavement Engineering; The Hong Kong Polytechnic University); Liu, X. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Zhang, H. (TU Delft Materials and Environment; Shandong University); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Leng, Zhen (The Hong Kong Polytechnic University)","","2021","Considering the application scenarios of rubber granules from waste tires in the bitumen modification process (wet or dry process), both aerobic and anaerobic aging of rubber may occur. The current study aims to investigate the thermal aging behavior of waste tire rubber samples using nanoindentation and environment scanning electron microscopy (ESEM) tests. Both aerobic and anaerobic aging tests with different durations were conducted on rubber samples. The complex moduli of aged rubber samples were measured by nanoindentation tests. The surface morphology and elemental composition of aged samples were obtained by ESEM tests together with the energy dispersive X-ray analysis. Results have shown that for both aerobic and anaerobic aging, the equilibrium modulus derived from the complex modulus curve first increases and then decreases with aging time. However, the time needed for the aerobically aged sample to reach the maximum equilibrium modulus is shorter than the anaerobic case. Aging results in crack propagation and an increase of sulfur content on the rubber surface until it reaches the peak. The degree of crosslinking reflected by sulfur content for anaerobic aging is higher than aerobic aging. The morphological change and elemental change of rubber correlate well with the change of mechanical properties. The aging of rubber from the waste truck tire at 180°C can generally be separated into two stages: crosslinking dominant stage and chain scission dominant stage.","EDX; ESEM; nanoindentation; thermal aging; viscoelasticity; Waste tire rubber","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:7b838661-1c88-4681-921c-0f17296ae496","http://resolver.tudelft.nl/uuid:7b838661-1c88-4681-921c-0f17296ae496","Foul sewer model development using geotagged information and smart water meter data","Jia, Yueyi (College of Civil Engineering and Architecture Zhejiang University); Zheng, Feifei (College of Civil Engineering and Architecture Zhejiang University); Zhang, Qingzhou (Yanshan University); Duan, Huan Feng (The Hong Kong Polytechnic University); Savic, Dragan (University of Exeter; Universiti Kebangsaan Malaysia; KWR Water Research Institute); Kapelan, Z. (TU Delft Sanitary Engineering; University of Exeter)","","2021","Hydraulic modeling of a foul sewer system (FSS) enables a better understanding of the behavior of the system and its effective management. However, there is generally a lack of sufficient field measurement data for FSS model development due to the low number of in-situ sensors for data collection. To this end, this study proposes a new method to develop FSS models based on geotagged information and water consumption data from smart water meters that are readily available. Within the proposed method, each sewer manhole is firstly associated with a particular population whose size is estimated from geotagged data. Subsequently, a two-stage optimization framework is developed to identify daily time-series inflows for each manhole based on physical connections between manholes and population as well as sewer sensor observations. Finally, a new uncertainty analysis method is developed by mapping the probability distributions of water consumption captured by smart meters to the stochastic variations of wastewater discharges. Two real-world FSSs are used to demonstrate the effectiveness of the proposed method. Results show that the proposed method can significantly outperform the traditional FSS model development approach in accurately simulating the values and uncertainty ranges of FSS hydraulic variables (manhole water depths and sewer flows). The proposed method is promising due to the easy availability of geotagged information as well as water consumption data from smart water meters in near future.","Foul sewer system (FSS); Geotagged data; Hydraulic models; Smart water meter; Uncertainty","en","journal article","","","","","","Accepted Author Manuscript","","2023-08-30","","","Sanitary Engineering","","",""
"uuid:e80e9a22-0008-4684-8676-c67d0518b2d6","http://resolver.tudelft.nl/uuid:e80e9a22-0008-4684-8676-c67d0518b2d6","End-to-end language diarization for bilingual code-switching speech","Liu, Hexin (Nanyang Technological University); Perera, Leibny Paola Garcia (Johns Hopkins University); Zhang, Xinyi (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Khong, Andy W.H. (Nanyang Technological University); Khudanpur, Sanjeev (Johns Hopkins University); Styles, Suzy J. (Nanyang Technological University)","","2021","We propose two end-to-end neural configurations for language diarization on bilingual code-switching speech. The first, a BLSTM-E2E architecture, includes a set of stacked bidirectional LSTMs to compute embeddings and incorporates the deep clustering loss to enforce grouping of languages belonging to the same class. The second, an XSA-E2E architecture, is based on an x-vector model followed by a self-attention encoder. The former encodes frame-level features into segmentlevel embeddings while the latter considers all those embeddings to generate a sequence of segment-level language labels. We evaluated the proposed methods on the dataset obtained from the shared task B in WSTCSMC 2020 and our handcrafted simulated data from the SEAME dataset. Experimental results show that our proposed XSA-E2E architecture achieved a relative improvement of 12.1% in equal error rate and a 7.4% relative improvement on accuracy compared with the baseline algorithm in the WSTCSMC 2020 dataset. Our proposed XSA-E2E architecture achieved an accuracy of 89.84% with a baseline of 85.60% on the simulated data derived from the SEAME dataset.","Code-switching; End-to-end neural diarization; Language diarization; Language identification; Self-attention","en","conference paper","International Speech Communication Association","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-01","","","Signal Processing Systems","","",""
"uuid:f0115c88-708f-40ff-a68c-8ca72bf1da79","http://resolver.tudelft.nl/uuid:f0115c88-708f-40ff-a68c-8ca72bf1da79","On the use of satellite observations to fill gaps in the Halley station total ozone record","Zhang, Lily N. (Massachusetts Institute of Technology); Solomon, Susan (Massachusetts Institute of Technology); Stone, Kane A. (Massachusetts Institute of Technology); Shanklin, Jonathan D. (British Antarctic Survey); Eveson, Joshua D. (British Antarctic Survey); Colwell, Steve (British Antarctic Survey); Burrows, John P. (University of Bremen); Weber, Mark (Universiteit van Bremen); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Kramarova, Natalya A. (NASA Goddard Space Flight Center); Haffner, David P. (Science Systems and Applications Inc.; NASA Goddard Space Flight Center)","","2021","Measurements by the Dobson ozone spectrophotometer at the British Antarctic Survey's (BAS) Halley research station form a record of Antarctic total column ozone that dates back to 1956. Due to its location, length, and completeness, the record has been, and continues to be, uniquely important for studies of long-term changes in Antarctic ozone. However, a crack in the ice shelf on which it resides forced the station to abruptly close in February of 2017, leading to a gap of two ozone hole seasons in its historic record. We develop and test a method for filling in the record of Halley total ozone by combining and adjusting overpass data from a range of different satellite instruments. Comparisons to the Dobson suggest that our method reproduces monthly ground-based total ozone values with an average difference of 1.1±6.2DU for the satellites used to fill in the 2017-2018 gap. We show that our approach more closely reproduces the Dobson measurements than simply using the raw satellite average or data from a single satellite instrument. The method also provides a check on the consistency of the provisional data from the automated Dobson used at Halley after 2018 with earlier manual Dobson data and suggests that there were likely inconsistencies between the two. The filled Halley dataset provides further support that the Antarctic ozone hole is healing, not only during September but also in January.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:0c45caf8-5bb3-42cf-aacf-cda539be202b","http://resolver.tudelft.nl/uuid:0c45caf8-5bb3-42cf-aacf-cda539be202b","Global greenhouse gas emissions from residential and commercial building materials and mitigation strategies to 2060","Zhong, Xiaoyang (Universiteit Leiden); Hu, Mingming (Universiteit Leiden; Chongqing University); Deetman, Sebastiaan (Universiteit Leiden; Universiteit Utrecht); Steubing, Bernhard (Universiteit Leiden); Lin, H.X. (TU Delft Mathematical Physics; Universiteit Leiden); Aguilar-Hernandez, G. (TU Delft Organisation & Governance; Universiteit Leiden); Harpprecht, Carina (Universiteit Leiden; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Zhang, Chunbo (Universiteit Leiden); Tukker, Arnold (Universiteit Leiden; DIANA FEA); Behrens, Paul (Universiteit Leiden)","","2021","Building stock growth around the world drives extensive material consumption and environmental impacts. Future impacts will be dependent on the level and rate of socioeconomic development, along with material use and supply strategies. Here we evaluate material-related greenhouse gas (GHG) emissions for residential and commercial buildings along with their reduction potentials in 26 global regions by 2060. For a middle-of-the-road baseline scenario, building material-related emissions see an increase of 3.5 to 4.6 Gt CO2eq yr-1 between 2020–2060. Low- and lower-middle-income regions see rapid emission increase from 750 Mt (22% globally) in 2020 and 2.4 Gt (51%) in 2060, while higher-income regions shrink in both absolute and relative terms. Implementing several material efficiency strategies together in a High Efficiency (HE) scenario could almost half the baseline emissions. Yet, even in this scenario, the building material sector would require double its current proportional share of emissions to meet a 1.5 °C-compatible target.","","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:a200838d-2e1a-4b8b-b6f1-c8826cac7499","http://resolver.tudelft.nl/uuid:a200838d-2e1a-4b8b-b6f1-c8826cac7499","Isothermal titration calorimetric assessment of lignin conversion by laccases","Shams, Shams T. (External organisation); Zhang, Jie (Chongqing Technology and Business University); Tonin, F. (TU Delft BT/Biocatalysis); Hinderks, Renske (Student TU Delft); Deurloo, Y.N. (TU Delft BN/Dimphna Meijer Lab); Urlacher, Vlada B. (Universität Düsseldorf); Hagedoorn, P.L. (TU Delft BT/Biocatalysis)","","2021","Lignin valorization may offer a sustainable approach to achieve a chemical industry that is not completely dependent on fossil resources for the production of aromatics. However, lignin is a recalcitrant, heterogeneous, and complex polymeric compound for which only very few catalysts can act in a predictable and reproducible manner. Laccase is one of those catalysts and has often been referred to as an ideal “green” catalyst, as it is able to oxidize various linkages within lignin to release aromatic products, with the use of molecular oxygen and formation of water as the only side product. The extent and rate of laccase-catalyzed lignin conversion were measured using the label-free analytical technique isothermal titration calorimetry (ITC). IITC provides the molar enthalpy of the reaction, which reflects the extent of conversion and the time-dependent power trace, which reflects the rate of the reaction. Calorimetric assessment of the lignin conversion brought about by various fungal and bacterial laccases in the absence of mediators showed marked differences in the extent and rate of conversion for the different enzymes. Kraft lignin conversion by Trametes versicolor laccase followed Michaelis–Menten kinetics and was characterized by the following thermodynamic and kinetic parameters ΔHITC = −(2.06 ± 0.06)·103 kJ mol−1, KM = 6.6 ± 1.2 μM and Vmax = 0.30 ± 0.02 U/mg at 25°C and pH 6.5. We envision calorimetric techniques as important tools for the development of enzymatic lignin valorization strategies.","enzyme kinetics; isothermal titration calorimetry; laccase; lignin","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:01fc7b95-8aea-4eb6-b763-b7bcf4105df1","http://resolver.tudelft.nl/uuid:01fc7b95-8aea-4eb6-b763-b7bcf4105df1","Insights into the high-sulphur aging of sintered silver nanoparticles: An experimental and ReaxFF study","Hu, D. (TU Delft Electronic Components, Technology and Materials); Gu, Tijian (Hohai University); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2021","In high power electronics packaging, sintered silver nanoparticle joints suffer from thermal-humidity- electrical-chemical joint driven corrosion in extreme environments. In this paper, we conducted aging tests on sintered silver nanoparticles under high-temperature, high-humidity, and high-sulphur conditions. The results show that: (1) the sample under the dry high-sulphur conditions at a high temperature exhibited the highest degree of sulphidation; (2) Reactive force field (ReaxFF) molecular dynamics (MD) simulations of sintered silver nanoparticle sulphidation revealed the sulphidation layer was formed by silver atoms upward migration. This work paves the way for further investigation on sintered silver nanoparticles corrosion considering multi-physics coupling effects.","B. Modelling studies; B. SEM; C. Interface; C. Oxidation; C. Sulphidation; Silver","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-16","","","Electronic Components, Technology and Materials","","",""
"uuid:0c1d8ddc-ba88-4689-a5a8-056cb532a132","http://resolver.tudelft.nl/uuid:0c1d8ddc-ba88-4689-a5a8-056cb532a132","Chemical data intelligence for sustainable chemistry","Weber, Jana M. (University of Cambridge; Chemical Data Intelligence); Guo, Zhen (Chemical Data Intelligence; Cambridge Centre for Advanced Research and Education in Singapore); Zhang, Chonghuan (University of Cambridge); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Lapkin, Alexei A. (Chemical Data Intelligence; University of Cambridge; Cambridge Centre for Advanced Research and Education in Singapore)","","2021","This study highlights new opportunities for optimal reaction route selection from large chemical databases brought about by the rapid digitalisation of chemical data. The chemical industry requires a transformation towards more sustainable practices, eliminating its dependencies on fossil fuels and limiting its impact on the environment. However, identifying more sustainable process alternatives is, at present, a cumbersome, manual, iterative process, based on chemical intuition and modelling. We give a perspective on methods for automated discovery and assessment of competitive sustainable reaction routes based on renewable or waste feedstocks. Three key areas of transition are outlined and reviewed based on their state-of-the-art as well as bottlenecks: (i) data, (ii) evaluation metrics, and (iii) decision-making. We elucidate their synergies and interfaces since only together these areas can bring about the most benefit. The field of chemical data intelligence offers the opportunity to identify the inherently more sustainable reaction pathways and to identify opportunities for a circular chemical economy. Our review shows that at present the field of data brings about most bottlenecks, such as data completion and data linkage, but also offers the principal opportunity for advancement.","","en","review","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:70887e9e-3409-4cca-9e13-b427c03e0f9d","http://resolver.tudelft.nl/uuid:70887e9e-3409-4cca-9e13-b427c03e0f9d","Semi-constant Spacing Policy for Leader-Predecessor-Follower Platoon Control via Delayed Measurements Synchronization","Zhang, Y. (TU Delft Transport and Planning; Tongji University); Wang, M. (TU Delft Transport and Planning); Hu, Jia (Tongji University); Bekiaris-Liberis, Nikolaos (Technical University of Crete)","","2021","Constant spacing-based platooning systems cannot guarantee string stability if platoon members only use the preceding vehicle's information. To meet string stability specification, leader-predecessor-follower (LPF) platooning systems are proposed to incorporate the information of both the preceding vehicle and the platoon leader into the control loop. However, string stability of LPF platooning systems is very sensitive to communication and sensing delays. Even a delay of 5 milliseconds may render LPF platooning systems string-unstable. This paper focuses on a new approach to deal with communication and sensing delays in LPF platooning systems. A semi-constant spacing policy that synchronizes delayed measurements of system states obtained from different sources is proposed. This spacing policy aims at tracking the past information of the preceding vehicle to gurantee string stability. Moreover, the delay-synchronizing LPF platooning system puts the same requirements on controller parameters as the nominal LPF platooning system that is not affected by communication and sensing delays. Thus, control gains of the delay-synchronizing LPF platoon can be designed without considering delays.","Communication delay; constant spacing policy; individual vehicle stability; leader-predecessor-follower topology; platooning; string stability; vehicle following","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:d9350bab-7475-4ffa-b78b-945d13a0c965","http://resolver.tudelft.nl/uuid:d9350bab-7475-4ffa-b78b-945d13a0c965","Reinforcement learning control of constrained dynamic systems with uniformly ultimate boundedness stability guarantee","Han, Minghao (Harbin Institute of Technology); Tian, Yuan (Student TU Delft); Zhang, Lixian (Harbin Institute of Technology); Wang, J. (University College London (UCL)); Pan, W. (TU Delft Robot Dynamics)","","2021","Reinforcement learning (RL) is promising for complicated stochastic nonlinear control problems. Without using a mathematical model, an optimal controller can be learned from data evaluated by certain performance criteria through trial-and-error. However, the data-based learning approach is notorious for not guaranteeing stability, which is the most fundamental property for any control system. In this paper, the classic Lyapunov's method is explored to analyze the uniformly ultimate boundedness stability (UUB) solely based on data without using a mathematical model. It is further shown how RL with UUB guarantee can be applied to control dynamic systems with safety constraints. Based on the theoretical results, both off-policy and on-policy learning algorithms are proposed respectively. As a result, optimal controllers can be learned to guarantee UUB of the closed-loop system both at convergence and during learning. The proposed algorithms are evaluated on a series of robotic continuous control tasks with safety constraints. In comparison with the existing RL algorithms, the proposed method can achieve superior performance in terms of maintaining safety. As a qualitative evaluation of stability, our method shows impressive resilience even in the presence of external disturbances.","Constrained dynamic system; Data-based control; Lyapunov's method; Reinforcement learning; Uniformly ultimate boundedness stability","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:ca1fa846-3d55-439b-bd2e-6d7a671051b7","http://resolver.tudelft.nl/uuid:ca1fa846-3d55-439b-bd2e-6d7a671051b7","Experimental study of flexural fatigue behaviour of cement paste at the microscale","Gan, Y. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Zhang, Y. (TU Delft Transport and Planning); Xu, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2021","This study presents an experimental investigation of fatigue properties of cement paste at the microscale. A strong size dependence is found for the flexural fatigue life of the cement paste specimen. Microscopic observations on the fractured surfaces suggest that there is a higher density of nano-scale cracks generated during the fatigue loading compared to the static fracture. However, the fatigue damage evolution is found to be very slow and small even under high stress levels. The development of residual deformation for cement paste can be explained by the combined effects of viscoelastic deformation and fatigue cracking growth.","Cement paste; Flexural fatigue; Micro-cantilever bending; SEM; Viscoelasticity","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:11fac5d5-6e05-47c4-96b0-cc08c80d02d5","http://resolver.tudelft.nl/uuid:11fac5d5-6e05-47c4-96b0-cc08c80d02d5","3D printing of calcined clay-limestone-based cementitious materials","Chen, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Wan, Z. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2021","This paper aims to investigate the influences of high Portland cement substitutions (>60 wt%) by low-grade calcined clay (CC) and limestone (LF) on 3D concrete printability, stiffness evolution and early-age hydration. Results show that, with the same dosage of admixtures (superplasticizer and viscosity modifier), increasing LF and CC content reduced the slump, flowability and initial material flow rate, and significantly improved the buildability of fresh mixtures, which can be attributed to the reduced water film thickness (WFT). Furthermore, the stiffness evolution and SSAtotal development up to the first 3 h were accelerated by increasing CC content, which can also be linked to the change of WFT, and consumption of superplasticizer for the dispersion induced by hydration products. Additionally, the dilution effect on compressive strength and hydration caused by the high cement replacement was observed.","3D concrete printing; Calcined clay; Early-age hydration; Stiffness evolution; Sustainable cementitious material","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:765a9e4b-ee3c-46c6-ba41-6ad57570613b","http://resolver.tudelft.nl/uuid:765a9e4b-ee3c-46c6-ba41-6ad57570613b","Sbs modified bitumen with organic layered double hydroxides: Compatibility and aging effects on rheological properties","Zhang, Canlin (Fuzhou University); Dong, Hongjun (Fuzhou University); Yan, Zhengli (Fuzhou University); Yu, Meng (Beijing Oriental Yuhong Waterproof Technology Co. Ltd.); Wang, Ting (Fuzhou University); Xu, S. (TU Delft Materials and Environment); Jiang, Zhenliang (Fuzhou University; The Hong Kong University of Science and Technology); Hu, Changbin (Fuzhou University)","","2021","SBS-modified bitumen (SMB) is susceptible to aging, which seriously influences its service performance and life. In order to strengthen the anti-aging ability of SMB, triethoxyvinylsilane was designed to organically modify layered double hydroxides (LDHs) and was applied to modify SMB. The dispersibility and storage stability of LDHs in SMB were markedly enhanced after triethoxyvi-nylsilane organic modification, and the compatibility and storage stability of SBS in bitumen were simultaneously enhanced. Compared with SMB, the introduction of LDHs and organic LDHs (OLDHs) could ameliorate the high-temperature properties of SMB, and the thermostability of SBS in bitumen at a high temperature was also distinctly improved, especially OLDHs. After aging, due to the oxidation of molecular bitumen and the degradation of molecular SBS, SMB became hardened and brittle, and the rheological properties were significantly deteriorated, which had serious im-pacts on the performance of SMB. LDHs can mitigate the detriment of aging to bitumen and SBS, and the deterioration of the rheological properties of SMB is obviously alleviated. As a result of the better dispersibility and storage stability, OLDHs exerted superior reinforcement of the anti-aging ability of SMB.","Aging resistance; Layered double hydroxides; Organic modification; Rheological properties; SBS modified bitumen","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:65339a5c-6603-4d6e-8d20-1190d3a84caf","http://resolver.tudelft.nl/uuid:65339a5c-6603-4d6e-8d20-1190d3a84caf","Influence of anti-ageing compounds on rheological properties of bitumen","Gao, Y. (TU Delft Pavement Engineering; Aston University); Zhang, Yuqing (Aston University); Omairey, Eman L. (Aston University); Al-Malaika, Sahar (Aston University); Sheena, Husam (Aston University)","","2021","The aim of this study was to investigate the effects of different anti-ageing compounds (AACs) on the oxidative stability, rheological and mechanical properties of bitumen. Modified bitumen samples containing six different AAC combinations, with five samples containing Irganox acid (3,5-di-tert-butyl-4-hydoxyphenylpropionic acid), a hindered phenol polymer-based antioxidant, were fabricated and aged under different conditions using a Rolling Thin Film Oven (RTFO) as well as a Pressure Aging Vessel (PAV). The oxidative stabilising performance (anti-ageing) of the AACs was examined using Fourier Transform Infrared (FTIR) Spectroscopy. The effect of the AAC-modified bitumen on different rheological and mechanical properties was investigated - complex viscosity, linear viscoelastic (LVE) properties, fatigue and rutting - using a Dynamic Shear Rheometer (DSR). The results illustrated that all the AAC-combinations examined afforded good oxidative stability to the base bitumen, with outstanding anti-ageing performance achieved by formulations C, D, E and F (Irganox acid:NaMMT, Irganox acid:furfural without or with DLTDP or NaMMT). The rheological results showed that the AAC-modified bitumen samples displayed non-Newtonian characteristics associated with simple thermo-rheological materials. The AAC formulations A (DLTDP:furfural), D (Irganox acid:furfural) and F (DLTDP:Irganox acid:furfural) were shown to significantly strengthen the resistance of the bitumen samples to fatigue cracking. In contrast to Irganox acid:furfural combination, the addition of the NaMMT nanofiller to this mixture was found to enhance the rutting resistance of the aged bitumen samples.","Anti-ageing compounds; Bitumen; Fatigue cracking; Rheological properties; Rutting resistance","en","journal article","","","","","","Accepted Author Manuscript","","2023-08-09","","","Pavement Engineering","","",""
"uuid:5bfaf1aa-b26d-487d-b9fc-585e59434eac","http://resolver.tudelft.nl/uuid:5bfaf1aa-b26d-487d-b9fc-585e59434eac","Sustainable construction and financing—asset-backed securitization of expressway’s usufruct with redeemable rights","Zhang, Qiming (Beijing Jiaotong University); Tjia, Linda Yin Nor (City University of Hong Kong); Wang, B. (TU Delft Urban Development Management); Ersoy, A. (TU Delft Urban Development Management)","","2021","Asset-backed securitization will greatly promote the sustainability of infrastructure construction and financing. However, there are quite limited researches conducted in this field. Given the project characteristics of infrastructure project securities, this paper proposes the issuance steps of redeemable asset-backed notes (ABN) based on the infrastructure project’s usufruct as the basic asset. Taking the expressway franchise as an example, the issuing scale and coupon rate of the redeemable ABN are determined by the expected cash flow of the expressway, the term structure of random interest rates, and the option-adjusted spread (OAS). In addition, this research analyzes the duration, convexity, and OAS.","Asset-backed securitization; Infrastructure project; Redeemable asset-backed notes","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:867583c1-7189-4240-89b3-19ee021ccac0","http://resolver.tudelft.nl/uuid:867583c1-7189-4240-89b3-19ee021ccac0","New innovations in pavement materials and engineering: A review on pavement engineering research 2021","Chen, Jiaqi (Central South University China); Dan, Hancheng (Central South University China); Ding, Yongjie (Chongqing Jiaotong University); Gao, Y. (TU Delft Pavement Engineering); Guo, Meng (Beijing University of Technology); Guo, Shuaicheng (Hunan University); Han, Bingye (Beijing University of Civil Engineering & Architecture); Hong, Bin (Harbin Institute of Technology); Hou, Yue (Beijing University of Technology); Hu, Chichun (South China University of Technology); Hu, Jing (Southeast University); Huyan, Ju (Southeast University; University of Waterloo); Jiang, Jiwang (The Hong Kong Polytechnic University); Jiang, Wei (Chang'an University); Li, Cheng (Chang'an University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Liu, Yu (Chang'an University); Liu, Zhuangzhuang (Chang'an University); Lu, Guoyang (The Hong Kong Polytechnic University); Ouyang, Jian (Dalian University of Technology); Qu, Xin (Chang'an University); Ren, Dongya (Southwest Jiaotong University); Wang, Chao (Beijing University of Technology); Wang, Chaohui (Chang'an University); Wang, Dawei (Harbin Institute of Technology); Wang, Di (Aalto University); Wang, Hainian (Chang'an University); Wang, Haopeng (University of Nottingham); Xiao, Yue (Wuhan University; Wuhan University of Technology); Xing, Chao (Harbin Institute of Technology); Xu, Huining (Harbin Institute of Technology); Yan, Yu (Tongji University); Yang, Xu (Chang'an University); You, Lingyun (Huazhong University of Science and Technology); You, Zhanping (Michigan Technological University); Yu, Bin (Southeast University); Yu, Huayang (South China University of Technology); Yu, Huanan (Changsha University of Science and Technology); Zhang, Henglong (Hunan University); Zhang, Jizhe (Shandong University); Zhou, Changhong (Guilin University of Electronic Technology); Zhou, Changjun (Dalian University of Technology); Zhu, Xingyi (Tongji University)","","2021","Sustainable and resilient pavement infrastructure is critical for current economic and environmental challenges. In the past 10 years, the pavement infrastructure strongly supports the rapid development of the global social economy. New theories, new methods, new technologies and new materials related to pavement engineering are emerging. Deterioration of pavement infrastructure is a typical multi-physics problem. Because of actual coupled behaviors of traffic and environmental conditions, predictions of pavement service life become more and more complicated and require a deep knowledge of pavement material analysis. In order to summarize the current and determine the future research of pavement engineering, Journal of Traffic and Transportation Engineering (English Edition) has launched a review paper on the topic of “New innovations in pavement materials and engineering: A review on pavement engineering research 2021”. Based on the joint-effort of 43 scholars from 24 well-known universities in highway engineering, this review paper systematically analyzes the research status and future development direction of 5 major fields of pavement engineering in the world. The content includes asphalt binder performance and modeling, mixture performance and modeling of pavement materials, multi-scale mechanics, green and sustainable pavement, and intelligent pavement. Overall, this review paper is able to provide references and insights for researchers and engineers in the field of pavement engineering.","Asphalt binder; Asphalt mixture; Green and sustainable pavement; Intelligent pavement; Modeling of pavement materials; Multi-scale mechanics","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4fe313d7-af50-4adb-afb6-917df38308d7","http://resolver.tudelft.nl/uuid:4fe313d7-af50-4adb-afb6-917df38308d7","The aging effect in evolving scientific citation networks","Hu, Feng (Qinghai Normal University; Ministry of Education Hangzhou; Tibetan Information Processing and Machine Translation Key Laboratory of Qinghai Province); Ma, Lin (Hangzhou Normal University); Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Zhou, Yinzuo (Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Zhao, Haixing (Qinghai Normal University; Ministry of Education Hangzhou; Tibetan Information Processing and Machine Translation Key Laboratory of Qinghai Province); Zhang, Zi Ke (Hangzhou Normal University; College of Media and International Culture)","","2021","The study of citation networks is of interest to the scientific community. However, the underlying mechanism driving individual citation behavior remains imperfectly understood, despite the recent proliferation of quantitative research methods. Traditional network models normally use graph theory to consider articles as nodes and citations as pairwise relationships between them. In this paper, we propose an alternative evolutionary model based on hypergraph theory in which one hyperedge can have an arbitrary number of nodes, combined with an aging effect to reflect the temporal dynamics of scientific citation behavior. Both theoretical approximate solution and simulation analysis of the model are developed and validated using two benchmark datasets from different disciplines, i.e. publications of the American Physical Society (APS) and the Digital Bibliography & Library Project (DBLP). Further analysis indicates that the attraction of early publications will decay exponentially. Moreover, the experimental results show that the aging effect indeed has a significant influence on the description of collective citation patterns. Shedding light on the complex dynamics driving these mechanisms facilitates the understanding of the laws governing scientific evolution and the quantitative evaluation of scientific outputs.","Aging effect; Evolution; Hypergraph theory; Scientific citation network","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:7ac432b1-4446-4eeb-92e6-0e10168b0f6a","http://resolver.tudelft.nl/uuid:7ac432b1-4446-4eeb-92e6-0e10168b0f6a","Adaptive bidirectional extracellular electron transfer during accelerated microbiologically influenced corrosion of stainless steel","Li, Z. (TU Delft Team Arjan Mol; University of Science and Technology Beijing); Chang, Weiwei (University of Science and Technology Beijing); Cui, Tianyu (University of Science and Technology Beijing); Xu, Dake (Northeastern University); Zhang, Dawei (University of Science and Technology Beijing); Lou, Yuntian (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Song, Hao (Tianjin University); Mol, J.M.C. (TU Delft Team Arjan Mol); Cao, Fahe (Sun Yat-sen University); Gu, Tingyue (Ohio University); Li, Xiaogang (University of Science and Technology Beijing)","","2021","Microbiologically influenced corrosion of metals is prevalent in both natural and industrial environments, causing enormous structural damage and economic loss. Exactly how microbes influence corrosion remains controversial. Here, we show that the pitting corrosion of stainless steel is accelerated in the presence of Shewanella oneidensis MR-1 biofilm by extracellular electron transfer between the bacterial cells and the steel electrode, mediated by a riboflavin electron shuttle. From pitting measurements, X-ray photoelectron spectroscopy and Mott-Schottky analyses, the addition of an increased amount of riboflavin is found to induce a more defective passive film on the stainless steel. Electrochemical impedance spectroscopy reveals that enhanced bioanodic and biocathodic process can both promote the corrosion of the stainless steel. Using in situ scanning electrochemical microscopy, we observe that extracellular electron transfer between the bacterium and the stainless steel is bidirectional in nature and switchable depending on the passive or active state of the steel surface.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:95780ce0-6f56-4b75-98cd-72f621d120bc","http://resolver.tudelft.nl/uuid:95780ce0-6f56-4b75-98cd-72f621d120bc","Multi-scale characterization of lignin modified bitumen using experimental and molecular dynamics simulation methods","Ren, S. (TU Delft Pavement Engineering; China University of Petroleum (East China)); Liu, X. (TU Delft Pavement Engineering); Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Lin, P. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Li, Mingliang (Research Institute of Highway Ministry of Transport); Xu, Jian (Research Institute of Highway Ministry of Transport)","","2021","Lignin, as a major waste from biofuel and paper industries, could be utilized as a modifier to enhance the relevant performance of bitumen. However, the effects of lignin on the thermodynamics properties and molecular structure of bitumen are rarely studied. Meanwhile, the potential modification mechanism of lignin modified bitumen is still unclear. Molecular dynamics (MD) simulation and laboratory experimental methods are combined to explore the influence of lignin on the thermodynamics characteristics, rheological properties as well as the molecular structure of bitumen. The lignin modified bitumen with different dosages of lignin (10, 20 and 30 wt%) were prepared. DSR results from a macroscale view reveal that lignin could significantly improve the modulus, elastic recovery and rutting resistance of bitumen, but it adversely affects the fatigue performance. Meanwhile, the MD simulation results from a microscale perspective show that lignin could increase the density, cohesive energy density, shear viscosity, modulus and adhesive strength of bitumen. However, the free volume, diffusion coefficient and self-healing ability of lignin modified bitumen are weakened with the increase of lignin dosage. The MD simulations results are consistent with the experimental data. Furthermore, the correlations between the microscale and macroscale properties of lignin modified bitumen indicate that the physical and rheological properties of bitumen both depend on the molecular structure dramatically. The findings of this research can provide insights for an in-depth understanding of the effect of lignin on bitumen.","Bitumen; Lignin; Molecular dynamics simulation; Rheology; Thermodynamic characteristics","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:00b58bd6-7a59-4b99-9212-65708ba66285","http://resolver.tudelft.nl/uuid:00b58bd6-7a59-4b99-9212-65708ba66285","An Approach to Map Visibility in The Built Environment from Airborne LiDAR Point Clouds","Zhang, Guan ting (Southeast University); Verbree, E. (TU Delft GIS Technologie); Wang, Xiao jun (Southeast University)","","2021","Sustainable development can only be achieved with an innovative improvement from the way we currently analyze, design, build and manage our urban spaces. Current digital analysis and design methods for cities, such as visibility analysis, deeply rely on mapping and modeling techniques. However, most methods fall short of depicting the real visual landscape in the urban realm and this could bring a significant error in visibility calculations which may lead to an improper decision for urban spaces. The technical development of light detection and ranging(LiDAR) technology introduces new approaches for urban study. LiDAR utilizes point clouds including thousands or even millions of georeferenced points, and thus can support 3-D digital representation of urban landscape with detailed information and high resolution. Besides the superiority in representing urban landscape, LiDAR point clouds also has a clear advantage in quantitative analysis and provides better visibility than traditional models. In this paper, we first introduced a novel approach to map visibility in the urban built environment involving vegetation data directly using airborne LiDAR point clouds. This approach calculates neighborhood statistics for occlusion detection. Then we presented 2 case with different scenarios showing how our approach can be used to obtain a precise visibility in an urban area in the Netherlands. At last, we discussed how point clouds based visibility models can be further explored and can better assist urban design.","airborne LiDAR; Buildings; built environment; Laser radar; point cloud; Three-dimensional displays; urban area; Urban areas; Vegetation; Vegetation mapping; visibility analysis; visual environment; Visualization","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:39de093f-6b95-40d0-9835-67bf49fcf72a","http://resolver.tudelft.nl/uuid:39de093f-6b95-40d0-9835-67bf49fcf72a","Fracture properties and microstructure formation of hardened alkali-activated slag/fly ash pastes","Zhang, Shizhe (TU Delft Materials and Environment); Li, Z. (TU Delft Materials and Environment); Ghiassi, B. (TU Delft Materials and Environment; University of Nottingham); Yin, Suhong (South China University of Technology); Ye, G. (TU Delft Materials and Environment; South China University of Technology)","","2021","This study presents a comprehensive experimental investigation on the fracture properties of hardened alkali-activated slag/fly ash (AASF) pastes in relation to the microstructure formation and reaction product composition. The main reaction product in AASF is C-(N-)A-S-H gel along with minor hydrotalcite phase, with the polymerization of C-(N-)A-S-H gel substantially governed by its Ca/Si ratio. Strong positive correlations are identified between the Ca/Si ratios of C-(N-)A-S-H gel and the fracture properties KIc (Jtip), whereas, the compressive strength of AASF pastes is primarily determined by its capillary porosity (>0.01 μm). The disagreements between the Ca/Si ratios and corresponding intrinsic mechanical properties of C-(N-)A-S-H gel as proof by contradiction indicate that the fracture properties KIc (Jtip) of AASF pastes could be dominated by a cohesion/adhesion-based mechanism. These findings provide promising guidance for fine-tuning the fracture properties of AASF and also advise on the tailoring strategies for high-performance composite such as strain-hardening geopolymer composite.","Alkali-activation; Ca/Si; Fly ash; Fracture toughness; Microstructure; Slag","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4b2496c0-ca8b-482c-94e2-b9cc141995b0","http://resolver.tudelft.nl/uuid:4b2496c0-ca8b-482c-94e2-b9cc141995b0","ESEM observation and rheological analysis of rejuvenated SBS modified bitumen","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Zhang, Y. (Chang'an University); Ren, S. (TU Delft Pavement Engineering)","","2021","Observing the microstructure of bituminous binders with an environmental scanning electron microscope (ESEM) can contribute significantly to reveal the underlying rejuvenation mechanism. In this study, three rejuvenators were selected to regenerate the aged SBS modified binders at five dosages, and their rheology was evaluated using a dynamic shear rheometer. ESEM was employed to examine the microstructure of binders as well, and a series of microstructure parameters were quantified with image analysis. The results demonstrated that the chemical composition changes correspond to the evolution of microstructure morphological and rheological properties. Moreover, the rheological and microstructure characteristics were analyzed with Principal Component Analysis (PCA) and regression analysis. Based on PCA results, the microstructure of rejuvenated binders has shown a good correlation with stiffness after combining various principal components. According to regression analysis, the distance between adjacent fibrils exhibited a significant correlation with Jnr3.2 and the complex modulus index. Overall, the results of this study strengthen the hypothesis that the ESEM microstructure is intimately correlated with chemical composition and rheological properties, rather than with irrelevant surface phenomena.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:bf531019-e73b-47df-9600-f71d8918c919","http://resolver.tudelft.nl/uuid:bf531019-e73b-47df-9600-f71d8918c919","A critical view on the use of Non-Uniform Rational B-Splines to improve geometry representation in enriched finite element methods","De Lazzari, Elena (Student TU Delft); van den Boom, S.J. (TU Delft Computational Design and Mechanics); Zhang, J. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2021","Enriched finite element methods have gained traction in recent years for modeling problems with material interfaces and cracks. By means of enrichment functions that incorporate a priori behavior about the solution, these methods decouple the finite element (FE) discretization from the geometric configuration of such discontinuities. Taking advantage of this greater flexibility, recent studies have proposed the adoption of Non-Uniform Rational B-Splines (NURBS) to preserve the interfaces' exact geometries throughout the analysis. In this article, we investigate NURBS-based geometries in the context of the Discontinuity-Enriched Finite Element Method (DE-FEM) based on linear field approximations. While optimal convergence is retained for problems with weak discontinuities without singularities, representing exact geometry via NURBS does not yield noticeable improvements when extracting stress intensity factors of cracked specimens. For low-order elements, we conclude that the benefits of exact geometry representation do not outweigh the increased complexity in formulation and implementation. The choice of linear FEs hinders the accuracy of the proposed formulation, suggesting that its full potential may only be unleashed by increasing the field representation order.","CAD; enriched FEM; IGFEM/DE-FEM; NURBS; XFEM/GFEM","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:d76d0b85-2f31-474c-9aa2-df8bdc44bb64","http://resolver.tudelft.nl/uuid:d76d0b85-2f31-474c-9aa2-df8bdc44bb64","Hydrogen diffusion under the effect of stress and temperature gradients","Zhang, Z. (TU Delft Energy Technology; University of Northumbria); Ayas, C. (TU Delft Computational Design and Mechanics); Popovich, V. (TU Delft Team Vera Popovich); Peeters, J.W.R. (TU Delft Energy Technology)","","2021","In this paper, a finite element (FE) model is developed to investigate lattice hydrogen diffusion in a solid metal under the influence of stress and temperature gradients. This model is applied to a plate with a circular hole which is subjected to temperature and hydrogen concentration gradients. It is demonstrated that temperature gradients significantly influence hydrogen diffusion and hence susceptibility to hydrogen embrittlement when utilizing hydrogen for gas turbines.","","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","http://resolver.tudelft.nl/uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","The Mechanical Properties and Elastic Anisotropy of η’-Cu6Sn5 and Cu3Sn Intermetallic Compounds","Ding, Chao (Guilin University of Electronic Technology); Wang, Jian (Guilin University of Electronic Technology); Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2021","Full intermetallic compound (IMC) solder joints present fascinating advantages in high-temperature applications. In this study, the mechanical properties and elastic anisotropy of η’-Cu6Sn5 and Cu3Sn intermetallic compounds were investigated using first-principles calculations. The values of single-crystal elastic constants, the elastic (E), shear (G), and bulk (B) moduli, and Poisson’s ratio (ν) were identified. In addition, the two values of G/B and ν indicated that the two IMCs were ductile materials. The elastic anisotropy of η’-Cu6Sn5 was found to be higher than Cu3Sn by calculating the universal anisotropic index. Furthermore, an interesting discovery was that the above two types of monocrystalline IMC exhibited mechanical anisotropic behavior. Specifically, the anisotropic degree of E and B complied with the following relationship: η’-Cu6Sn5 > Cu3Sn; however, the relationship was Cu3Sn > η’-Cu6Sn5 for the G. It is noted that the anisotropic degree of E and G was similar for the two IMCs. In addition, the anisotropy of the B was higher than the G and E, respectively, for η’-Cu6Sn5; however, in the case of Cu3Sn, the anisotropic degree of B, G, and E was similar.","Elastic anisotropic; First-principles calculates; Intermetallic compounds; Mechanical properties","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:dac8cc01-c3ee-4808-991f-5c5fa5853075","http://resolver.tudelft.nl/uuid:dac8cc01-c3ee-4808-991f-5c5fa5853075","Facile synthesis of ag nanowire/tio2 and ag nanowire/tio2/go nanocomposites for photocatalytic degradation of rhodamine b","Hajipour, Pejman (Isfahan University of Technology); Bahrami, Abbas (Isfahan University of Technology); Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","This paper investigates the photocatalytic characteristics of Ag nanowire (AgNW)/TiO2 and AgNW/TiO2/graphene oxide (GO) nanocomposites. Samples were synthesized by the direct coating of TiO2 particles on the surface of silver nanowires. As-prepared AgNW/TiO2 and AgNW/TiO2/GO nanocomposites were characterized by electron microscopy, X-ray diffraction, UV/visible absorption spectroscopy, and infrared spectroscopy. Transmission electron microscope (TEM) images confirmed the successful deposition of TiO2 nanoparticles on the surface of AgNWs. The photocatalytic activity of synthesized nanocomposites was evaluated using Rhodamine B (RhB) in an aqueous solution as the model organic dye. Results showed that synthesized AgNW/TiO2/GO nanocomposite has superior photocatalytic activities when it comes to the decomposition of RhB.","Ag nanowire; Nanocomposite; Photocatalytic materials; Rhodamine B; Surface plasmon resonance","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:09de2554-da33-4be8-9b8d-f888e175a115","http://resolver.tudelft.nl/uuid:09de2554-da33-4be8-9b8d-f888e175a115","Rapid Mass Loss in West Antarctica Revealed by Swarm Gravimetry in the Absence of GRACE","Zhang, Chaoyang (The University of Texas at Austin); Shum, C. K. (Ohio State University; Chinese Academy of Sciences); Bezděk, Aleš (Czech Academy of Sciences and Arts); Bevis, Michael (Ohio State University); De Teixeira Da Encarnação, J. (TU Delft Astrodynamics & Space Missions; The University of Texas at Austin); Tapley, Byron D. (The University of Texas at Austin); Zhang, Yu (Ohio State University); Su, Xiaoli (Huazhong University of Science and Technology); Shen, Qiang (Chinese Academy of Sciences)","","2021","GRACE observations revealed that rapid mass loss in the West Antarctic Ice Sheet (WAIS) abruptly paused in 2015, followed by a much lower rate of mass loss ((Formula presented.) Gt yr−1) until the decommissioning of GRACE in 2017. The critical 1-year GRACE intermission data gap raises the question of whether the reduced mass loss rate persists. The Swarm gravimetry data, which have a lower resolution, show good agreement with GRACE/GRACE-FO observations during the overlapping period, i.e., high correlation (0.78) and consistent trend estimates. Swarm data efficiently bridge the GRACE/GRACE-FO data gap and reveal that WAIS has returned to the rapid mass loss state ((Formula presented.) Gt yr−1) that prevailed prior to 2015 during the GRACE intermission data gap. The changes in precipitation patterns, driven by the climate cycles, further explain and confirm the dramatic shifts in the WAIS mass loss regime implied by the Swarm observations.","ENSO; GRACE/GRACE-FO; ice mass balance; PSA; Swarm; West Antarctic Ice Sheet","en","journal article","","","","","","","","2022-12-01","","","Astrodynamics & Space Missions","","",""
"uuid:f69f8ac8-ebcb-4be3-93fb-bfee1da7cfb7","http://resolver.tudelft.nl/uuid:f69f8ac8-ebcb-4be3-93fb-bfee1da7cfb7","A small radio galaxy at z = 4.026","Gabányi, Krisztina (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences); An, Tao (Shanghai Astronomical Observatory, Shanghai); Cao, Hongmin (Shangqiu Normal University); Paragi, Zsolt (Joint Institute for VLBI ERIC); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Zhang, Yingkang (Shanghai Astronomical Observatory, Shanghai); Sbarrato, Tullia (Istituto Nazionale di Astrofisica-Osservatorio Astronomico di Brera); Krezinger, Máté (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences)","","2021","Less than 200 radio-loud active galactic nuclei are known above redshift 4. Around 40 of them have been observed at milliarcsecond (mas) scale resolution with very long baseline interferometry (VLBI) technique. Some of them are unresolved, compact, relativistically beamed objects, and blazars with jets pointing at small angles to the observer's line of sight. But there are also objects with no sign of relativistic beaming possibly having larger jet inclination angles. In a couple of cases, X-ray observations indicate the presence of relativistic beaming contrary to the VLBI measurements made with the European VLBI Network. J1420+1205 is a prominent example, where our 30–100 mas-scale enhanced multi element remotely linked interferometer network radio observations revealed a rich structure reminiscent of a small radio galaxy. It shows a bright hotspot that might be related to the denser interstellar medium around a young galaxy at an early cosmological epoch.","galaxies: active; galaxies: high-redshift; galaxies: individual: J1420+1205; radio continuum: galaxies","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:73a76a98-5d95-4dbd-af66-b053d28b0133","http://resolver.tudelft.nl/uuid:73a76a98-5d95-4dbd-af66-b053d28b0133","Towards a traffic map of the Internet Connecting the dots between popular services and users: Connecting the dots between popular services and users","Koch, Thomas (Columbia University); Jiang, Weifan (Columbia University); Luo, Tao (Columbia University); Gigis, Petros (University College London (UCL); RIPE NCC); Zhang, Yunfan (Columbia University); Vermeulen, Kevin (Columbia University); Aben, Emile (Microsoft); Calder, Matt (Columbia University; RIPE NCC); Katz-Bassett, Ethan (Columbia University); Manassakis, Lefteris (FORTH-ICS); Smaragdakis, G. (TU Delft Cyber Security); Vallina-Rodriguez, Narseo (IMDEA Networks / ICSI)","","2021","The impact of Internet phenomena depends on how they impact users, but researchers lack visibility into how to translate Internet events into their impact. Distressingly, the research community seems to have lost hope of obtaining this information without relying on privileged viewpoints. We argue for optimism thanks to new network measurement methods and changes in Internet structure which make it possible to construct an ""Internet traffic map"". This map would identify the locations of users and major services, the paths between them, and the relative activity levels routed along these paths. We sketch our vision for the map, detail new measurement ideas for map construction, and identify key challenges that the research community should tackle. The realization of an Internet traffic map will be an Internet-scale research effort with Internet-scale impacts that reach far beyond the research community, and so we hope our fellow researchers are excited to join us in addressing this challenge.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Cyber Security","","",""
"uuid:fa187565-2f24-4b6b-9360-ae177687e9ab","http://resolver.tudelft.nl/uuid:fa187565-2f24-4b6b-9360-ae177687e9ab","Low Leakage and High Forward Current Density Quasi-Vertical GaN Schottky Barrier Diode With Post-Mesa Nitridation","Kang, Xuanwu (Fudan University; Chinese Academy of Sciences); Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Wu, Hao (Fudan University; Chinese Academy of Sciences); Zhao, Yuanyuan (Chinese Academy of Sciences); Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (Fudan University)","","2021","In this brief, a high-performance quasi-vertical GaN Schottky barrier diode (SBD) on sapphire substrate with post-mesa nitridation process is reported, featuring a low damaged sidewall with extremely low leakage current. The fabricated SBD with a drift layer of 1 μm has achieved a very high ON/OFF current ratio (Iscriptscriptstyle ON/Iscriptscriptstyle OFF of 1012 with a low leakage current of ∼ 10-9 A/cm2@-10 V, high forward current density of 5.2 kA/cm2 at 3 V in dc, a low differential specific ON-resistance (Rscriptscriptstyle ONsp) of 0.3 m Ω cm2, and ideality factor of 1.04. In addition, a transmission-line-pulse (TLP) I-V test was carried out and 53 kA/cm2 at 30 V in pulsed measurement was obtained without device failure, exhibiting a great potential for high power applications.","GaN; high forward current density; leakage; mesa; quasi; Schottky barrier diode (SBD); transmission-line-pulse (TLP); vertical.","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:4e6ef6e6-19f8-4ea9-ab35-6c6212a78b2f","http://resolver.tudelft.nl/uuid:4e6ef6e6-19f8-4ea9-ab35-6c6212a78b2f","A Dual-Polarized 27-dBi Scanning Lens Phased Array Antenna for 5G Point-to-Point Communications","Zhang, H. (TU Delft Tera-Hertz Sensing); Bosma, S. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2021","A dual-polarized 4 x 4 scanning phased array antenna with leaky-wave enhanced lenses operating at 28 GHz is presented. Such an antenna can be used for point-to-point fifth-generation (5G) communications that require high gain, wide bandwidth (BW), and limited steering ranges. The proposed array has a periodicity of two wavelengths, and the resulting grating lobes are suppressed by directive and steerable array element patterns. To achieve a low-cost and low-profile solution, the leaky-wave antenna feeds are designed in printed circuit board and the lenses are made of plastic. The lenses are optimized in the near-field region of the feeds, with the goal of maximizing the array element aperture efficiency. The array performance obtained from the proposed approach is validated by full-wave simulations, showing a 27.5 dBi broadside gain at 28 GHz and a steering capability up to ±20° with 2 dB of scan loss. An antenna prototype was fabricated and measured. Measurement results are in excellent agreement with full-wave simulations. The prototype antenna, at broadside, achieves a 20% relative BW and a gain of 26.2 dBi.","Fifth generation (5G); Leaky-wave antenna (LWA); Lens antenna; Millimeter wave; Sparse phased array antenna (PAA)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-20","","","Tera-Hertz Sensing","","",""
"uuid:4c245dab-1f47-4146-bc1a-0fb714183224","http://resolver.tudelft.nl/uuid:4c245dab-1f47-4146-bc1a-0fb714183224","Image-based modelling for Adolescent Idiopathic Scoliosis: Mechanistic machine learning analysis and prediction","Tajdari, Mahsa (Northwestern University); Pawar, Aishwarya (Carnegie Mellon University); Li, Hengyang (Northwestern University); Tajdari, F. (TU Delft Mechatronic Design); Maqsood, Ayesha (Ann & Robert H. Lurie Children’s Hospital); Cleary, Emmett (University of Southern California); Saha, Sourav (Northwestern University); Zhang, Yongjie Jessica (Carnegie Mellon University); Sarwark, John F. (Northwestern University Feinberg School of Medicine; Ann & Robert H. Lurie Children’s Hospital); Liu, Wing Kam (Northwestern University)","","2021","Scoliosis, an abnormal curvature of the human spinal column, is characterized by a lateral deviation of the spine, accompanied by axial rotation of the vertebrae. Adolescent Idiopathic Scoliosis (AIS) is the most common type, affecting children between ages 8 to 18 when bone growth is at its maximum rate. We propose a mechanistic machine learning algorithm in order to study patient-specific AIS curve progression, which is associated with the bone growth and other genetic and environmental factors. Two different frameworks are used to analyse and predict curve progression, one with implementing clinical data extracted from 2D X-ray images and the other one with incorporating both clinical data and physical equations governing the non-uniform bone growth. The physical equations governing bone growth are affiliated with calculating all stress components at each region. The stress values are evaluated through a surrogate finite element simulation and a bone growth model on a detailed patient-specific geometry of the human spine. We also propose a patient-specific framework to generate the volumetric model of human spine which is partitioned into different tissues for both vertebra and intervertebral disc. It is shown that implementing physical equations governing bone growth into the prediction framework will notably improve the prediction results as compared to only using clinical data for prediction. In addition, we can predict curve progression at ages outside the range of training samples.","Adolescent idiopathic scoliosis of the human spine; X-ray images; Patient-specific geometry; Surrogate finite element and bone growth models; Predictive models; Mechanistic machine learning","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:c56b8d21-043d-4e33-b4b3-4e09f3874987","http://resolver.tudelft.nl/uuid:c56b8d21-043d-4e33-b4b3-4e09f3874987","The divergence-conforming immersed boundary method: Application to vesicle and capsule dynamics","Casquero, Hugo (Carnegie Mellon University); Bona-Casas, Carles (University of the Balearic Islands); Toshniwal, D. (TU Delft Numerical Analysis); Hughes, Thomas J.R. (Oden Institute for Computational Engineering and Sciences); Gomez, Hector (Purdue University); Zhang, Yongjie Jessica (Carnegie Mellon University)","","2021","We extend the recently introduced divergence-conforming immersed boundary (DCIB) method [1] to fluid-structure interaction (FSI) problems involving closed co-dimension one solids. We focus on capsules and vesicles, whose discretization is particularly challenging due to the higher-order derivatives that appear in their formulations. In two-dimensional settings, we employ cubic B-splines with periodic knot vectors to obtain discretizations of closed curves with C2 inter-element continuity. In three-dimensional settings, we use analysis-suitable bi-cubic T-splines to obtain discretizations of closed surfaces with at least C1 inter-element continuity. Large spurious changes of the fluid volume inside closed co-dimension one solids are a well-known issue for IB methods. The DCIB method results in volume changes orders of magnitude lower than conventional IB methods. This is a byproduct of discretizing the velocity-pressure pair with divergence-conforming B-splines, which lead to negligible incompressibility errors at the Eulerian level. The higher inter-element continuity of divergence-conforming B-splines is also crucial to avoid the quadrature/interpolation errors of IB methods becoming the dominant discretization error. Benchmark and application problems of vesicle and capsule dynamics are solved, including mesh-independence studies and comparisons with other numerical methods.","Capsules; Fluid-structure interaction; Immersed boundary method; Isogeometric analysis; Vesicles; Volume conservation","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:fb65e570-a5b4-4b3d-90e8-c806fe236f34","http://resolver.tudelft.nl/uuid:fb65e570-a5b4-4b3d-90e8-c806fe236f34","Fundamentals and application of solid-state phase transformations for advanced high strength steels containing metastable retained austenite","Dai, Zongbiao (Tsinghua University); Chen, Hao (School of Materials Science and Engineering; Tsinghua University); Ding, Ran (Tsinghua University); Lu, Qi (China Science Lab; General Motors); Zhang, Chi (School of Materials Science and Engineering; Tsinghua University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2021","Over many decades, significant efforts have been made to improve the strength-elongation product of advanced high strength steels (AHSSs) by creating tailored multi-phase microstructures. Successive solid-state phase transformations for steels with a well selected chemical composition turned out to be the key instrument in the realisation of such microstructures. In this contribution, we first provide a brief review of the desired microstructures for Transformation-induced plasticity (TRIP), Carbide-free Bainitic (CFB), Quenching & Partitioning (Q&P) and Medium Manganese steels followed by comprehensive discussions on the phase transformations to be used in their creation. The implications for the steel composition to be selected are addressed too. As the presence of the right amount and type of metastable retained austenite (RA) is of crucial importance for the mechanical performance of these AHSSs, special attention is paid to the important role of successive solid-state phase transformations in creating the desired fraction and composition of RA by suitable element partitioning (in particular C and Mn). This critical partitioning not only takes place during final cooling (austenite decomposition) but also during the back transformation (austenite reversion) during reheating. This review aims to be more than just descriptive of the various findings, but to present them from a coherent thermodynamic / thermo-kinetic perspective, such that it provides the academic and industrial community with a rather complete conceptual and theoretical framework to accelerate the further development of this important class of steels. The detailed stepwise treatment makes the review relevant not only for experts but also metallurgists entering the field.","Alloy design; Automotive steels; Microstructures; Retained austenite; Solid-state phase transformations; Steel chemistry","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-30","","","Novel Aerospace Materials","","",""
"uuid:1baba947-8c3b-434b-a3d8-9dd73167fbe9","http://resolver.tudelft.nl/uuid:1baba947-8c3b-434b-a3d8-9dd73167fbe9","Customized data-driven RANS closures for bi-fidelity LES–RANS optimization","Zhang, Yu (Northwestern Polytechnical University); Dwight, R.P. (TU Delft Aerodynamics); Schmelzer, M. (TU Delft Aerodynamics); Gómez, Javier F. (Student TU Delft); Han, Zhong hua (Northwestern Polytechnical University); Hickel, S. (TU Delft Aerodynamics)","","2021","Multi-fidelity optimization methods promise a high-fidelity optimum at a cost only slightly greater than a low-fidelity optimization. This promise is seldom achieved in practice, due to the requirement that low- and high-fidelity models correlate well. In this article, we propose an efficient bi-fidelity shape optimization method for turbulent fluid-flow applications with Large-Eddy Simulation (LES) and Reynolds-averaged Navier-Stokes (RANS) as the high- and low-fidelity models within a hierarchical-Kriging surrogate modelling framework. Since the LES–RANS correlation is often poor, we use the full LES flow-field at a single point in the design space to derive a custom-tailored RANS closure model that reproduces the LES at that point. This is achieved with machine-learning techniques, specifically sparse regression to obtain high corrections of the turbulence anisotropy tensor and the production of turbulence kinetic energy as functions of the RANS mean-flow. The LES–RANS correlation is dramatically improved throughout the design-space. We demonstrate the effectivity and efficiency of our method in a proof-of-concept shape optimization of the well-known periodic-hill case. Standard RANS models perform poorly in this case, whereas our method converges to the LES-optimum with only two LES samples.","Algebraic stress model; Large-eddy simulation; Multi-fidelity optimization; Reynolds-averaged Navier-Stokes; Turbulence modelling","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:d959fe58-0455-4d11-b104-dd9d35866923","http://resolver.tudelft.nl/uuid:d959fe58-0455-4d11-b104-dd9d35866923","CEAP-360VR: A Continuous Physiological and Behavioral Emotion Annotation Dataset for 360 VR Videos","Xue, Tong (Beijing Institute of Technology; Centrum Wiskunde & Informatica (CWI)); El Ali, Abdallah (Centrum Wiskunde & Informatica (CWI)); Zhang, T. (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); Ding, Gangyi (Beijing Institute of Technology); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2021","Watching 360 videos using Virtual Reality (VR) head-mounted displays (HMDs) provides interactive and immersive experiences, where videos can evoke different emotions. Existing emotion self-report techniques within VR however are either retrospective or interrupt the immersive experience. To address this, we introduce the Continuous Physiological and Behavioral Emotion Annotation Dataset for 360 Videos (CEAP-360VR). We conducted a controlled study (N=32) where participants used a Vive Pro Eye HMD to watch eight validated affective 360 video clips, and annotated their valence and arousal (V-A) continuously. We collected (a) behavioral (head and eye movements; pupillometry) signals (b) physiological (heart rate, skin temperature, electrodermal activity) responses (c) momentary emotion self-reports (d) within-VR discrete emotion ratings (e) motion sickness, presence, and workload. We show the consistency of continuous annotation trajectories and verify their mean V-A annotations. We find high consistency between viewed 360 video regions across subjects, with higher consistency for eye than head movements. We furthermore run baseline classification experiments, where Random Forest classifiers with 2s segments show good accuracies for subject-independent models: 66.80% (V) and 64.26% (A) for binary classification; 49.92% (V) and 52.20% (A) for 3-class classification. Our open dataset allows further experiments with continuous emotion self-reports collected in 360 VR environments, which can enable automatic assessment of immersive Quality of Experience (QoE) andmomentary affective states.","360 video; continuous annotation; dataset; emotion; head and eye movement; HMD; physiological signals; virtual reality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:d6240c05-3cb0-4ebf-9ddc-510b2d344a78","http://resolver.tudelft.nl/uuid:d6240c05-3cb0-4ebf-9ddc-510b2d344a78","Real-time foul sewer hydraulic modelling driven by water consumption data from water distribution systems","Zhang, Qingzhou (Zhejiang University); Zheng, Feifei (Zhejiang University); Jia, Yueyi (Zhejiang University); Savic, Dragan (University of Exeter); Kapelan, Z. (TU Delft Sanitary Engineering)","","2021","Real-time hydraulic modelling can be used to address a wide range of issues in a foul sewer system and hence can help improve its daily operation and maintenance. However, the current bottleneck within real-time FSS modelling is the lack of spatio-temporal inflow data. To address the problem, this paper proposes a new method to develop real-time FSS models driven by water consumption data from associated water distribution systems (WDSs) as they often have a proportionally larger number of sensors. Within the proposed method, the relationship between FSS manholes and WDS water consumption nodes are determined based on their underlying physical connections. An optimization approach is subsequently proposed to identify the transfer factor k between nodal water consumption and FSS manhole inflows based on historical observations. These identified k values combined with the acquired real-time nodal water consumption data drive the FSS real-time modelling. The proposed method is applied to two real FSSs. The results obtained show that it can produce simulated sewer flows and manhole water depths matching well with observations at the monitoring locations. The proposed method achieved high R2, NSE and KGE (Kling-Gupta efficiency) values of 0.99, 0.88 and 0.92 respectively. It is anticipated that real-time models developed by the proposed method can be used for improved FSS management and operation.","Foul sewer system; Real-time models; Water consumption data; Water distribution system","en","journal article","","","","","","Accepted Author Manuscript","","2021-10-24","","","Sanitary Engineering","","",""
"uuid:5d1b2541-7b74-4b3a-b0b8-eedd6356332f","http://resolver.tudelft.nl/uuid:5d1b2541-7b74-4b3a-b0b8-eedd6356332f","Production of Bio-alkanes from Biomass and CO2","Lin, Richen (University College Cork); Deng, Chen (University College Cork); Zhang, Wuyuan (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Murphy, Jerry D. (University College Cork)","","2021","Bioelectrochemical technologies such as electro-fermentation and microbial CO2 electrosynthesis are emerging interdisciplinary technologies that can produce renewable fuels and chemicals (such as carboxylic acids). The benefits of electrically driven bioprocesses include improved production rate, selectivity, and carbon conversion efficiency. However, the accumulation of products can lead to inhibition of biocatalysts, necessitating further effort in separating products. The recent discovery of a new photoenzyme, capable of converting carboxylic acids to bio-alkanes, has offered an opportunity for system integration, providing a promising approach for simultaneous product separation and valorisation. Combining the strengths of photo/bio/electrochemical catalysis, we discuss an innovative circular cascading system that converts biomass and CO2 to value-added bio-alkanes (CnH2n+2, n = 2 to 5) whilst achieving carbon circularity.","bio-alkanes; cascading circular biosystems; electro-fermentation; microbial CO electrosynthesis; photo-biocatalysis","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-12","","","BT/Biocatalysis","","",""
"uuid:0ff618e4-ac35-49d1-be46-b94514d0858f","http://resolver.tudelft.nl/uuid:0ff618e4-ac35-49d1-be46-b94514d0858f","Strong Reduction of the Chain Rigidity of Hyaluronan by Selective Binding of Ca2+ Ions","Giubertoni, Giulia (AMOLF); Pérez De Alba Ortíz, Alberto (Universiteit van Amsterdam); Bano, Fouzia (University of Leeds); Zhang, Xing (Rensselaer Polytechnic Institute); Linhardt, Robert J. (Rensselaer Polytechnic Institute); Green, Dixy E. (University of Oklahoma); Deangelis, Paul L. (University of Oklahoma); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Richter, Ralf P. (University of Leeds); Ensing, Bernd (Universiteit van Amsterdam); Bakker, Huib J. (AMOLF)","","2021","The biological functions of natural polyelectrolytes are strongly influenced by the presence of ions, which bind to the polymer chains and thereby modify their properties. Although the biological impact of such modifications is well recognized, a detailed molecular picture of the binding process and of the mechanisms that drive the subsequent structural changes in the polymer is lacking. Here, we study the molecular mechanism of the condensation of calcium, a divalent cation, on hyaluronan, a ubiquitous polymer in human tissues. By combining two-dimensional infrared spectroscopy experiments with molecular dynamics simulations, we find that calcium specifically binds to hyaluronan at millimolar concentrations. Because of its large size and charge, the calcium cation can bind simultaneously to the negatively charged carboxylate group and the amide group of adjacent saccharide units. Molecular dynamics simulations and single-chain force spectroscopy measurements provide evidence that the binding of the calcium ions weakens the intramolecular hydrogen-bond network of hyaluronan, increasing the flexibility of the polymer chain. We also observe that the binding of calcium to hyaluronan saturates at a maximum binding fraction of ∼10-15 mol %. This saturation indicates that the binding of Ca2+ strongly reduces the probability of subsequent binding of Ca2+ at neighboring binding sites, possibly as a result of enhanced conformational fluctuations and/or electrostatic repulsion effects. Our findings provide a detailed molecular picture of ion condensation and reveal the severe effect of a few, selective and localized electrostatic interactions on the rigidity of a polyelectrolyte chain.","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:6d4f0a7d-6231-403f-bb64-d00457c1be06","http://resolver.tudelft.nl/uuid:6d4f0a7d-6231-403f-bb64-d00457c1be06","Effects of Defect and Temperature on the Mechanical Performance of WS2: A Multiscale Analysis","Tang, H. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","This paper analyzes the mechanical properties of tungsten disulfide (WS2) by means of multiscale simulation, including density functional theory (DFT), molecular dynamic (MD) analysis, and finite element analysis (FEA). We first conducted MD analysis to calculate the mechanical properties (i.e., Young's modulus and critical stress) of WS2. The influence of different defect types (i.e., point defects and line defects) on the mechanical properties are discussed. The results reveal that WS2 has a high Young's modulus and high critical stress. Next, the effects of defect density and temperature on the mechanical properties of the material were analyzed. The results show that a lower defect density results in improved performance and a higher temperature results in better ductility, which indicate that WS2 can potentially be a strain sensor. Based on this result, FEA was employed to analyze the WS2 stress sensor and then fabricate and analyze the device for benchmarking. It is found that the FEA model proposed in this work can be used for further optimization of the device. According to the DFT results, a narrower band gap WS2 is found with the existence of defects and the applied strain. The proposed multiscale simulation method can effectively analyze the mechanical properties of WS2 and optimize the design. Moreover, this method can be extended to other 2D/nanomaterials, providing a reference for a rapid and effective systematic design from the nanoscale to macroscale.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:59b258a3-d0ac-416c-9fe1-94e837e38483","http://resolver.tudelft.nl/uuid:59b258a3-d0ac-416c-9fe1-94e837e38483","Drug powders with tunable wettability by atomic and molecular layer deposition: From highly hydrophilic to superhydrophobic","La Zara, D. (TU Delft ChemE/Product and Process Engineering); Zhang, F. (TU Delft ChemE/Product and Process Engineering); Sun, F. (TU Delft ChemE/Product and Process Engineering); Bailey, Maximilian R. (ETH Zürich; Student TU Delft); Quayle, Michael J. (Operations); Petersson, Gunilla (Operations); Folestad, Staffan (Operations); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2021","The wettability of pharmaceuticals is a key physical property which influences their dissolution rate, dispersibility, flowability and solid-state stability. Here, we provide a platform of surface nanoengineering methods capable of tuning the wettability of drug powders from high hydrophilicity to superhydrophobicity with drug loadings up to 95–99%. Specifically, we functionalize gram-scale micronized budesonide, a commercial active pharmaceutical ingredient for respiratory diseases, in a vibrated fluidized bed reactor with inorganic Al2O3, TiO2 and SiO2 by atomic layer deposition (ALD), organic poly(ethylene terephthalate) (PET) by molecular layer deposition (MLD) and inorganic/organic titanicone by hybrid ALD/MLD. Transmission electron microscopy shows the formation of smooth and uniform films for each deposition process without significantly affecting the surface morphology of the budesonide particles. Crucially, the deposition processes do not alter the solid-state structure and cytocompatibility of budesonide. The ceramic ALD films are able to convert the originally hydrophobic budesonide into highly hydrophilic powders with water contact angles (WCAs) of ~10° within a few seconds. The purely organic PET films grown via MLD deliver superhydrophobic powders with a WCA of 145–150°. In contrast, the titanicone hybrid ALD/MLD films lead to mild hydrophilicity with WCAs ranging from ~80° to ~60°. Modifying the wetting properties of inhaled drug powders such as budesonide is relevant to improve bioavailability, enhance the dispersion of formulations in suspension-based inhalers or prevent moisture interactions in dry powder inhalers. Moreover, by tuning the surface chemical composition at the atomic or molecular level, particle ALD, MLD and hybrid ALD/MLD enable control over powder wettability for several pharmaceutical dosage forms with applications in oral, orally inhaled and parenteral delivery.","Atomic layer deposition; Budesonide; Hydrophilic/hydrophobic; Molecular layer deposition; Pharmaceutical powder; Wetting","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:4ef337e8-ca2d-4a50-b705-a69c78a77703","http://resolver.tudelft.nl/uuid:4ef337e8-ca2d-4a50-b705-a69c78a77703","Effect of different aqueous solutions on physicochemical properties of asphalt binder","Zou, Yingxue (Wuhan University of Technology); Amirkhanian, Serji (University of South Alabama); Xu, S. (TU Delft Materials and Environment); Li, Yuanyuan (Wuhan University of Technology); Wang, Yafei (Wuhan University of Technology); Zhang, Jianwei (Wuhan University of Technology)","","2021","Aqueous solution is one of the main factors of asphalt pavement disease. However, the physicochemical changes of asphalt are ambiguous during immersion in different aqueous solutions. This study evaluated the physicochemical properties of asphalt under the action of different aqueous solutions to further understand the mechanism of moisture erosion. The morphology, chemical structure and four components of asphalt were observed after immersion, while the pH value, total organic carbon (TOC) and characteristic functional groups of residual solutions were monitored. The test results showed that aqueous solution could change the bee structure on the asphalt surface and increased the mean roughness. The carbonyl index (IC=O) and sulfoxide index (IS=O) of asphalt increased with immersion time. And the asphaltenes of asphalt fluctuated and eventually increased during immersion. Solute could accelerate the erosion on asphalt through interaction, the degree of which increased in the order, Na2SO4 saline < NaCl saline < pH 3 acid < pH 11 alkali.","Aqueous solutions; Asphalt; Components; Morphology; Structure","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f34cfcbb-10ff-421f-8876-974c718b1e79","http://resolver.tudelft.nl/uuid:f34cfcbb-10ff-421f-8876-974c718b1e79","Plasmonic tweezers: for nanoscale optical trapping and beyond","Zhang, Yuquan (Shenzhen University); Min, Changjun (Shenzhen University); Dou, X. (TU Delft ImPhys/Optics; Shenzhen University); Wang, Xianyou (Shenzhen University); Urbach, Paul (TU Delft ImPhys/Optics); Somekh, Michael G. (Shenzhen University); Yuan, Xiaocong (Shenzhen University)","","2021","Optical tweezers and associated manipulation tools in the far field have had a major impact on scientific and engineering research by offering precise manipulation of small objects. More recently, the possibility of performing manipulation with surface plasmons has opened opportunities not feasible with conventional far-field optical methods. The use of surface plasmon techniques enables excitation of hotspots much smaller than the free-space wavelength; with this confinement, the plasmonic field facilitates trapping of various nanostructures and materials with higher precision. The successful manipulation of small particles has fostered numerous and expanding applications. In this paper, we review the principles of and developments in plasmonic tweezers techniques, including both nanostructure-assisted platforms and structureless systems. Construction methods and evaluation criteria of the techniques are presented, aiming to provide a guide for the design and optimization of the systems. The most common novel applications of plasmonic tweezers, namely, sorting and transport, sensing and imaging, and especially those in a biological context, are critically discussed. Finally, we consider the future of the development and new potential applications of this technique and discuss prospects for its impact on science.","","en","review","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:d0c7e90d-77a0-46cc-b799-e95beb42dfa5","http://resolver.tudelft.nl/uuid:d0c7e90d-77a0-46cc-b799-e95beb42dfa5","In-air sintering of copper nanoparticle paste with pressure-assistance for die attachment in high power electronics","Zhang, B. (TU Delft Electronic Components, Technology and Materials); Damian, A.A. (TU Delft Electrical Engineering, Mathematics and Computer Science; NXP Semiconductors); Zijl, Jurrian (Besi Netherlands B.V.); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Y. (TU Delft Transport and Planning; State Key Laboratory of Precision Electronic Manufacturing Technology and Equipment; Guangdong University of Technology); Fan, J. (TU Delft Electronic Components, Technology and Materials; Center for Shanghai Silicon Carbide Power Devices Engineering & Technology Research; Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","There is a high demand for the implementation of metallic nanoparticle (NP) sintering technology for die attach in high-power electronics. The performance of this technology is superior to that of the technology involving the use of lead-free solders. Although Cu NP paste is potentially a low-cost material, it faces the challenge of oxidation during sintering. This may result in a significant deterioration of the mechanical, thermal, and electrical properties. Therefore, there are limited studies on the in-air sintering of Cu NP pastes. The present study demonstrated the in-air pressure-assisted low-temperature sintering of a commercial Cu NP paste. Furthermore, the sintering was performed without using a protective atmosphere, unlike that in most of the previously reported investigations. The sintering behavior was investigated at three levels of temperatures (200–240 °C) and five levels of pressures (5–25 MPa). The joints that were sintered at high temperatures and pressures exhibited condensed microstructures and high bonding strengths. High sintering temperatures accelerated the diffusion between Cu NPs, while high sintering pressure facilitated the removal of evaporated organic compounds and the air between NPs. This not only facilitated sintering but also prevented the oxidation of Cu. The optimal sintering conditions promoted the formation of 3D connections between the Cu NPs, thereby increasing the shear strength of the sample. The samples that were sintered at 240 °C and 10 MPa experiences the highest increase in the shear strength, furthermore, the microstructures were optimized under this condition. The shear strength of 28.1 ± 8.47 MPa was achieved under this condition, which satisfied the requirements for die attach in high power electronics applications, moreover, the sintering process was moderate and cost-effective. Therefore, the optimal sintering temperature and pressure for the in-air sintering of the Cu NP paste was concluded to be 240 °C and 10 MPa, respectively. The results indicated that in-air sintering with pressure assistance can be applied for die attach in the high-power electronics.","","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Electronic Components, Technology and Materials","","",""
"uuid:7705fdd7-fee8-4044-b2dc-f1555990cd0b","http://resolver.tudelft.nl/uuid:7705fdd7-fee8-4044-b2dc-f1555990cd0b","The antiferromagnetic to ferrimagnetic phase transition in Mn2Sb1-xBix compounds","Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ojiyed, Hamutu (External organisation); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2021","The influence of partial substitution of Bi for Sb on the structure, magnetic properties and magnetocaloric effect of Mn2Sb1-xBix (x = 0, 0.02, 0.04, 0.05, 0.07, 0.09, 0.15, 0.20) compounds has been investigated. The transition temperature of the antiferro-to-ferrimagnetic (AFM-FIM) transition initially increases with increasing Bi and decreases above 9%. Density functional theory calculations indicate that the Bi atoms prefer to occupy only the Sb site, which accounts for the large magnetization jump in Mn2Sb0.93Bi0.07. As large lattice parameters are found for Bi substituted Mn2Sb, the origin of the AFM-FIM transition in Mn2Sb(1-x)Bix compounds is ascribed to an enhanced coefficient of thermal expansion along the c axis, resulting from the Bi substitution. The moderate entropy change of 1.17 J/kg K under 2 T originating from the inverse magnetocaloric effect and the strong magnetic field dependence of the transition temperature of dTt/dµ0H = −5.4 K/T in Mn2Sb0.95Bi0.05 indicate that this alloy is a promising candidate material for magnetocaloric applications.","Antiferro-to-ferrimagnetic phase transition; Exchange inversion; Inverse magnetocaloric effect","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:8148382c-86a9-43b3-88bd-2c2355915778","http://resolver.tudelft.nl/uuid:8148382c-86a9-43b3-88bd-2c2355915778","Wafer-level direct bonding of optimized superconducting NbN for 3D chip integration","Li, Ye (Student TU Delft); Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Trifunovic, M. (TU Delft QID/Ishihara Lab); Xu, Yuanxing (Student TU Delft); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ishihara, R. (TU Delft QID/Ishihara Lab; TU Delft Quantum Circuit Architectures and Technology; Kavli institute of nanoscience Delft)","","2021","3D integration has well-developed for traditional CMOS technology operating at room temperature, but few studies have been performed for cryogenic applications such as quantum computers. In this paper, a wafer-to-wafer bonding of superconductive joints based on niobium nitride (NbN) is performed to demonstrate the possibility of 3D integration of superconducting chips. The NbN thin films are deposited by magnetron sputtering. Its high critical temperature (15.2 K) is achieved by optimizing the sputtering recipe in terms of N2 flow rate and discharge voltage. Wafer-level bumping is bonded by the thermo-compression method. The sheet resistance of the thin film and the contact resistance of the joints are measured by the Greek-cross (4-point Kelvin method) and daisy chain structures at cryogenic temperature, respectively. Direct-bonding wafers with NbN superconductive joints avoid using adhesive layers and the bonding interface could still present superconducting electrical connections in a cryogenic environment above 4 K, which will allow us to use a smaller and high-cooling power cryostat. The contribution of this work could lead to the fabrication of multi-layered superconducting chip that operates beneficially in cryogenic temperature, which is essential in building scalable quantum processors.","NbN; Sputtering; Superconductivity; Thermo-compression; Wafer bonding","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:e947944d-0759-4867-b9f0-b88f410b917b","http://resolver.tudelft.nl/uuid:e947944d-0759-4867-b9f0-b88f410b917b","Design, calibration and validation of a wheel-rail contact force measurement system in V-Track","Zhang, P. (TU Delft Railway Engineering); Moraal, J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2021","An innovative downscale test rig called V-Track has been constructed for wheel-rail contact experiments under impact loading conditions. In this paper, a force measurement system termed a dynamometer was developed in V-Track to measure the wheel-rail contact forces. The dynamometer consists of four 3-component piezoelectric force sensors and was mounted between the wheel assembly and the steel frame of V-Track, enabling it to measure the forces transmitted from the wheel-rail interface to the frame. Static tests were first carried out to calibrate the dynamometer in three directions. Then, several tests were performed in V-Track to examine the reliability and validity of the dynamometer for measuring the wheel-rail contact forces under running conditions. Experimental results show that the dynamometer is capable of reliably and accurately measuring these forces. Utilizing the measurement results from the dynamometer, the control of the wheel-rail contact forces in V-Track has also been achieved.","Dynamometer; Force measurement and control; V-Track test rig; Wheel-rail contact forces","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:056ef5d6-21b1-4cce-b668-ad70e84e0e62","http://resolver.tudelft.nl/uuid:056ef5d6-21b1-4cce-b668-ad70e84e0e62","The role of rejuvenators in embedded damage healing for asphalt pavement","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Dublin Institute of Technology; University College Dublin); Lin, P. (TU Delft Pavement Engineering; Tongji University); Zhang, Y. (TU Delft Materials and Environment); Nahar, S. (Latexfalt B.V.; TNO); Lommerts, B. J. (Latexfalt B.V.; Xiyuefa Group); Schlangen, E. (TU Delft Materials and Environment)","","2021","Rejuvenator encapsulation technique showed great potential for extrinsic asphalt pavement damage healing. Once the capsules are embedded within asphalt pavement, the healing is activated on-demand via progressing microcrack. When the microcrack encounters the capsule, the fracture energy at the tip opens the capsule and releases the rejuvenator. Then the released rejuvenator wets the crack surfaces, diffuses into and softens the aged bitumen, allowing two broken edges to come in the contact, preventing further asphalt pavement deterioration. The quality and speed of the damage repair process strongly depend on the quality of rejuvenator, thus it is important to choose a proper rejuvenator with good abilities to restore the lost properties of bitumen from ageing and show a sustainable performance after healing. To this aim, three different rejuvenators were studied and ranked based on the performance of their rejuvenated bitumen, including physical properties, rheological properties, chemical properties and the performance after re-ageing. Furthermore, these rejuvenators were encapsulated in calcium alginate capsules and the tests on these capsules indicate the diameter, mechanical resistance and thermal stability of the capsules are influenced by the encapsulated rejuvenator. The findings will benefit the development of rejuvenator encapsulation technique and the optimization of the capsule healing system towards a better healing effect in asphalt pavement.","Calcium alginate capsules; Re-ageing; Rejuvenator; Self-healing asphalt","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:3c6c27f1-4eed-43a6-b842-4aeca363b5e8","http://resolver.tudelft.nl/uuid:3c6c27f1-4eed-43a6-b842-4aeca363b5e8","Tidal-flat reclamation aggravates potential risk from storm impacts","Zhang, Min (Shanghai Normal University; NIOZ Royal Netherlands Institute for Sea Research; East China Normal University); Dai, Zhijun (East China Normal University); Bouma, T.J. (NIOZ Royal Netherlands Institute for Sea Research); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk); Townend, Ian (University of Southampton); Wen, Jiahong (Shanghai Normal University); Zhao, Tongtiegang (Sun Yat-sen University); Cai, Huayang (Sun Yat-sen University)","","2021","A better understanding of how tidal-flat reclamation changes the flood hazard is critical for climate-proofing coastal flood defense design of heavily urbanized areas. Since the 1950s, large-scale reclamation has been performed along the Shanghai coast, China, to fulfill the land demands of city expansion. We now show that the loss of tidal flats may have resulted in harmful impacts of coastal storm flooding. Using the foreshore profiles measured before and after reclamation (i.e., wide vs. narrow tidal flat), we determined the long-term changes in flood risk using a numerical model that combines extreme tidal level and wave overtopping analysis. Results show that wide tidal flats in front of a seawall provide efficient wave damping even during extreme water levels. Reclamation of these tidal flats substantially increased wave heights and correspondingly reduced the return period of a specific storm. As a result, estimates of overtopping are aggravated by more than 80% for the varying return periods examined. It is concluded that the disasters of coastal flooding after the 1997 tidal-flat reclamation in Hangzhou Bay, China are a consequence of both anthropogenic and natural activities. Moreover, our model calculations provide an equation describing the equivalent dike height needed to compensate for the loss of every km tidal flat of a certain elevation, and vice versa. For example, for every km of tidal flat ranging from high marsh to bare tidal flat that is being regained, the dike can be lowered by 0.84 m–0.67 m, when designing for a 1 in 200 years storm event. Overall, we suggest that wide tidal flats are ideally restored in front of dikes, and that when tidal areas are reclaimed, the seawall height is raised as part of the intertidal reclamation procedure. Using such an equivalent protection standard is relevant to designing hybrid flood defense system worldwide.","Equivalent protection standard; Extreme value analysis; Joint probability analysis; Reclamations; Storm flooding","en","journal article","","","","","","Accepted author manuscript","","2023-02-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:0067f146-8772-41ff-af83-faae84053ac1","http://resolver.tudelft.nl/uuid:0067f146-8772-41ff-af83-faae84053ac1","Integrating superconducting van der Waals materials on paper substrates","Azpeitia, Jon (Instituto de Ciencia de Materiales de Madrid (ICMM)); Frisenda, Riccardo (Instituto de Ciencia de Materiales de Madrid (ICMM)); Lee, M. (TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Bouwmeester, D. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Zhang, Wenliang (Instituto de Ciencia de Materiales de Madrid (ICMM)); Mompean, Federico (Instituto de Ciencia de Materiales de Madrid (ICMM)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Garcia-Hernandez, Mar (Instituto de Ciencia de Materiales de Madrid (ICMM)); Castellanos-Gomez, Andres (Instituto de Ciencia de Materiales de Madrid (ICMM))","","2021","Paper has the potential to dramatically reduce the cost of electronic components. In fact, paper is 10 000 times cheaper than crystalline silicon, motivating the research to integrate electronic materials on paper substrates. Among the different electronic materials, van der Waals materials are attracting the interest of the scientific community working on paper-based electronics because of the combination of high electrical performance and mechanical flexibility. Up to now, different methods have been developed to pattern conducting, semiconducting and insulating van der Waals materials on paper but the integration of superconductors remains elusive. Here, the deposition of NbSe2, an illustrative van der Waals superconductor, on standard copy paper is demonstrated. The deposited NbSe2 films on paper display superconducting properties (e.g. observation of Meissner effect and resistance drop to zero-resistance state when cooled down below its critical temperature) similar to those of bulk NbSe2.","","en","journal article","","","","","","","","","","","QN/Steeneken Lab","","",""
"uuid:dcdd1101-e0c0-49fd-8607-36d4496cbce6","http://resolver.tudelft.nl/uuid:dcdd1101-e0c0-49fd-8607-36d4496cbce6","Analysis of naturally-generated corrosion products due to chlorides in 20-year old reinforced concrete: An elastic modulus-mineralogy characterization","Rossi, E. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Garcia, Santiago J. (TU Delft Novel Aerospace Materials); Bijleveld, J.C. (TU Delft Novel Aerospace Materials); Nijland, Timo G. (TNO); Copuroglu, Oguzhan (TU Delft Materials and Environment); Polder, R.B. (TU Delft Materials and Environment; TNO; RPCP); Šavija, B. (TU Delft Materials and Environment)","","2021","The elastic modulus of corrosion product (Ecp) has been reported with significant variations in the literature. This study aims to investigate the Ecp of naturally-generated chloride-induced corrosion products formed in different concrete mixes. Microstructural characterization was conducted through nano-indentation, electron microscopy and Raman spectroscopy. The corrosion products were mainly composed of a goethite matrix with portions of maghemite, independently of the concrete composition. Microscopic analysis suggest that layers of corrosion products grow at different times and under different physico-chemical conditions. Our measurements showed that Ecp varied between 80−100 GPa, which can be suggested for numerical models of corrosion induced cracking.","Corrosion (C); Raman spectroscopy (B); SEM (B); Steel reinforced concrete (A); XRD (B)","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:22094dad-caf2-4972-a776-f74b83c84c46","http://resolver.tudelft.nl/uuid:22094dad-caf2-4972-a776-f74b83c84c46","Conceptscope: Organizing and visualizing knowledge in documents based on domain ontology","Zhang, Xiaoyu (University of California); Chandrasegaran, R.S.K. (TU Delft Methodologie en Organisatie van Design); Ma, Kwan Liu (University of California)","","2021","Current text visualization techniques typically provide overviews of document content and structure using intrinsic properties such as term frequencies, co-occurrences, and sentence structures. Such visualizations lack conceptual overviews incorporating domain-relevant knowledge, needed when examining documents such as research articles or technical reports. To address this shortcom-ing, we present ConceptScope, a technique that utilizes a domain ontology to represent the conceptual relationships in a document in the form of a Bubble Treemap visualization. Multiple coordi-nated views of document structure and concept hierarchy with text overviews further aid document analysis. ConceptScope facilitates exploration and comparison of single and multiple documents re-spectively. We demonstrate ConceptScope by visualizing research articles and transcripts of technical presentations in computer sci-ence. In a comparative study with DocuBurst, a popular document visualization tool, ConceptScope was found to be more informative in exploring and comparing domain-specifc documents, but less so when it came to documents that spanned multiple disciplines.","Knowledge representation; Ontology; Visualization","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:468fd021-89bd-4e15-af21-f3d3e3671257","http://resolver.tudelft.nl/uuid:468fd021-89bd-4e15-af21-f3d3e3671257","Fracture evaluation of ultra-high-performance fiber reinforced concrete (UHPFRC)","Zhang, Youyou (Xi’an Jiaotong University); Xin, H. (TU Delft Concrete Structures; Xi’an Jiaotong University); Correia, José A.F.O. (INEGI)","","2021","The development of numerical simulation for Ultra-high-performance concrete (UHPC) and Ultra-high-performance fiber-reinforced concretes (UHPFRC) is fundamental for the design and construction of related structures. The simplified engineering stress-strain relationship and the input values are necessary in the finite element modeling. Four-linear curves and modified Kent–Park model were proposed to describe the engineering tensile and compressive stress-strain relationship, respectively. An attempt was made to simulate the fracture of UHPC and UHPFRC using concrete damaged plasticity model and element deletion strategies. The predicted tensile and compressive behaviors of UHPC and UHPFRC were successfully validated by the test results in the literature. For a better understanding of the mechanical behavior of UHPC and UHPFRC exposed to biaxial loadings, mixed-mode crack propagation simulation on the double-notched specimens exposed to combined shear-tensile and shear-compressive forces was discussed.","Engineering tensile and compressive stress-strain relationships; Mixed mode crack propagation; Ultra-high-performance concrete (UHPC); Ultra-high-performance fiber-reinforced concretes (UHPFRC)","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:aa82349c-34e8-4749-87e5-8a751c121963","http://resolver.tudelft.nl/uuid:aa82349c-34e8-4749-87e5-8a751c121963","Rotational snap-through behavior of multi-stable beam-type metastructures","Zhang, Y. (TU Delft Materials and Environment); Tichem, M. (TU Delft Micro and Nano Engineering); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2021","Metastructures consisting of planar arrangements of bi-stable snap-through beams are able to exhibit multiple stable configurations. Apart from the expected translational state transition, when all beam elements snap through, rotational states may exist as well. In this paper we explore the rotational properties of multi-stable metastructures on the basis of both experimental and theoretical investigations, and define the conditions for achieving rotational stable states. Results show that the metastructure is able to realize both translational and rotational states, while the rotational transitions require less energy as compared to their translational counterparts. The influence of geometric parameters on rotational stability is investigated via parametric studies. Furthermore, to determine the design criteria for rotational stability, a theoretical investigation based on mode superposition principle is performed to predict the nonlinear-deformation of a unit cell. The theoretical analysis predicts well the rotational snap-through transitions that are observed in finite element simulations. It is found that the rotational stability is determined by setting proper values for h/L and t/L (h, t, L represent apex height, thickness and span of the bi-stable beam structure, respectively). Finally, we experimentally demonstrate that the proposed metastructure with multiple layers is able to achieve large rotations and translations.","Metastructure; Multi-stability; Rotational state; Snapping beam","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:51081127-e7f8-413b-8eae-4de17ae84fd4","http://resolver.tudelft.nl/uuid:51081127-e7f8-413b-8eae-4de17ae84fd4","Interannual and seasonal variability of glacier surface velocity in the parlung zangbo basin, tibetan plateau","Zhang, Jing (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Ren, Shaoting (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2021","Monitoring glacier flow is vital to understand the response of mountain glaciers to environmental forcing in the context of global climate change. Seasonal and interannual variability of surface velocity in the temperate glaciers of the Parlung Zangbo Basin (PZB) has attracted significant attention. Detailed patterns in glacier surface velocity and its seasonal variability in the PZB are still uncertain, however. We utilized Landsat-8 (L8) OLI data to investigate in detail the variability of glacier velocity in the PZB by applying the normalized image cross-correlation method. On the basis of satellite images acquired from 2013 to 2020, we present a map of time-averaged glacier surface velocity and examined four typical glaciers (Yanong, Parlung No.4, Xueyougu, and Azha) in the PZB. Next, we explored the driving factors of surface velocity and of its variability. The results show that the glacier centerline velocity increased slightly in 2017–2020. The analysis of meteorological data at two weather stations on the outskirts of the glacier area provided some indications of increased precipitation during winter-spring. Such increase likely had an impact on ice mass accumulation in the up-stream portion of the glacier. The accumulated ice mass could have caused seasonal velocity changes in response to mass imbalance during 2017–2020. Besides, there was a clear winter-spring speedup of 40% in the upper glacier region, while a summer speedup occurred at the glacier tongue. The seasonal and interannual velocity variability was captured by the transverse velocity profiles in the four selected glaciers. The observed spatial pattern and seasonal variability in glacier surface velocity suggests that the winter-spring snow might be a driver of glacier flow in the central and upper portions of glaciers. Furthermore, the variations in glacier surface velocity are likely related to topographic setting and basal slip caused by the percolation of rainfall. The findings on glacier velocity suggest that the transfer of winter-spring accumulated ice triggered by mass conservation seems to be the main driver of changes in glacier velocity. The reasons that influence the seasonal surface velocity change need further investigation.","Glacier velocity; Motion mechanism; Parlung Zangbo Basin; Spatial-temporal variations","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:1c4e2760-c9b2-436b-a59a-d13b9efd2ecf","http://resolver.tudelft.nl/uuid:1c4e2760-c9b2-436b-a59a-d13b9efd2ecf","Cementitious composites reinforced with 3D printed functionally graded polymeric lattice structures: Experiments and modelling","Xu, Y. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2021","Cementitious materials are widely used in construction. For their low ductility, they typically need to be reinforced by steel rebars, which cause potential corrosion problems. Polymeric reinforcement, which does not have corrosion problems, has been used to replace steel rebars. However, a relatively high reinforcing ratio is usually required for the cementitious composites reinforced by conventional polymeric reinforcement. Owing to the customizability of 3D printing technology, polymeric reinforcement with a functionally graded structure is able to be manufactured, which significantly reduces the reinforcing ratio of the reinforced cementitious composites meanwhile improves their mechanical properties. In this present study, 3D printed polymeric octet lattice structures were used as reinforcement to develop cementitious composites with enhanced ductility. Four-point bending experiments were performed on the plain mortar, and the reinforced specimens and a finite element model was used to simulate the experiments numerically. A good agreement between experiments and simulations was found: the reinforced specimens have a significantly increased flexural ductility comparing to plain mortar. Composites reinforced by vertically functionally graded lattice structures have a significantly lower reinforcing ratio while exhibiting obviously higher normalized ductility. In addition, the fracture behavior of the reinforced cementitious composites was evaluated using a fracture energy based analytical model. The analysis shows that, from the perspective of fracture energy release, the steady state cracking criteria were not satisfied by the cementitious composites developed in this study so that multiple cracking and strain hardening behavior was not obtained. However, according to numerical predictions, increasing strength of the printed reinforcement material by 40% would allow these behaviors to be potentially achieved. This work shows that additive manufacturing has great potential for developing reinforcement for cementitious materials to reduce the reinforcing ratio and enhance ductility.","3D printing; Cementitious composites; Functionally grade; Polymeric reinforcement","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:b2460512-73ed-4492-8ddc-510b82c6bf63","http://resolver.tudelft.nl/uuid:b2460512-73ed-4492-8ddc-510b82c6bf63","A hybrid mimetic spectral element method for three-dimensional linear elasticity problems","Zhang, Y. (TU Delft Aerodynamics); Fisser, Joël (Student TU Delft); Gerritsma, M.I. (TU Delft Aerodynamics)","","2021","We introduce a domain decomposition structure-preserving method based on a hybrid mimetic spectral element method for three-dimensional linear elasticity problems in curvilinear conforming structured meshes. The method is an equilibrium method which satisfies pointwise equilibrium of forces. The domain decomposition is established through hybridization which first allows for an inter-element normal stress discontinuity and then enforces the normal stress continuity using a Lagrange multiplier which turns out to be the displacement in the trace space. Dual basis functions are employed to simplify the discretization and to obtain a higher sparsity. Numerical tests supporting the method are presented.","De Rham complex; Domain decomposition; Hybridization; Lagrange multiplier; Mimetic spectral element method; Variational principle","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:53e7697a-e379-484f-9c58-ddaf43a459ce","http://resolver.tudelft.nl/uuid:53e7697a-e379-484f-9c58-ddaf43a459ce","Dual-action self-healing protective coatings with photothermal responsive corrosion inhibitor nanocontainers","Ma, Lingwei (University of Science and Technology Beijing; Luoyang Ship Material Research Institute); Wang, Jinke (University of Science and Technology Beijing); Zhang, D. (University of Science and Technology Beijing); Huang, Yao (University of Science and Technology Beijing); Huang, Luyao (University of Science and Technology Beijing); Wang, Panjun (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Li, Xiaogang (University of Science and Technology Beijing); Terryn, H.A. (TU Delft Team Arjan Mol; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2021","This work introduces a novel nanocomposite coating with dual-action self-healing corrosion protection activated by the photothermal response of plasmonic titanium nitride nanoparticles (TiN NPs). TiN@mesoporous SiO2 core–shell nanocontainers were developed as reservoirs for benzotriazole (BTA) corrosion inhibitors and incorporated into the shape memory epoxy coating matrix. Under near-infrared (NIR) light irradiation, the thermogenesis effect of TiN could not only promote the release of corrosion inhibitors from nanocontainers into the crevice, but also trigger the shape memory effect of damaged epoxy to merge the coating scratch. As such, the dual-action self-healing mechanisms combining the formation of an inhibitor-based protective layer and the scratch closure efficiently suppressed the corrosion process at the exposed metal surface. Surface characterization and electrochemical measurement results proved that the nanocomposite coating incorporated with 2 wt% of TiN-BTA@SiO2 exhibited the optimal corrosion protection as well as an excellent self-healing performance that can be initiated within 30 s of NIR illumination. This photo-controlled self-healing approach is potentially useful in designing next-generation self-healing coatings with ultrafast response time and high healing efficiency.","Corrosion inhibitor; Photothermal response; Plasmonic titanium nitride; Self-healing coating; Shape memory polymer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-24","","","Team Arjan Mol","","",""
"uuid:86176eb3-dcec-4391-865a-15885c22d752","http://resolver.tudelft.nl/uuid:86176eb3-dcec-4391-865a-15885c22d752","Dynamic probability assessment of urban natural gas pipeline accidents considering integrated external activities","Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Yi (Xi'an University of Architecture and Technology); Abbassi, Rouzbeh (Macquarie University); Yang, M. (TU Delft Safety and Security Science); Zhang, Renren (Xi'an University of Architecture and Technology); Chen, Guoming (China University of Petroleum (East China))","","2021","Urban gas pipelines usually have high structural vulnerability due to long service time. The locations across urban areas with high population density make the gas pipelines easily exposed to external activities. Recently, urban pipelines may also have been the target of terrorist attacks. Nevertheless, the intentional damage, i.e. terrorist attack, was seldom considered in previous risk analysis of urban gas pipelines. This work presents a dynamic risk analysis of external activities to urban gas pipelines, which integrates unintentional and intentional damage to pipelines in a unified framework. A Bayesian network mapping from the Bow-tie model is used to represent the evolution process of pipeline accidents initiating from intentional and unintentional hazards. The probabilities of basic events and safety barriers are estimated by adopting the Fuzzy set theory and hierarchical Bayesian analysis (HBA). The developed model enables assessment of the dynamic probabilities of consequences and identifies the most credible contributing factors to the risk, given observed evidence. It also captures both data and model uncertainties. Eventually, an industrial case is presented to illustrate the applicability and effectiveness of the developed methodology. It is observed that the proposed methodology helps to more accurately conduct risk assessment and management of urban natural gas pipelines.","Bayesian network; External activities; Integrated risk assessment; Urban gas pipeline","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Safety and Security Science","","",""
"uuid:5a772bd5-bedb-4081-a68a-fc7f61b7c849","http://resolver.tudelft.nl/uuid:5a772bd5-bedb-4081-a68a-fc7f61b7c849","Degradation behaviors and in-vivo biocompatibility of a rare earth- and aluminum-free magnesium-based stent","Bian, Dong (Guangdong Academy of Medical Sciences); Zhou, Xiaochen (Peking University); Liu, Jianing (Peking University); Li, Wenting (Peking University); Shen, Danni (Peking University); Zheng, Yufeng (Peking University; Guangdong Academy of Medical Sciences); Gu, Wenda (Guangdong Academy of Medical Sciences); Jiang, Jingjun (Peking University People’s Hospital); Li, Mei (Guangdong Academy of Medical Sciences); Chu, Xiao (Guangdong Academy of Medical Sciences); Ma, Limin (Guangdong Academy of Medical Sciences); Wang, Xiaolan (Guangdong Academy of Medical Sciences); Zhang, Yu (Guangdong Academy of Medical Sciences); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2021","Biodegradable stents can provide scaffolding and anti-restenosis benefits in the short term and then gradually disappear over time to free the vessel, among which the Mg-based biodegradable metal stents have been prosperously developed. In the present study, a Mg-8.5Li (wt.%) alloy (RE- and Al-free) with high ductility (> 40%) was processed into mini-tubes, and further fabricated into finished stent through laser cutting and electropolishing. In-vitro degradation test was performed to evaluate the durability of this stent before and after balloon dilation. The influence of plastic deformation and residual stress (derived from the dilation process) on the degradation was checked with the assistance of finite element analysis. In addition, in-vivo degradation behaviors and biocompatibility of the stent were evaluated by performing implantation in iliac artery of minipigs. The balloon dilation process did not lead to deteriorated degradation, and this stent exhibited a decent degradation rate (0.15 mm/y) in vitro, but divergent result (> 0.6 mm/y) was found in vivo. The stent was almost completely degraded in 3 months, revealing an insufficient scaffolding time. Meanwhile, it did not induce possible thrombus, and it was tolerable by surrounding tissues in pigs. Besides, endothelial coverage in 1 month was achieved even under the severe degradation condition. In the end, the feasibility of this stent for treatment of benign vascular stenosis was generally discussed, and perspectives on future improvement of Mg-Li-based stents were proposed.","Biocompatibility; Biodegradable stent; Degradation behavior; Iliac artery; Mg-Li alloy","en","journal article","","","","","","Accepted Author Manuscript","","2023-03-20","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:92364ae0-3458-4bb3-b0e8-47bd8035c025","http://resolver.tudelft.nl/uuid:92364ae0-3458-4bb3-b0e8-47bd8035c025","CFD and EnKF coupling estimation of LNG leakage and dispersion","Wu, Jiansong (China University of Mining and Technology (Beijing)); Cai, Jitao (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science; China University of Mining and Technology (Beijing)); Zhang, Xiaole (ETH Zürich); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science)","","2021","As a kind of clean fuel, increasing quantities of natural gas have been transported as liquefied natural gas (LNG) worldwide. The safety of LNG storage has gained the concerns from the public due to the potential severe consequences that may arise from LNG leakage. In this paper, a three-dimensional model with the combination of computational fluid dynamics (CFD) and the ensemble Kalman filter (EnKF) is proposed to predict LNG vapor dispersion and estimate the strength of the LNG leakage source. The LNG vapor dispersion CFD model is validated by the experimental data with good feasibility, and is further demonstrated with the reasonable modeling of the characteristics of the LNG vapor dispersion in a typical receiving terminal. The effectiveness of the proposed CFD and EnKF coupling model is evaluated and validated by a twin experiment. The results of the twin experiment indicate that the proposed CFD and EnKF coupling model allows the integration of observation data into the CFD simulations to enhance the prediction accuracy of the LNG vapor spatial-temporal distribution and thereby realizing a reasonable estimation of the LNG leakage velocity under complex environments. This study can provide technical supports for safety control, loss prevention and emergency response in case of LNG leakage accidents.","Computational fluid dynamics; Ensemble Kalman filter; LNG leakage; LNG receiving terminal; LNG vapor dispersion","en","journal article","","","","","","","","2024-03-30","","","Safety and Security Science","","",""
"uuid:a5d25e33-317d-47b4-aa8f-d2e3c9253112","http://resolver.tudelft.nl/uuid:a5d25e33-317d-47b4-aa8f-d2e3c9253112","System level reliability assessment for high power light-emitting diode lamp based on a Bayesian network method","Ibrahim, Mesfin Seid (The Hong Kong Polytechnic University; Wollo University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changzhou Institute of Technology Research for Solid State Lighting); Yung, Winco K.C. (The Hong Kong Polytechnic University); Jing, Zhou (Hohai University); Fan, Xuejun (Lamar University); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","The increased system complexity in electronic products brings challenges in a system level reliability assessment and lifetime estimation. Traditionally, the graph model-based reliability block diagrams (RBD) and fault tree analysis (FTA) have been used to assess the reliability of products and systems. However, these methods are based on deterministic relationships between components that introduce prediction inaccuracy. To fill the gap, a Bayesian Network (BN) method is introduced that considers the intricacies of the high-power light-emitting diode (LED) lamp system and the functional interaction among components for reliability assessment and lifetime prediction. An accelerated degradation test was conducted to analyze the evolution of the degradation and failure of components that influence the system level lifetime and performance of LED lamps. The Gamma process and Weibull distribution are used for component level lifetime prediction. The junction tree algorithm was deployed in the BN structure to estimate the joint probability distributions of the lifetime states. The degradation and prediction results showed that LED modules contribute a major part for lumen degradation of LED lamps followed by drivers and the least effect is from diffuser and reflector. The BN based lifetime estimation results also exhibited an accurate prediction as validated with the Gamma process and such improved reliability assessment outcomes are beneficial to LED manufacturers and customers. Thus, the proposed approach is effective to evaluate and address the long-term reliability assessment concerns of high-reliability LED lamps and fulfill the guarantee of high prediction accuracy in less time and cost-effective manner.","Bayesian networks (BN); Junction tree algorithm (JTA); Light-emitting diodes (LEDs); Reliability assessment; System level lifetime prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:32861d10-60b6-4c48-8d7d-6556883f0b1e","http://resolver.tudelft.nl/uuid:32861d10-60b6-4c48-8d7d-6556883f0b1e","Static and dynamic stickiness tests to measure particle stickiness","Sewalt, E.J.G. (TU Delft ChemE/Product and Process Engineering); Zhang, F. (TU Delft ChemE/Product and Process Engineering); van Steijn, V. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering)","","2021","Sticking of particles has a tremendous impact on powder-processing industries, especially for hygroscopic amorphous powders. A wide variety of experimental methods has been developed to measure at what combinations of temperature and moisture content material becomes sticky. This review describes, for each method, how so-called stickiness curves are determined. As particle velocity also plays a key role, we classify the methods into static and dynamic stickiness tests. Static stickiness tests have limited particle motion during the conditioning step prior to the measurement. Thus, the obtained information is particularly useful in predicting the long-term behavior of powder during storage or in packaging. Dynamic stickiness tests involve significant particle motion during conditioning and measurement. Stickiness curves strongly depend on particle velocity, and the obtained information is highly relevant to the design and operation of powder production and processing equipment. Virtually all methods determine the onset of stickiness using powder as a starting point. Given the many industrial processes like spray drying that start from a liquid that may become sticky upon drying, future effort should focus on developing test methods that determine the onset of stickiness using a liquid droplet as a starting point.","Caking; Glass transition temperature; Powders; Sintering; Stickiness","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:2f27efef-f945-4f95-88e5-7ba199996e9e","http://resolver.tudelft.nl/uuid:2f27efef-f945-4f95-88e5-7ba199996e9e","Contact mechanics based solution to predict modulus of asphalt materials with high porosities","Zhang, H. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Kasbergen, C. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2021","Asphalt mixtures with high porosities (known as porous asphalt (PA) mixes) are becoming a popular choice among road authorities as it provides better skid resistance while also reducing tire-pavement noises. Towards the design and manufacture of PA mix pavement, the evaluation of the mechanical properties of PA mixes is of great importance. To predict the mechanical properties of PA mixes, micromechanical models have been considered as an effective tool. In most research studies, continuum-based micromechanical models, i.e. the Self-consistent model, the Mori-Tanaka model, etc. are widely used to predict the stiffness of asphalt mixtures. However, the limitation of these models is that they cannot describe the characteristics of individual particles and thus they cannot provide accurate predictions. On the other hand, the discrete-based micromechanical model (DBMM) which simulates a granular material as an assembly of bonded particles seems to be a promising alternative. Limited research studies have focused on studying the utilization and the applicability of this model for asphalt mixes. Therefore, this paper aims to propose a framework to use DBMM and to evaluate its performance in estimating a PA mix's stiffness. Based on the obtained results, both the merits and limitations of this model were highlighted.","Discrete-based micromechanical model; Effective modulus; Porous asphalt mixes","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:e545d5f9-be21-4cb6-83be-b8306bd04e10","http://resolver.tudelft.nl/uuid:e545d5f9-be21-4cb6-83be-b8306bd04e10","Determination of steep sidewall angle using polarization-sensitive asymmetric scattering","Dou, X. (TU Delft ImPhys/Optics; Shenzhen University); Pereira, S.F. (TU Delft ImPhys/Optics); Min, Changjun (Shenzhen University); Zhang, Yuquan (Shenzhen University); Meng, P. (TU Delft ImPhys/Optics); Urbach, Paul (TU Delft ImPhys/Optics); Yuan, Xiaocong (Shenzhen University)","","2021","The sidewall angle (SWA) of a nanostructure exerts influence on the performance of the nanostructure and plays an important role in processing nano-structural chips. It is still a great challenge to determine steep SWAs from far field measurements especially when the SWAs are close to 90°. Here, we propose a far-field detection system to determine steep SWA of a cliff-shape step structure on a silicon substrate by combining a split detector with a scanning method. The far-field radiation field is asymmetric due to the scattering of the step structure, and further numerical analysis demonstrates the reliability of this far-field measurement method. In the simulations, two key variables, i.e. the polarization state and the focus position of the incident laser beam, are considered to explore their impacts. By scanning over the structure laterally and longitudinally with both TE and TM polarizations, polarization effects on the far-field occur. These effects show higher sensitivity to steep SWA variation for TM polarization as compared to TE. Furthermore, with a comprehensive longitudinal scanning analysis for the TM polarization case, a feasible focus interval can be optimized to retrieve the steep SWA. As the proposed method is fast, highly sensitive and easy to implement, it provides a powerful approach to investigate the scattering behavior of nanostructures.","optical metrology; Optical scattering; sidewall angle retrieval","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","http://resolver.tudelft.nl/uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","Moisture source variations for summer rainfall in different intensity classes over Huaihe River Valley, China","Liu, Yubo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Chi (Chinese Academy of Sciences); Tang, Qiuhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Hosseini-Moghari, Seyed Mohammad (Chinese Academy of Sciences); Haile, Gebremedhin Gebremeskel (Chinese Academy of Sciences); Li, Laifang (The Pennsylvania State University); Li, Wenhong (Duke University); Yang, Kun (Tsinghua University); van der Ent, R.J. (TU Delft Water Resources); Chen, Deliang (University of Gothenburg)","","2021","Rainfall is one of the most influential climatic factors on regional development and environment, and changes in rainfall intensity are of specific concern. In the Huaihe River Valley (HRV), heavy rainfall is a primary trigger of floods. However, the difference in the origin of moisture contributed to heavy rainfall and light rainfall is rarely studied and not entirely understood. This study analyzes the rainfall moisture sources in association with different categories of rainfall intensity over the HRV during 1980–2018 using the Water Accounting Model with ERA-Interim reanalysis and precipitation observations from China Meteorological Administration. The results show that the moisture for the HRV summer rainfall is mainly from terrestrial subregion (40%), the Indian Ocean (27%), the Pacific Ocean (25%), and the local HRV (8%). In addition, moisture sources differ substantially between light and heavy rainfall. Specifically, the local HRV contributes more moisture to light rainfall (12%) compared to heavy rainfall (4%), whereas the Indian Ocean contributes more to heavy rainfall (33%) than to light rainfall (20%). The grids located in the southern source region make higher contribution ratio in heavy rainfall than in light rainfall. These results suggest that moisture from distant oceanic areas, especially the Indian Ocean, plays a crucial role in intense summer rainfall, whereas moisture from the land sources covering local grids plays a dominant role in light rainfall in the HRV.","Heavy rainfall; Huaihe River; Indian Ocean; Moisture source; Water Accounting Model","en","journal article","","","","","","","","2021-10-10","","","Water Resources","","",""
"uuid:d8a627eb-2817-42cc-bdda-e902f5f6af38","http://resolver.tudelft.nl/uuid:d8a627eb-2817-42cc-bdda-e902f5f6af38","Controlled Pulmonary Delivery of Carrier-Free Budesonide Dry Powder by Atomic Layer Deposition","La Zara, D. (TU Delft ChemE/Product and Process Engineering); Sun, F. (TU Delft ChemE/Product and Process Engineering); Zhang, F. (TU Delft ChemE/Product and Process Engineering); Franek, Frans (Operations); Balogh Sivars, Kinga (Operations); Horndahl, Jenny (Operations); Bates, Stephanie (Operations); Brännström, Marie (Operations); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2021","Ideal controlled pulmonary drug delivery systems provide sustained release by retarding lung clearance mechanisms and efficient lung deposition to maintain therapeutic concentrations over prolonged time. Here, we use atomic layer deposition (ALD) to simultaneously tailor the release and aerosolization properties of inhaled drug particles without the need for lactose carrier. In particular, we deposit uniform nanoscale oxide ceramic films, such as Al2O3, TiO2, and SiO2, on micronized budesonide particles, a common active pharmaceutical ingredient for the treatment of respiratory diseases. In vitro dissolution and ex vivo isolated perfused rat lung tests demonstrate dramatically slowed release with increasing nanofilm thickness, regardless of the nature of the material. Ex situ transmission electron microscopy at various stages during dissolution unravels mostly intact nanofilms, suggesting that the release mechanism mainly involves the transport of dissolution media through the ALD films. Furthermore, in vitro aerosolization testing by fast screening impactor shows a μ2-fold increase in fine particle fraction (FPF) for each ALD-coated budesonide formulation after 10 ALD process cycles, also applying very low patient inspiratory pressures. The higher FPFs after the ALD process are attributed to the reduction in the interparticle force arising from the ceramic surfaces, as evidenced by atomic force microscopy measurements. Finally, cell viability, cytokine release, and tissue morphology analyses verify a safe and efficacious use of ALD-coated budesonide particles at the cellular level. Therefore, surface nanoengineering by ALD is highly promising in providing the next generation of inhaled formulations with tailored characteristics of drug release and lung deposition, thereby enhancing controlled pulmonary delivery opportunities.","atomic layer deposition; budesonide; controlled release; dry powder inhaler; inhalation; isolated perfused rat lung; particle-to-cell deposition","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:df311da0-ab43-406f-873b-1eb30b9d2bf7","http://resolver.tudelft.nl/uuid:df311da0-ab43-406f-873b-1eb30b9d2bf7","Understanding the evolution of open government data research: towards open data sustainability and smartness","Gao, Y. (TU Delft Information and Communication Technology; Huazhong University of Science and Technology); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology); Zhang, Congcong (Huazhong University of Science and Technology)","","2021","The past decade has witnessed a rapid development of open government data practices and academic research. However, there is no systematic survey of existing research to understand the evolution of open government data. Such research can facilitate knowledge transfer within and across domains, and foster learning for countries in the early stages of open government data development. This study quantitively extracted the evolution trajectory of open government data based on the main path analysis method and then analysed the underlying motivations. The results show that open government data research went through four main phases and that the open government data movement has spread towards developing countries and smart cities. Different challenges and issues faced by the researchers in each phase drove the evolution of open government data research. Finally, we discuss future directions of open government data research based on our findings and recent development. There is a tendency to create sustainable open government data and smartness by employing artificial intelligence and creating data marketplaces. Points for practitioners: Open government data efforts have evolved over the years into a global phenomenon. Countries have learned from each other and more and more efforts are focused on innovating with open government data by stimulating co-creation and using other incentives. The way that data are opened should focus on achieving goals like innovation, participation, transparency and accountability. There is a tendency to create sustainable open government data and smartness by employing artificial intelligence and creating data marketplaces.","bibliometric analysis; open data smartness and sustainability; open government; systematic literature research","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:874fbae4-de1f-46d4-adf3-7a1789c4d6ee","http://resolver.tudelft.nl/uuid:874fbae4-de1f-46d4-adf3-7a1789c4d6ee","Probabilistic strain-fatigue life performance based on stochastic analysis of structural and WAAM-stainless steels","Xin, H. (TU Delft Concrete Structures; Xi’an Jiaotong University); Correia, José A.F.O. (Universidade do Porto); Veljkovic, M. (TU Delft Steel & Composite Structures); Zhang, Youyou (Xi’an Jiaotong University); Berto, Filippo (Norwegian University of Science and Technology (NTNU)); de Jesus, Abílio M.P. (Universidade do Porto)","","2021","Wire arc additive manufacturing (WAAM) has increasingly attracted attention in the construction sector because of its ability to produce large metallic structural parts in short times. In this paper, Coffin-Manson and Morrow (CMM) equation is employed to compare the fatigue life of WAAM stainless steel with the structural steels S355 and S690. The results showed that the fatigue performance of structural steel is better than the WAAM stainless steel, the fatigue performance vertical to printing direction (WAAM-900) is better than it along the printing direction (WAAM-00). In addition, the fatigue cycle of the transition reversals of structural steel is much less than it of WAAM stainless. With the increasing the fatigue cycle, the maximum density of the strain amplitude ratio is gradually increased to 1.0. The probabilistic parameters of CMM equation were obtained by a stochastic analysis using Latin hypercube sampling strategies. The probabilistic strain-fatigue life behaviour obtained from the constant exponent sampling strategy is higher when compared with the varied exponent sampling strategy for both WAAM stainless and structural steels.","Coffin-Manson and Morrow's (CMM) equation; Latin hypercube sampling strategy; Probabilistic fatigue behaviour; Structural steels; Wire arc additive manufacturing (WAAM) stainless steel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-19","","","Concrete Structures","","",""
"uuid:a66bb4d7-545d-46cc-a2e7-38ff1c78c8fe","http://resolver.tudelft.nl/uuid:a66bb4d7-545d-46cc-a2e7-38ff1c78c8fe","Cracking Potential of Alkali-Activated Concrete Induced by Autogenous Shrinkage","Li, Z. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Kostiuchenko, A. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","Valente, I.B. (editor)","2021","Alkali activated concrete (AAC) has not received broader industry acceptance, one reason of which lies in the uncertainties in the durability against shrinkage and potential cracking. Many studies reported that AAC exhibit larger autogenous shrinkage than OPC concrete. However, it is unable to deduce that AAC should show higher cracking potential than OPC concrete only based on the higher autogenous shrinkage of AAC. The cracking potential of concrete is determined by multiple factors including autogenous shrinkage, creep/relaxation, elastic modulus, and tensile properties of the concrete. However, very few studies have considered these parameters. Furthermore, the influence of precursors (e.g. slag or fly ash) on the cracking potential of AAC induced by autogenous shrinkage is also rarely studied. The aim of this study, therefore, is to investigate the autogenous shrinkage-induced cracking potential of slag and fly ash-based AAC. The free autogenous shrinkage of the specimens is measured by Autogenous Deformation Testing Machine (ADTM). The autogenous shrinkage-induced stress and cracking of the concrete under restraint condition is tracked by Thermal Stress Testing Machine (TSTM). Additionally, the influence of precursors on the autogenous shrinkage induced cracking potential is discussed.","Alkali-activated materials; Concrete; Cracking; Fly ash; Shrinkage; Slag","en","book chapter","Springer","","","","","Accepted Author Manuscript","","2022-07-06","","","Materials and Environment","","",""
"uuid:61de8af3-5944-4552-b26b-98b3c5868016","http://resolver.tudelft.nl/uuid:61de8af3-5944-4552-b26b-98b3c5868016","Knitting 4D garments with elasticity controlled for body motion","Liu, Z. (TU Delft Materials and Manufacturing; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Han, Xingjian (Boston University); Zhang, Yuchen (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Chen, Xiangjia (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Lai, Y. (Cardiff University); Doubrovski, E.L. (TU Delft Mechatronic Design); Whiting, Emily (Boston University); Wang, C.C. (The University of Manchester)","","2021","In this paper, we present a new computational pipeline for designing and fabricating 4D garments as knitwear that considers comfort during body movement. This is achieved by careful control of elasticity distribution to reduce uncomfortable pressure and unwanted sliding caused by body motion. We exploit the ability to knit patterns in different elastic levels by single-jersey jacquard (SJJ) with two yarns. We design the distribution of elasticity for a garment by physics-based computation, the optimized elasticity on the garment is then converted into instructions for a digital knitting machine by two algorithms proposed in this paper. Specifically, a graph-based algorithm is proposed to generate knittable stitch meshes that can accurately capture the 3D shape of a garment, and a tiling algorithm is employed to assign SJJ patterns on the stitch mesh to realize the designed distribution of elasticity. The effectiveness of our approach is verified on simulation results and on specimens physically fabricated by knitting machines.","4D garment; computational fabrication; elasticity control; knitting","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Manufacturing","","",""
"uuid:50fdafc5-f54b-4f53-a423-713cd10438ef","http://resolver.tudelft.nl/uuid:50fdafc5-f54b-4f53-a423-713cd10438ef","Desktop lighting for comfortable use of a computer screen","Han, L. (Tianjin University); Zhang, Hechen (Tianjin University); Xiang, Zhongxia (Tianjin University); Shang, J. (Tianjin University); Anjani, S. (TU Delft Applied Ergonomics and Design); Song, Y. (TU Delft Mechatronic Design); Vink, P. (TU Delft Materials and Manufacturing)","","2021","BACKGROUND: The contrast between a bright computer screen and a dark ambient environment may influence comfort of the users, especially on their eyes. OBJECTIVE: The objective of this research is to identify the optimal desktop lighting for the comfortable use of the computer screen in a dark environment. METHODS: An experiment was designed where seven illumination setups were introduced for the users to perform their leisure tasks on a computer screen. Fifteen healthy subjects participated in the experiments. During each session, durations of the eye blinks, fixations and saccades of the user were recorded by an eye tracker. His/her neck and trunk movements were recorded by a motion tracking system as well. The comfort/discomfort questionnaire, localized postural discomfort questionnaire, NASA task load index and computer user questionnaire were used to record the overall comfort/discomfort, the local perceived physical discomfort, the cognitive workload, and general/eye health problems, respectively. RESULTS: Subjective and objective measurement results indicated that users felt more comfortable with high intensity warm lights using a computer screen. We also identified that the eye fixation durations, as well as the scores of two questions in the computer user questionnaire, have significant negative correlations with comfort. On the other side, the durations of blinks and the scores of three questions in the computer user questionnaire, were significantly correlated with discomfort. CONCLUSION: The warm (3000K) and high intensity (1500 lux) light reduced the visual and cognitive fatigue of the user and therefore improve the comfort of the user during the use of a computer screen.","design; eye tracking; Light","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:2411b615-c28b-459a-8ddf-1c13c670a0f7","http://resolver.tudelft.nl/uuid:2411b615-c28b-459a-8ddf-1c13c670a0f7","Centrifuge modelling of the behaviour of buried pipelines subjected to submarine landslides","Zhang, W. (TU Delft Geo-engineering)","Jommi, C. (promotor); Askarinejad, A. (copromotor); Delft University of Technology (degree granting institution)","2020","The assessment of the potentially destructive impacts of subaqueous landslides on offshore pipelines is required when the pipeline route passes through zones with a risk of mass movements. Therefore, quantifying and evaluating the ultimate load/pressure acting on the pipeline is one of the key factors in geotechnical safety design of the pipeline. One of the triggers of subaqueous soil mass movements is the monotonic loads, which induce the trigger relative displacement between a soil layer and a pipe under both drained and (partially) undrained conditions. Two approaches based on geotechnical and fluid dynamics perspectives have been proposed for estimating the ultimate load/pressure for different stages of a submarine landslide. Traditionally, the former method focuses on the analysis of pipelines installed under flat seabed experiencing relative movements to the surrounding soil, whereas, the latter method focuses on the behaviour of pipelines laid on the surface of the seabed and subjected to debris flows. However, offshore pipelines are often buried under the seabed, which is not always flat and has a modest inclination in some cases. This engineering condition normally differs from that of the simplifying assumptions and boundary conditions (such as seabed inclination, and soil strength) commonly imposed to the geotechnical and fluid dynamics approaches. Accordingly, a better understanding of the soilpipeline interaction when the pipelines are buried in subaqueous slopes is essential for evaluating the ultimate load/pressure that would be caused by the slope failures.
This thesis presents a research effort on investigating the soilpipeline interaction during subaqueous slope failures using advanced physical modelling. In this research, the experiments can be divided into two main groups according to the soil drainage conditions. The first group of tests were carried out in the drained condition by using dry sand as the soil material for the slopes. The pipe was buried at 5 different locations inside the slopes to study the pipe burial position and pipe embedment ratio effects on the ultimate pressure during slope instability. Particle image velocimetry analysis was conducted to study the pipe movement and slope failure mechanisms. The results of these tests reveal that the slope angle and the pipe distance to slope crest play significant roles on the ultimate loads acting on the pipe.","Landslides; Static liquefaction; Soilpipeline interaction; Centrifuge modelling; Image analysis; Scaling laws","en","doctoral thesis","","978-94-6421-049-1","","","","","","","","","Geo-engineering","","",""
"uuid:82f762d6-88d3-4fcc-b75a-6eed9f2f3151","http://resolver.tudelft.nl/uuid:82f762d6-88d3-4fcc-b75a-6eed9f2f3151","3D-impaction printing of porous layers","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Roels, P. (VSPARTICLE); Boeije, M.F.J. (VSPARTICLE); Pfeiffer, T.V. (VSPARTICLE); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage; VSPARTICLE)","","2020","Porous layers composed of nanoparticles (NPs) have a wide range of (potential) applications including catalysts, (chemical) sensors, thermoelectric materials and electronics. These application domains will profit strongly from our NP printing process which is flexible with respect to i) the composition of the NPs and ii) the possibility of composing arbitrary mixtures of different NPs (external mixtures). Our printer is a combination of a spark ablation NP generator supplying unagglomerated 5 nm particles with a hypersonic impactor equipped with an xyz stage. The profiles of printed lines are measured, and the impact velocity is described by theory. Printed gold lines on a polymer contact lens are sufficiently sintered by the impact energy to show the plasmon mode of gold (“golden“ color).","3D printing; nanoporous; catalysts; sensors; SERS","en","abstract","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:31eff784-f51f-4389-95c5-e47429c43088","http://resolver.tudelft.nl/uuid:31eff784-f51f-4389-95c5-e47429c43088","Hydraulic functioning of permeable pile groins: Numerical simulation","Zhang, R. (TU Delft Coastal Engineering)","Stive, M.J.F. (promotor); Aarninkhof, S.G.J. (promotor); Delft University of Technology (degree granting institution)","2020","Beach erosion, the loss of sand from a beach due to longshore and/or cross-shore sediment transport mechanisms, is a challenging problem. In order to stabilize the beach and to slow down the rate of beach erosion, the construction of hard hydraulic structures is a traditional option. Groins are one of the oldest man-made hydraulic structures designed to intercept the longshore sediment transport and to stimulate sediment deposition within the groin compartments. However, erosion is likely to appear at the downdrift beach stretch of a groin system, due to lack of sufficient sand feeding from the updrift groined beach reaching the downdrift beach. To alleviate sand starvation at a downdrift beach of groins, groins are suggested to be gradually shorter and more permeable approaching the downdrift terminal groin. The primary advantage of permeable groins, compared to impermeable groins, is they do not entirely block longshore currents. The large openings of permeable groins allow littoral drift to flow through. The shoreline response to permeable groins is comparable to a straight line, other than a zig-zag shape response to impermeable groins. Nevertheless, even though the benefits of permeable groins seem obvious, the research on the subject of the hydrodynamics of permeable groins in coastal waters is limited.","groin; Longshore currents; groyne; numerical simulation","en","doctoral thesis","","978-94-6416-000-0","","","","","","","","","Coastal Engineering","","",""
"uuid:d292bb78-062d-4f58-a112-e951d7297878","http://resolver.tudelft.nl/uuid:d292bb78-062d-4f58-a112-e951d7297878","Individually controlled noise reducing devices to improve IEQ in classrooms of primary schools","Zhang, D. (TU Delft Indoor Environment)","Bluyssen, P.M. (promotor); Tenpierik, M.J. (promotor); Delft University of Technology (degree granting institution)","2020","It is well-known that the indoor environmental quality (IEQ) at schools affects the health, comfort and performance of school children. Considering the need for a more effective way to improve both the IEQ in primary school classrooms and children’s satisfaction, along with the positive potential of individual control, this thesis aimed to propose a new way - individual control - to improve the IEQ in classrooms of primary schools and to increase children’s satisfaction in the Netherlands. First the main IEQ problem in classrooms as well as IEQ perceptions and preferences of the school children were identified through literature and field studies. The outcome showed that noise was the main IEQ problem in classrooms of Dutch primary schools, children could be clustered in according to their IEQ perceptions and preferences, and the reported IEQ-improving actions of the teachers could not effectively improve the IEQ for each child. As a follow-up, lab studies were performed in the SenseLab to explore the effect of background sound on children’s sound perception and performance. Together with the outcome of the field studies, results suggested that individual control is a better way to improve IEQ in classrooms. Therefore, to address the main problem – noise - in classrooms, an individually controlled noisereducing device was designed, prototyped and tested with school children in the SenseLab. The results obtained from the simulations, measurements, and children’s feedback on the prototype of the device, demonstrated the feasibility of such devices in classrooms at primary schools.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-287-1","","","","A+BE I Architecture and the Built Environment No 10 (2020)","","","","","Indoor Environment","","",""
"uuid:e2622fd3-54fe-4cb1-af3e-36f34123eed6","http://resolver.tudelft.nl/uuid:e2622fd3-54fe-4cb1-af3e-36f34123eed6","Early dengue outbreak detection modeling based on dengue incidences in Singapore during 2012 to 2017","Chen, P. (TU Delft Statistics); Fu, Xiuju (Institute of High Performance Computing); Ma, Stefan (Ministry of Health); Xu, Hai Yan (Institute of High Performance Computing); Zhang, Wanbing (Institute of High Performance Computing); Xiao, Gaoxi (Nanyang Technological University); Siow Mong Goh, Rick (Institute of High Performance Computing); Xu, George (Institute of High Performance Computing); Ching Ng, Lee (National Environment Agency)","","2020","Dengue has been as an endemic with year-round presence in Singapore. In the recent years 2013, 2014, and 2016, there were several severe dengue outbreaks, posing serious threat to the public health. To proactively control and mitigate the disease spread, early warnings of dengue outbreaks, at which there are rapid and large-scale spread of dengue incidences, are extremely helpful. In this study, a two-step framework is proposed to predict dengue outbreaks and it is evaluated based on the dengue incidences in Singapore during 2012 to 2017. First, a generalized additive model (GAM) is trained based on the weekly dengue incidence data during 2006 to 2011. The proposed GAM is a one-week-ahead forecasting model, and it inherently accounts for the possible correlation among the historical incidence data, making the residuals approximately normally distributed. Then, an exponentially weighted moving average (EWMA) control chart is proposed to sequentially monitor the weekly residuals during 2012 to 2017. Our investigation shows that the proposed two-step framework is able to give persistent signals at the early stage of the outbreaks in 2013, 2014, and 2016, which provides early alerts of outbreaks and wins time for the early interventions and the preparation of necessary public health resources. In addition, extensive simulations show that the proposed method is comparable to other potential outbreak detection methods and it is robust to the underlying data-generating mechanisms.","EWMA control chart; generalized additive model; public health surveillance; statistical process control","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:7d177ebe-8ced-412d-ab0f-31694b6d6a0a","http://resolver.tudelft.nl/uuid:7d177ebe-8ced-412d-ab0f-31694b6d6a0a","Infrared absorbance of vertically-aligned multi-walled CNT forest as a function of synthesis temperature and time","Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Ghaderi, M. (TU Delft Electronic Instrumentation; Chalmers University of Technology); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Ahmadi, M. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Wolffenbuttel, R.F. (TU Delft Electronic Instrumentation; Chalmers University of Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","In this paper, the growth of optimized vertically aligned multi-walled carbon nanotube (VA-MWCNT) forests by LPCVD method for use in a large-area absorber in infrared detectors is presented. The effect of synthesis temperature (500−700 °C) and time (1−10 min) on the optical absorption coefficient in the infrared (2−20 μm) is investigated by FT-IR measurement at various incident angles (15-80°). The structural properties of VA-MWCNT are characterized by SEM, TEM and Raman spectroscopy. Spectral measurements show an increasing absorption with the height of the forest that results at increased synthesis time and temperature. However, the absorption coefficient decreases with increasing synthesize time and temperature, while it is also affected by other properties, such as diameter, density, alignment, and uniformity. Moreover, the reduction in absorption at oblique incident angles demonstrates the relevance of surface properties. Finally, a circular graphite waveguide system is used to model the absorption characteristics of an MWCNT forest.","Forest; Growth temperature; Growth time; Infrared; VA-MWCNT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-27","","","Electronic Components, Technology and Materials","","",""
"uuid:b4baafb7-9288-4efb-a62f-e5c5d821bf05","http://resolver.tudelft.nl/uuid:b4baafb7-9288-4efb-a62f-e5c5d821bf05","撞击位置与风扇转速对鸟撞过程的影响","Zhang, Junhong (Tianjin University); Liu, Zhiyuan (Tianjin University); Dai, Huwei (Tianjin University); Hedayati, R. (TU Delft Novel Aerospace Materials); Yuan, Y. (TU Delft Sanitary Engineering; State Key Laboratory of Engine; Tianjin University); Zhang, Guichang (Civil Aviation University of China)","","2020","Bird impact on fan blades poses a serious threat to the operational safety of aircraft engines.In this study, a real bird model of mallard duck was developed using the smooth particle hydrodynamics method based on a CT scan of a mallard duck.The accuracy of the real bird model was verified by comparing the simulation results of the impact on a plate of real bird model and simplified traditional bird model with the results of Wilbeck's tests.The transient impact responses of bird body and fan blade as the bird was striking a static and a rotating fan blade were comparatively analyzed.To study the effect of fan rotational speed on the bird-impact process, 836r/min, 1984r/min, 3344r/min, and 3772r/min were selected as fan rotational speed.To study the effects of impact location on the bird-impact process, 1/6, 2/6, 3/6, 4/6 and 5/6 of the blade height were selected as impact locations.The results show that blade rotation has a direct impact on the number of bird block cuts, the mass of a single bird block, and the number of impacted blades.Without considering the blade rotation conditions, the contact force, blade root stress, and blade leading edge stress are significantly lower than that when the blade rotation conditions are considered, which makes the prediction of blade stress and damage conservative and inadequate for use in the design of blade strength.Therefore, the blade rotation motion should be considered in the study of bird impact.The interaction mode between the bird and blade at 836r/min speed obviously differs from that at other rotational speeds.The kinetic energy of the bird decreases at a rotational speed of 836r/min, and increases at other rotational speeds, and the increment of the kinetic energy of the bird increases with increases in rotational speed.The leading-edge peak stress at 836r/min is greater than that at 1984r/min;at other rotational speeds, the peak stress of the leading edge increases with increases in the rotational speed.The contact force and blade root stress increase with increases in the rotational speed.With increases in the impact height, the contact force, kinetic-energy increment of the bird body, peak stress of the blade root, kinetic energy of the bird body, and the stress on the leading edge of blade all increase first and then decrease under the combined action of the relative velocity of the impact point and twist angle of the blade.The peak stress of the leading edge and the increment of the kinetic energy of the bird are greatest when impact occurs at 3/6 blade height, and the peak stress of the blade root and the contact force are greatest when impact occurs at 4/6 blade height.","Bird impact; Fan rotation speed; Impact position; Impact response; Mallard","zh","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:777716df-4c4f-4ee8-aa87-eb7f796904a4","http://resolver.tudelft.nl/uuid:777716df-4c4f-4ee8-aa87-eb7f796904a4","Low temperature sintering of copper nanoparticles: Mechanism and die attach application","Zhang, B. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); Delft University of Technology (degree granting institution)","2020","Power electronics demand miniaturization, integration, higher electrical and ther-mal conductivities. However, the traditional electronic packaging materials and technology have limitations to meet these requirements. Conventional lead-free die attach materials, like Sn-Ag-Cu solders, can not satisfy high power electronics application, due to their low operating temperatures and intermetallic defects. Therefore, material in-novation has attracted much attention in this field. Metallic particle sintering of silver nanoparticle (Ag NP) has become one of the most applied technologies in power elec-tronics industry. Furthermore, to achieve ""all copper interconnect"" in packaging system, and to reduce the cost further, copper nanoparticle(Cu NP)-based paste has been ex-plored recently in both material synthesis and process development. However, since Cu NPs are reactive and easy to be oxidized, it is challenging to achieve a compatible pro-cess, with profound bonding properties. In this thesis, both fundamental understanding of Cu NP-based paste sintering process and die attach process development in power electronics applications are conducted. These two important parts of research works give insight to Cu NP-based paste sintering from various aspects, but on the same physical scale. In this thesis, these knowledge and experience obtained with deep understanding of the material and process can be transferred as one of the significant information to push Cu NP-based paste into industrial application, with deep understanding of the material and processes.
First, to obtain a deeply fundamental knowledge about sintering process, both static and time-dependent characterizations need to be performed, at similar scale as in real application. X-ray diffraction (XRD) is selected due to its large detection volume and valuable material information, both qualitative and quantitive. To enable a dynamic time-resolved X-ray diffraction (TRXRD) study and in-situ sample monitoring, a MEMS-based TRXRD nanomaterial platform is firstly designed and fabricated. A gas cell is designed and fabricated to provide an environmental experimental condition, without interference with XRD measurements. Combined with gas cell and power supply, this set up can enable TRXRD characterization of nanomaterial, with large flexibility of temperature control and gas environment.
Next, with the developed characterization platform, both static and time-dependent investigations on the sintering process of a commercial Cu NPs-based paste are per-formed under different conditions. Series of XRD patterns and in-situ electrical resistance measurement are collected, followed with detailed XRD analysis and microstructure observation. These results and insights are on the one hand, a validation of the function of the developed nanomaterials characterization method and platform. On the other hand, they can be transferred to improve and guide process development and material optimization of Cu NPs-based paste.
Last but not least, the in-air pressure assisted sintering behaviors of Cu NP-based paste under various process conditions are investigated and analyzed. Based on the paste characterization results, the in-air sintering temperature range is determined and multiple pressure-assisted sintering experiments in the air are performed. As temperature and pressure increase, Cu NPs form more condensed structures with neighboring particles. Both of these parameters can accelerate the neck formation and inter-particle connection inside Cu joints.","Electronics packaging; Sintering copper nanoparticle paste; Time-dependent material study; MEMS-enabled characterization method","en","doctoral thesis","","","","","","","","2022-04-01","","","Electronic Components, Technology and Materials","","",""
"uuid:e915b67a-99ad-4621-987a-4d51f1403a7d","http://resolver.tudelft.nl/uuid:e915b67a-99ad-4621-987a-4d51f1403a7d","The interface adhesion of CaAlSiN3: Eu2+ phosphor/silicone used in light-emitting diode packaging: A first principles study","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Hohai University); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The CaAlSiN3:Eu2+ red phosphor and its silicone/phosphor composite are very promising materials used in the high color rendering white light-emitting diode (LED) packaging. However, the reliabilities of CaAlSiN3:Eu2+ and its composite are still being challenged by phosphor hydrolysis at high humidity application condition. A fundamental understanding of the interface adhesion between silicone and CaAlSiN3:Eu2+ is significant for the developments and applications of this material. In this work, the mechanical properties of silicone/pristine CaAlSiN3:Eu2+ and silicone/hydrolyzed CaAlSiN3:Eu2+ composites are experimentally measured and compared firstly, in which both the tensile strength and Young's modulus of composite are increased after the hydrolysis reaction. Then, the first principles Density Functional Theory (DFT) calculations are used to investigate the adhesion behaviors of the silicone molecular on both the pristine and the hydrolyzed CaAlSiN3[0 1 0] at atomic level. The results show that: (1) The silicone molecular is weakly adsorbed on the pristine CaAlSiN3[0 1 0] via Van der Waals (vdW) interactions, while silicone molecular is much stronger absorbed on the hydrolyzed CaAlSiN3[0 1 0] due to the formation of hydrogen bonding at the interface; (2) The transient state calculations indicate that the sliding energy barrier of silicone on the hydrolyzed CaAlSiN3[0 1 0] is higher than that on the pristine one, as the increased adsorption energy and surface roughness. Generally, the findings in this paper can guide the phosphor selection, storage and process in LED packaging, and also assist in improving the reliability design of LED package used in high moisture condition.","Adhesion and adsorption; CaAlSiN:Eu; Hydrolysis reaction; Moisture; Silicone/phosphor interface; Sliding energy barrier","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:425f3a2d-32f9-4e01-9cfa-f221e4ea3459","http://resolver.tudelft.nl/uuid:425f3a2d-32f9-4e01-9cfa-f221e4ea3459","Dynamic prediction of optical and chromatic performances for a light-emitting diode array based on a thermal-electrical-spectral model","Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Chen, Wei (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Yuan, Weiyi (Hohai University); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","Light-emitting diode (LED) arrays have attracted increased attention in the area of high power intelligent automotive headlamps because of their superiority in disposing of the power limit of an individual LED package and controllably luminous intensity and illumination pattern. The optical and chromatic performances of an LED array do not equal to the sum of individual LED packages’ performances, as the thermal interactions between individual LED packages can’t be ignored in the actual application. This paper presents a thermal-electrical-spectral (TES) model to dynamically predict the optical and chromatic performances of the LED array. The thermal-electrical (TE) model considering the thermal coupling effect in the LED array is firstly proposed to predict the case temperature of each individual LED package, and the Spectral power distributions (SPDs) of individual LED package is then decomposed by the extended Asym2sig model to extract the spectral characteristic parameters. Finally, the experimental measurements of the designed LED arrays operated under usage conditions are used to verify the TES model. Some validation case studies show that the prediction accuracy of the proposed TES model, which is expressed as a quadratic polynomial function of current and case temperature, can be achieved higher than 95%. Therefore, it can be concluded that this TES model offers a convenient method with high accuracy to dynamically predict the optical and chromatic performances of LED arrays at real usages.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8508a4e8-53cc-4f59-9697-ab9e697bb211","http://resolver.tudelft.nl/uuid:8508a4e8-53cc-4f59-9697-ab9e697bb211","Multimodal imaging combining time-domain near-infrared optical tomography and continuous-wave fluorescence molecular tomography","Ren, Wuwei (University Hospital Zürich; University of Zürich); Jiang, Jingjing (University Hospital Zürich); Di Costanzo Mata, Aldo (University Hospital Zürich); Kalyanov, Alexander (University Hospital Zürich); Ripoll, Jorge (Carlos III University of Madrid; Instituto de Investigación Sanitaria Gregorio Marañón); Lindner, S.A. (TU Delft (OLD)Applied Quantum Architectures; University Hospital Zürich; Swiss Federal Institute of Technology); Charbon-Iwasaki-Charbon, E. (TU Delft (OLD)Applied Quantum Architectures; TU Delft OLD QCD/Charbon Lab; Swiss Federal Institute of Technology); Zhang, C. (TU Delft QN/High Resolution Electron Microscopy); Rudin, Markus (University of Zürich); Wolf, Martin (University Hospital Zürich)","","2020","Fluorescence molecular tomography (FMT) emerges as a powerful non-invasive imaging tool with the ability to resolve fluorescence signals from sources located deep in living tissues. Yet, the accuracy of FMT reconstruction depends on the deviation of the assumed optical properties from the actual values. In this work, we improved the accuracy of the initial optical properties required for FMT using a new-generation time-domain (TD) near-infrared optical tomography (NIROT) system, which effectively decouples scattering and absorption coefficients. We proposed a multimodal paradigm combining TD-NIROT and continuous-wave (CW) FMT. Both numerical simulation and experiments were performed on a heterogeneous phantom containing a fluorescent inclusion. The results demonstrate significant improvement in the FMT reconstruction by taking the NIROT-derived optical properties as prior information. The multimodal method is attractive for preclinical studies and tumor diagnostics since both functional and molecular information can be obtained.","","en","journal article","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:7aa643e0-6be4-483a-8bca-98a3deb43c0c","http://resolver.tudelft.nl/uuid:7aa643e0-6be4-483a-8bca-98a3deb43c0c","Review of Packaging Schemes for Power Module","Hou, F. (TU Delft Electronic Components, Technology and Materials; National Center for Advanced Packaging; Chinese Academy of Sciences); Wang, W. (TU Delft DC systems, Energy conversion & Storage; Shenzhen Institute of Wide-bandgap Semiconductors); Cao, Liqiang (National Center for Advanced Packaging; Chinese Academy of Sciences); Li, Jun (National Center for Advanced Packaging; Chinese Academy of Sciences); Su, Meiying (National Center for Advanced Packaging; Chinese Academy of Sciences); Lin, Tingyu (National Center for Advanced Packaging); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ferreira, Jan Abraham (TU Delft Electrical Sustainable Energy; University of Twente)","","2020","SiC devices are promising for outperforming Si counterparts in high-frequency applications due to its superior material properties. Conventional wirebonded packaging scheme has been one of the most preferred package structures for power modules. However, the technique limits the performance of a SiC power module due to parasitic inductance and heat dissipation issues that are inherent with aluminum wires. In this article, low parasitic inductance and high-efficient cooling interconnection techniques for Si power modules, which are the foundation of packaging methods of SiC ones, are reviewed first. Then, attempts on developing packaging techniques for SiC power modules are thoroughly overviewed. Finally, scientific challenges in the packaging of SiC power module are summarized.","High-efficient cooling; low parasitic inductance; packaging schemes; scientific challenges; SiC power module","en","review","","","","","","","","","","Electrical Sustainable Energy","Electronic Components, Technology and Materials","","",""
"uuid:966eda00-d1ce-47e8-84d7-6604ed7cb714","http://resolver.tudelft.nl/uuid:966eda00-d1ce-47e8-84d7-6604ed7cb714","Fan-Out Panel-Level PCB-Embedded SiC Power MOSFETs Packaging","Hou, F. (TU Delft Electronic Components, Technology and Materials; National Center for Advanced Packaging; Chinese Academy of Sciences); Wang, Qidong (Chinese Academy of Sciences); Chen, Min (Zhejiang University; Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ferreira, Jan Abraham (University of Twente); Wang, Wenbo (Shenzhen Institute of Wide-bandgap Semiconductors); Ma, R. (National Center for Advanced Packaging; Chinese Academy of Sciences); Su, Meiying (Chinese Academy of Sciences; National Center for Advanced Packaging); Song, Yang (National Center for Advanced Packaging; Chinese Academy of Sciences)","","2020","In this article, a novel fan-out panel-level printed circuit board (PCB)-embedded package for phase-leg silicon carbide (SiC) metal-oxide-semiconductor field-effect transistor (MOSFET) power module is presented. Electro-thermo-mechanical co-design was conducted, and the maximum package parasitic inductance was found to be about 1.24 nH at 100 kHz. Compared with wire-bonded packages, the parasitic inductances of the PCB-embedded package decreased at least by 87.6%. Compared with blind via structure, the thermal resistance of the proposed blind block structure reduced at most by about 26%, and the stress of the SiC MOSFETs decreased by about 45.2%. Then, a novel PCB-embedded packaging process was developed, and three key packaging processes were analyzed. Furthermore, effect of PCB-embedded package on static characterization of SiC MOSFET was analyzed, and it was found that: 1) Output current of PCB-embedded package was decreased under a certain gate-source voltage compared to SiC die; 2) Miller capacitance of SiC MOSFET was increased thanks to parasitic capacitance induced by package; and 3) compared with SiC die, nonflat miller plateau of the PCB-embedded package extends, and as drain-source voltage increases, the nonflat miller plateau extends. Lastly, switching characteristics of the PCB-embedded package and TO-247 package were compared. The results show that the PCB-embedded package has smaller parasitic inductances.","Electro-thermo-mechanical codesign; phase-leg silicon carbide (SiC) metal-oxide-semiconductor field-effect transistor (MOSFET) power module; printed circuit board (PCB)-embedded package; static characterization; switching characterization","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:45e5ec9e-007a-41dd-b70d-a13f884a7abb","http://resolver.tudelft.nl/uuid:45e5ec9e-007a-41dd-b70d-a13f884a7abb","Machine-Learning Assisted Prediction of Spectral Power Distribution for Full-Spectrum White Light-Emitting Diode","Fan, J. (TU Delft Electronic Components, Technology and Materials; Hohai University); Li, Yutong (Hohai University); Fryc, Irena (Bialystok University of Technology); Qian, C. (TU Delft Aerospace Structures & Computational Mechanics; Beihang University); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The full-spectrum white light-emitting diode (LED) emits light with a broad wavelength range by mixing all lights from multiple LED chips and phosphors. Thus, it has great potentials to be used in healthy lighting, high resolution displays, plant lighting with higher color rendering index close to sunlight and higher color fidelity index. The spectral power distribution (SPD) of light source, representing its light quality, is always dynamically controlled by complex electrical and thermal loadings when the light source operates under usage conditions. Therefore, a dynamic prediction of SPD for the full-spectrum white LED has become a hot but challenging research topic in the high quality lighting design and application. This paper proposes a dynamic SPD prediction method for the full-spectrum white LED by integrating the SPD decomposition approach with the artificial neural network (ANN) based machine learning method. Firstly, the continuous SPDs of a full-spectrum white LED driven by an electrical-thermal loading matrix are discretized by the multi-peak fitting with Gaussian model as the relevant spectral characteristic parameters. Then, the Back Propagation (BP) and Genetic Algorithm-Back Propagation (GA-BP) NNs are proposed to predict the spectral characteristic parameters of LEDs operated under any usage conditions. Finally, the dynamically predicted spectral characteristic parameters are used to reconstruct the SPDs. The results show that: (1) The spectral characteristic parameters obtained by fitting with the Gaussian model can be used to represent the emission lights from multiple chips and phosphors in a full-spectrum white LED; (2) The prediction errors of both BP NN and GA-BP NN can be controlled at low level, that is to say, our proposed method can achieve a highly accurate SPD dynamic prediction for the full-spectrum white LED when it operates under different operation mission profiles.","BP neural network; Full-spectrum white LED; genetic algorithm; machine learning.; spectral power distribution","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0480bdb8-d4e6-4a55-b1e9-b5d965c0f062","http://resolver.tudelft.nl/uuid:0480bdb8-d4e6-4a55-b1e9-b5d965c0f062","Entropy generation methodology for defect analysis of electronic and mechanical components-A review","Cai, Miao (Guilin University of Electronic Technology); Cui, Peng (Guilin University of Electronic Technology); Qin, Yikang (Guilin University of Electronic Technology); Geng, Daoshuang (Guilin University of Electronic Technology); Wei, Qiqin (Guilin University of Electronic Technology); Wang, Xiyou (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2020","Understanding the defect characterization of electronic and mechanical components is a crucial step in diagnosing component lifetime. Technologies for determining reliability, such as thermal modeling, cohesion modeling, statistical distribution, and entropy generation analysis, have been developed widely. Defect analysis based on the irreversibility entropy generation methodology is favorable for electronic and mechanical components because the second law of thermodynamics plays a unique role in the analysis of various damage assessment problems encountered in the engineering field. In recent years, numerical and theoretical studies involving entropy generation methodologies have been carried out to predict and diagnose the lifetime of electronic and mechanical components. This work aimed to review previous defect analysis studies that used entropy generation methodologies for electronic and mechanical components. The methodologies are classified into two categories, namely, damage analysis for electronic devices and defect diagnosis for mechanical components. Entropy generation formulations are also divided into two detailed derivations and are summarized and discussed by combining their applications. This work is expected to clarify the relationship among entropy generation methodologies, and benefit the research and development of reliable engineering components.","Defect; Diagnosis; Electronic and mechanical components; Entropy generation; Methodology; Reliability","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7bb20ed3-e7dd-422c-bd4a-c380dad535ec","http://resolver.tudelft.nl/uuid:7bb20ed3-e7dd-422c-bd4a-c380dad535ec","Improving the Resilience of Postdisaster Water Distribution Systems Using Dynamic Optimization Framework","Zhang, Qingzhou (Zhejiang University); Zheng, Feifei (Zhejiang University); Chen, Qiuwen (Nanjing Hydraulic Research Institute); Kapelan, Z. (TU Delft Sanitary Engineering); Diao, Kegong (De Montfort University); Zhang, Kejia (Zhejiang University); Huang, Yuan (Zhejiang University)","","2020","Improving the resilience of water distribution systems (WDSs) to handle natural disasters (e.g., earthquakes) is a critical step toward sustainable urban water management. This requires the water utility to be able to respond quickly to such disaster events, and in an organized manner, to prioritize the use of available resources to restore service rapidly while minimizing the negative impacts. Many methods have been developed to evaluate the WDS resilience, but few efforts are made so far to improve the resilience of a postdisaster WDS through identifying optimal sequencing of recovery actions. To address this gap, the authors propose a new dynamic optimization framework in this study in which the resilience of a postdisaster WDS is evaluated using six different metrics. A tailored genetic algorithm is developed to solve the complex optimization problem driven by these metrics. The proposed framework is demonstrated using a real-world WDS with 6,064 pipes. Results obtained show that the proposed framework successfully identifies near-optimal sequencing of recovery actions for this complex WDS. The gained insights, conditional on the specific attributes of the case study, include the following: (1) the near-optimal sequencing of a recovery strategy heavily depends on the damage properties of the WDS; (2) replacements of damaged elements tend to be scheduled at the intermediate-late stages of the recovery process due to their long operation time; and (3) interventions to damaged pipe elements near critical facilities (e.g., hospitals) should not be necessarily the first priority to recover due to complex hydraulic interactions within the WDS.","Genetic algorithm; Postdisaster water distribution system; Recovery actions; Resilience; Sequencing","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:7461ee1c-1f76-43aa-b8bb-8da6f57c3528","http://resolver.tudelft.nl/uuid:7461ee1c-1f76-43aa-b8bb-8da6f57c3528","Energy-aware noise reduction for wireless acoustic sensor networks","Zhang, J. (TU Delft Signal Processing Systems)","Heusdens, R. (promotor); Hendriks, R.C. (promotor); Delft University of Technology (degree granting institution)","2020","In speech processing applications, e.g., speech recognition, hearing aids (HAs), video conferencing, and human-computer interaction, speech enhancement or noise reduction is an essential front-end task, as the recorded speech signals are inevitably corrupted by interference, including coherent/incoherent noise and reverberation. Traditional noise reduction algorithms are mostly based on spatial filtering techniques using a microphone array. The performance of the noise reduction algorithms scales with the number of microphones that are involved in filtering, but a large-sized microphone array cannot be mounted in many realistic systems, e.g., HAs. In the last few decades, with a great development in micro-electro-mechanical systems, wireless devices are more and more commonly-used in our daily life, like the smartphone, laptop, wireless HA, and ipad. These devices have acoustic sensors equipped and a capability of wireless communication, leading to a wireless acoustic sensor network (WASN). The WASN can be organized in a centralized fashion where all the devices are only allowed to connect with a fusion center (FC), or in a decentralized way where the devices are connected with the close-by counterparts via wireless links. ThisWASN can resolve the disadvantages of the traditional microphone array systems, since thewireless devices can be placed anywhere in the vicinity and one device is able to make use of measurements from other external devices. More importantly, the acoustic scene can be sampled more comprehensively, resulting in a potential improvement in noise reduction performance.","Microphone subset selection; rate distribution; noise reduction; binaural cue preservation; distributed algorithms; relative acoustic transfer function; quantization; bit-rate; power consumption; energy efficiency; wireless acoustic sensor networks","en","doctoral thesis","","978-94-6366-239-0","","","","","","","","","Signal Processing Systems","","",""
"uuid:ceef34e2-d057-444d-9f5c-207549014de9","http://resolver.tudelft.nl/uuid:ceef34e2-d057-444d-9f5c-207549014de9","Evolving parsec-scale radio structure in the most distant blazar known","An, Tao (Key Laboratory of Radio Astronomy); Mohan, Prashanth (Chinese Academy of Sciences); Zhang, Yingkang (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences); Yang, J. (TU Delft Architecture and the Built Environment; Onsala Space Observatory); Gabányi, Krisztina (Konkoly Observatory Hungarian Academy of Sciences; MTA-ELTE Extragalactic Astrophysics Research Group; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Paragi, Zsolt (Joint Institute for VLBI ERIC); Perger, Krisztina (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Zheng, Zhenya (Chinese Academy of Sciences)","","2020","Blazars are a sub-class of quasars with Doppler boosted jets oriented close to the line of sight, and thus efficient probes of supermassive black hole growth and their environment, especially at high redshifts. Here we report on Very Long Baseline Interferometry observations of a blazar J0906 + 6930 at z = 5.47, which enabled the detection of polarised emission and measurement of jet proper motion at parsec scales. The observations suggest a less powerful jet compared with the general blazar population, including lower proper motion and bulk Lorentz factor. This coupled with a previously inferred high accretion rate indicate a transition from an accretion radiative power to a jet mechanical power based transfer of energy and momentum to the surrounding gas. While alternative scenarios could not be fully ruled out, our results indicate a possibly nascent jet embedded in and interacting with a dense medium resulting in a jet bending.","","en","journal article","","","","","","","","","Architecture and the Built Environment","","Astrodynamics & Space Missions","","",""
"uuid:4de4138c-e064-49fb-a250-3b436eb8f4fd","http://resolver.tudelft.nl/uuid:4de4138c-e064-49fb-a250-3b436eb8f4fd","Na-23 NMR Spectroscopic Quantification of the Antiferroelectric-Ferroelectric Phase Coexistence in Sodium Niobate","Egert, Sonja (Technische Universität Darmstadt); Zhang, Mao-Hua (Technische Universität Darmstadt); Koruza, Jurij (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy; Technische Universität Darmstadt); Buntkowsky, Gerd (Technische Universität Darmstadt)","","2020","The irreversible field-induced phase transition between the antiferroelectric (P) and ferroelectric (Q) polymorphs of sodium niobate (NaNbO 3) ceramics constitutes a focal point in improving the material’s energy storage properties. The coexistence of P and Q phases can be verified by X-ray and electron diffraction methods, but its extent remains elusive. Two-dimensional solid-state nuclear magnetic resonance (NMR) spectroscopy allows the quantification of relative amounts of the coexisting polymorphs, but the analysis of ceramic sample pieces requires a trade-off between sufficient sensitivity (at higher magnetic fields) and separation of the overlapping P and Q signals (at lower magnetic fields). In this contribution, we apply thesatellite transition magic angle spinning (STMAS) pulse sequence in a quantitative analysis of the antiferroelectric−ferroelectric phase transition in NaNbO3 ceramics. Both field- and grain size-induced transitions are investigated and the coexistence of the Q and P phases after the application of an electric field is quantified to be approximately 50%:50%. No indication is found that the local structure of the field-induced Q polymorph differs fundamentally from that induced in small-sized grains. Furthermore, the
sensitivity and resolution of STMAS is compared to previously reported applications of the triple quantum magic angle spinning (3QMAS) sequence to the NaNbO3 system.","","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-29","","","RST/Storage of Electrochemical Energy","","",""
"uuid:34ee1c5b-8f44-490a-b6a7-d81d72e18cf6","http://resolver.tudelft.nl/uuid:34ee1c5b-8f44-490a-b6a7-d81d72e18cf6","The Impact of Gate Recess on the H2 Detection Properties of Pt-AlGaN/GaN HEMT Sensors","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials); Zhang, Jian (Fudan University); Zheng, Hongze; Li, Wenmao; Jiang, Y. (TU Delft BT/Bioprocess Engineering); Yang, Gaiying; Yu, H. (TU Delft Applied Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The present work reports on the hydrogen gas detection properties of Pt-AlGaN/GaN high electron mobility transistor (HEMT) sensors with recessed gate structure. Devices with gate recess depths from 5 to 15 nm were fabricated using a precision cyclic etching method, examined with AFM, STEM and EDS, and tested towards H 2 response at high temperature. With increasing recess depth, the threshold voltage (VTH) shifted from -1.57 to 1.49 V. A shallow recess (5 nm) resulted in a 1.03 mA increase in signal variation (AIDS), while a deep recess (15 nm) resulted in the highest sensing response (S) of 145.8% towards 300 ppm H 2 as compared to reference sensors without gate recess. Transient measurements demonstrated reversible H 2 response for all tested devices. The response and recovery time towards 250 ppm gradually decreased from 7.3 to 2.5 min and from 29.2 to 8.85 min going from 0 nm to 15 nm recess depth. The power consumption of the sensors reduced with increasing recess depth from 146.6 to 2.95 mW.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-15","Applied Sciences","","Electronic Components, Technology and Materials","","",""
"uuid:6a9cd68e-c633-4fa6-8eb6-e91d3d09e6eb","http://resolver.tudelft.nl/uuid:6a9cd68e-c633-4fa6-8eb6-e91d3d09e6eb","A High-Linearity and Low-EMI Multilevel Class-D Amplifier","Zhang, H. (TU Delft Electronic Instrumentation); Karmakar, S. (TU Delft Electrical Engineering, Mathematics and Computer Science); Breems, Lucien J. (NXP Semiconductors); Sandifort, Quino (NXP Semiconductors); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","","2020","This article presents a Class-D audio amplifier for automotive applications. Low electromagnetic interference (EMI) and, hence, smaller LC filter size are obtained by employing a fully differential multilevel output stage switching at 4.2 MHz. A modulation scheme with minimal switching activity at zero input reduces idle power, which is further assisted by a gate-charge reuse scheme. It also achieves high linearity due to the high loop gain realized by a third-order feedback loop with a bandwidth of 800 kHz. The prototype, fabricated in a 180-nm high-voltage BCD process, achieves a minimum THD+N of -107.8 dB/-102 dB and a peak efficiency of 91%/87% with 8- and 4-Ω loads, respectively, while drawing 7-mA quiescent current from a 14.4-V supply. The prototype meets the CISPR 25 Class 5 EMI standard with a 5.7-dB margin using an LC filter with a cutoff frequency of 580 kHz.","Class-D amplifiers; electromagnetic interference (EMI); idle power; multilevel; pulsewidth modulation (PWM).","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-25","Electrical Engineering, Mathematics and Computer Science","Microelectronics","Electronic Instrumentation","","",""
"uuid:0092343c-56bd-46d4-82d2-40f4249807af","http://resolver.tudelft.nl/uuid:0092343c-56bd-46d4-82d2-40f4249807af","PassiveVLP: Leveraging Smart Lights for Passive Positioning","Wang, Weizheng (TU Delft Embedded Systems); Wang, Q. (TU Delft Embedded Systems); Zhang, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Zuniga, Marco (TU Delft Embedded Systems)","","2020","Positioning based on visible light is gaining significant attention. But most existing studies rely on a key requirement: The object of interest needs to carry an optical receiver (camera or photodiode). We remove this requirement and investigate the possibility of achieving accurate positioning in a passive manner—that is, without requiring objects to carry any optical receiver. To achieve this goal, we propose PassiveVLP, in which we exploit the reflective surfaces of objects and the unique propagation properties of LED luminaires. We present geometric models, a testbed implementation, and empirical evaluations to showcase the opportunities and challenges posed by this new type of passive positioning. Overall, we show that our PassiveVLP can track with high accuracy (a few centimeters) a subset of an object’s trajectory, and it can also identify passively the object’s ID.","system design; visible light communication (VLC); Visible light positioning (VLP); evaluation; implementation; passive; reflection of light","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-06","Electrical Engineering, Mathematics and Computer Science","","Embedded Systems","","",""
"uuid:0711096c-c47e-464b-a59a-8282bb306423","http://resolver.tudelft.nl/uuid:0711096c-c47e-464b-a59a-8282bb306423","Synthetic Polymers Provide a Robust Substrate for Functional Neuron Culture","Zhang, Yichuan (University of Edinburgh; Chinese Academy of Sciences); Venkateswaran, Seshasailam (University of Edinburgh); Higuera, Gustavo A. (Erasmus MC); Nath, Suvra (Student TU Delft); Shpak, Guy (Erasmus MC); Matray, Jeffrey (Student TU Delft); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Kushner, Steven A.; Bradley, Mark (University of Edinburgh); De Zeeuw, Chris I. (Erasmus MC)","","2020","Substrates for neuron culture and implantation are required to be both biocompatible and display surface compositions that support cell attachment, growth, differentiation, and neural activity. Laminin, a naturally occurring extracellular matrix protein is the most widely used substrate for neuron culture and fulfills some of these requirements, however, it is expensive, unstable (compared to synthetic materials), and prone to batch-to-batch variation. This study uses a high-throughput polymer screening approach to identify synthetic polymers that supports the in vitro culture of primary mouse cerebellar neurons. This allows the identification of materials that enable primary cell attachment with high viability even under “serum-free” conditions, with materials that support both primary cells and neural progenitor cell attachment with high levels of neuronal biomarker expression, while promoting progenitor cell maturation to neurons.","central nervous system regeneration; neuron cultures; polymer microarrays; progenitor cell maturation; synthetic polymer substrates","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:dc344f94-d920-4f06-b9fa-99fc1d9a1297","http://resolver.tudelft.nl/uuid:dc344f94-d920-4f06-b9fa-99fc1d9a1297","Macro-meso dynamic analysis of railway transition zone: Hybrid DEM/FDM simulation and experimental validation","Shi, Can (Southwest Jiaotong University); Zhao, Chunfa (Southwest Jiaotong University); Yang, Yang (China Railway Eryuan Engineering Group Co.); Guo, Y. (TU Delft Railway Engineering); Zhang, Xu (Guangdong University of Technology); Feng, Yang (Southwest Jiaotong University)","","2020","To probe into the mechanical behaviour of railway transition zone from the macro-meso aspects, a numerical model of transition zone is built that hybrids the Discrete Element Method (DEM) and Finite Difference Method (FDM). The DEM is utilised to simulate the ballast bed and sleeper, because it can consider the realistic ballast shapes and complex contacts between them. The FDM based on the continuum theory is utilised to simulate the track substructure according to a real structural form. Afterwards, the coupling algorithm is used to achieve the hybrid DEM-FDM simulation. The engineering practicality of this model is validated using the dynamic responses of the transition zone from a field measurement, and the macro-meso mechanical behaviour of the transition zone is analysed with or without the wedge-shape backfill. The numerical results indicate that applying the wedge-shape backfill can considerably reduce the sudden changes of track vibration and substructure surface stresses at the vicinity of the connection between the structure and embankment. Moreover, the mesoscopic results show that the acceleration vector of the soil near the rigid structure fluctuates towards the embankment and the velocity responses of track components and substructure increase gradually when the train travels from the rigid structure to the soft embankment.","Coupled modelling; Discrete element method; Dynamic response; Field measurement; Finite difference method; Transition zone","en","journal article","","","","","","Accepted Author Manuscript","","2022-05-05","","","Railway Engineering","","",""
"uuid:2f9bceaa-5018-4503-9f2d-6837dfa4d58a","http://resolver.tudelft.nl/uuid:2f9bceaa-5018-4503-9f2d-6837dfa4d58a","A high order hybrid mimetic discretization on curvilinear quadrilateral meshes for complex geometries","Zhang, Y. (TU Delft Aerodynamics); Jain, V. (TU Delft Aerodynamics); Palha, A. (TU Delft Aerodynamics; Eindhoven University of Technology); Gerritsma, M.I. (TU Delft Aerodynamics)","Owen, Roger (editor); de Borst, Rene (editor); Reese, Jason (editor); Pearce, Chris (editor)","2020","In this paper, we present a hybrid mimetic method which solves the mixed formulation of the Poisson problem on curvilinear quadrilateral meshes. The method is hybrid in the sense that the domain is decomposed into multiple disjoint elements and the interelement continuity is enforced using a Lagrange multiplier. The method is mimetic in the sense that the discrete divergence operator is exact. By using the mimetic basis functions and their dual representations, various metric-free discrete terms are obtained. The discrete system can be efficiently solved by first solving a reduced system for the Lagrange multiplier. Numerical experiments which validate the method are presented.","Algebraic Dual Polynomials; Broken Spaces; Hybridization; Mimetic Spectral Element Method; Mixed Poisson Formulation","en","conference paper","International Centre for Numerical Methods in Engineering, CIMNE","","","","","","","","","","Aerodynamics","","",""
"uuid:6d2145c6-e94a-4c08-9cd3-5ab196a80f5c","http://resolver.tudelft.nl/uuid:6d2145c6-e94a-4c08-9cd3-5ab196a80f5c","Assessment on China's urbanization after the implementation of main functional areas planning","Xia, Han (Wuhan University); Zhang, Wanshun (Wuhan University); He, Lian (Wuhan University); Ma, Mengyue (Wuhan University; Erasmus Universiteit Rotterdam); Peng, Hong (Wuhan University); Li, Lin (Wuhan University); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Hang, Panpan (Wuhan University); Wang, Xintang (Beijing Cstind Science and Technology Co)","","2020","China has implemented main functional areas planning (MFAP) since 2010, which is essential for improving the efficiency of land space utilization and achieving sustainable urban development. Quantitative assessments of the urban development levels (UDLs) at the county level across China after the implementation of MFAP have not been well-documented. In this study, a unified indicator system was developed, and the UDLs of 2850 counties in China after MFAP implementation were evaluated. The results showed that MFAP played a positive role in urban development in China. The UDLs in China generally increased but showed obvious spatial differences. The higher UDLs were mostly found in the counties in the five urban belts, which reflects the overall urban layout of China. The UDLs were generally low in the western counties in comparison with those in the eastern part of China. The differences in the UDLs from east to west were greater than those from north to south. Moreover, the differences in the UDLs presented a spatial agglomeration effect. This study could offer insight into the refinement of MFAP in China and sustainable urban development in developing countries.
PWM) below the AM band (535 to 1605kHz) helps mitigate this, but its harmonics still contain substantial energy and must be filtered out by bulky LC filters with low cut-off frequencies (fc = 20 to 40 kHz), significantly increasing system cost and size. Stability considerations also constrain the amplifier's unity-gain frequency to be < mathrm{f} {mathrm{PWM}}/pi [1], compromising the audio-band loop gain required to suppress output-stage nonlinearity. Setting fPWM above the AM band helps increase fc and allows a higher loop gain [2]. However, this results in narrower pulses at higher power levels (higher modulation index), which cannot be faithfully produced by the output stage, thus exacerbating its non-linearity. Delta-sigma modulation (DeltaSigma M) has fixed pulse widths and does not suffer from these narrow-pulse artefacts. However, the out-of-band noise of 1bit modulators then requires larger LC filters. Moreover, high-order loop filters must be used to achieve sufficient SQNR, which then require additional techniques to maintain stability as the modulation range approaches 100% [3].","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-25","","Microelectronics","Electronic Instrumentation","","",""
"uuid:680dd908-1669-4411-9032-b3514399a0b9","http://resolver.tudelft.nl/uuid:680dd908-1669-4411-9032-b3514399a0b9","Understanding the Working Time of Developers in IT Companies in China and the United States","Zhang, Jiayun (Fudan University); Chen, Yang (Fudan University); Gong, Qingyuan (Fudan University); Ding, Aaron Yi (TU Delft Information and Communication Technology); Xiao, Yu (Aalto University); Wang, Xin (Fudan University); Hui, Pan (The Hong Kong University of Science and Technology)","","2020","We identified three temporal patterns shown in commit activities among Chinese and American companies and found that Chinese businesses are more likely to follow long work hours than American ones. We also conducted a survey on the trends of, reasons for, and results of overtime work. Our study could provide references for developers to choose workplaces and for companies to make regulations.","China; Companies; GitHub; IT company; Moon; overtime; Rhythm; Social network services; Software; Software engineering; Time-frequency analysis; United States; working time of developers","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:a3b4f530-7a78-4d0e-8386-be6378203c91","http://resolver.tudelft.nl/uuid:a3b4f530-7a78-4d0e-8386-be6378203c91","Evaluation of Organosolv Lignin as an Oxidation Inhibitor in Bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Poeran, Natascha (Royal Boskalis Westminster); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Organosolv lignin, a natural polymer, has been used in this study as an oxidation inhibitor in bitumen. Particularly, the effect of oxidative aging on the chemical compositional changes and on the rheology of bituminous binders with organosolv lignin and the impact to inhibit oxidation in bitumen were evaluated. Firstly, after analyzing the microstructure and surface characteristics of utilized organosolv lignin, a high shear mixing procedure was followed to produce binders of different proportions of lignin in bitumen. Pressure aging vessel conditioning was applied to these binders to simulate in-field aging and a series of tests were performed. Fourier transform infrared spectroscopy was used to track the compositional changes of lignin–bitumen systems before and after aging respectively. The rheological changes due to oxidative aging in the different lignin–bitumen systems were studied by means of dynamic shear rheometer tests. Based on the spectroscopic laboratory analyses, certain proportions of organosolv lignin in bitumen have shown a potential oxidation retardation effect in bitumen since a reduction of carbonyl and sulfoxide compounds was observed. However, the addition of lignin reduced the fatigue life of bitumen and potentially led to an increase in brittle fracture sensitivity at low and medium temperatures. Nevertheless, lignin improved the rutting resistance at high temperatures. Overall, it can be concluded that organosolv lignin can suppress the oxidation of sulfur and carbon compounds in bitumen either by direct deceleration of oxidation reaction or interaction with compounds that otherwise are oxidizable, without seriously degrading the mechanical properties.","aging; bitumen; chemistry; microstructure; organosolv lignin; oxidation inhibitor; rheology","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3f16f4bd-2c5f-4f12-ae5d-ad3c928b4e67","http://resolver.tudelft.nl/uuid:3f16f4bd-2c5f-4f12-ae5d-ad3c928b4e67","Evaluation of acoustic emission source localization accuracy in concrete structures","Zhang, F. (TU Delft Concrete Structures); Pahlavan, Lotfollah (TU Delft Ship Hydromechanics and Structures); Yang, Y. (TU Delft Concrete Structures)","","2020","Acoustic emission source localization is a promising monitoring technique for concrete structures. However, the accuracy of acoustic emission source localization is influenced by many factors, such as the presence of cracks, which are commonly observed in existing reinforced concrete structures. In this article, the acoustic emission source localization is evaluated using a numerical model with a total number of 11,827,200 independent simulated tests. In this work, the investigated influential factors include the presence of cracks, arrival time picking error, and senor layout. The accuracy of source localization is quantified by the characteristic error defined in this article. Using the proposed wave propagation properties, a relatively stable characteristic error of 150 mm is estimated in the detection zone with the maximum sensor spacing less than 1 m. The evaluation approach and simulated characteristic error are validated experimentally by comparing the 200 manually generated signals using hammer hits on a cracked concrete beam.","accuracy; Acoustic emission source localization; arrival time picking error; concrete structures; crack","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:f9c1b20b-0988-4c45-b72b-4cacd85285d2","http://resolver.tudelft.nl/uuid:f9c1b20b-0988-4c45-b72b-4cacd85285d2","Hydrodynamic performance of a multi-Oscillating Water Column (OWC) platform","Zheng, Siming (University of Plymouth; Dalian University of Technology); Antonini, A. (TU Delft Coastal Engineering); Zhang, Yongliang (Tsinghua University); Miles, Jon (University of Plymouth); Greaves, Deborah (University of Plymouth); Zhu, Guixun (University of Plymouth); Iglesias, Gregorio (University of Plymouth; University College Cork)","","2020","A rectangular barge consisting of multiple oscillating water columns (OWCs) is considered in this paper, hereinafter referred to as a multi–OWC platform. Each OWC chamber is enclosed by two partially submerged vertical walls and the deck of the platform. An incident wave produces oscillation of the water column in each OWC chamber and hence air is pumped by the internal water surface to flow through a Wells turbine installed at the chamber top. The effect of the turbine is characterised as a linear power take–off (PTO) system. A semi–analytical model based on linear potential flow theory and the eigen–function expansion method is developed to solve the wave radiation and diffraction problems of the multi–OWC platform. The hydrodynamic coefficients evaluated with direct and indirect methods of the model are shown to be in excellent agreement, and the energy conservation relationship of the multi–OWC platform is satisfied. The validated model is then applied to predict wave motion, dynamic air pressure, wave power extraction, and wave reflection and transmission coefficients of the multi–OWC platform. The effects of the PTO strategies, the number of chambers, the overall platform dimensions and the relative dimensions of adjacent chambers on wave power extraction and wave attenuation are investigated. A smaller–draft front wall and a larger–draft back wall are found to be beneficial for broadening the range of high–efficiency performance of the platform. The same wave transmission coefficient can be obtained by two multi–OWC platforms with inverse geometric constructions.","Marine renewable energy; Oscillating water columns; Potential flow theory; Wave power extraction; Wave transmission","en","journal article","","","","","","","","2021-05-10","","","Coastal Engineering","","",""
"uuid:c53ee2cd-0ae7-4860-9fe0-29cef24175ff","http://resolver.tudelft.nl/uuid:c53ee2cd-0ae7-4860-9fe0-29cef24175ff","New pulsed jet using spark plasma discharge: Subsonic configuration","Benard, Nicolas (CNRS-Université de Poitiers-ISAE-ENSMA); Zong, H. (TU Delft Aerodynamics); Zhang, Yang (Florida State University); Kotsonis, M. (TU Delft Aerodynamics); Acher, Gwenael (CNRS-Université de Poitiers-ISAE-ENSMA); Cattafesta, Louis N. (Florida State University); Bonnet, Jean-Paul (CNRS-Université de Poitiers-ISAE-ENSMA); Moreau, Eric (CNRS-Université de Poitiers-ISAE-ENSMA)","","2020","Active flow control is demanding for new actuation technologies as none of the actual available actuators has reached all the criterions for expecting an implementation in the coming years. Here, a new type of pulsed jet is designed and preliminary measurements of its performances in quiescent flow are conducted. Pulsed operation has been chosen because of the expected high efficiency of pulsed actuation in comparison to continuous blowing. The traditional pulsed jets being limited in term of frequency because of the use of a mechanical valve to achieve the desired pneumatic opening and closing of a jet provided by an external pressure source, the fast response of electrical discharge is exploited in the present investigation. The objective is to modulate the output of a small jet exhausting from a pressurized chamber. A spark discharge is used to affect the thermodynamic state of the gas in order to electrically achieve periodic cancellation of the chocked flow conditions at the throat upstream the jet exit. In the present study, such actuator with additional neck extension and jet diameter enlargement is investigated. The configuration results in a high-speed subsonic jet whose velocity amplitude is modified by an arc discharge with deposited energy from 18 to 780 mJ. Some characteristics of the jet are provided using optical methods such as high-speed Schlieren and PIV. In particular, it is shown that the jet flow velocity can be increased from 50 m.s-1 to 190 m.s-1.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:64bcb84e-8c10-4cef-8e4b-c654e31a8e88","http://resolver.tudelft.nl/uuid:64bcb84e-8c10-4cef-8e4b-c654e31a8e88","Database-driven Safe Flight Envelope Protection for Impaired Aircraft","Zhang, Y. (TU Delft Control & Simulation); Huang, Y. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation)","","2020","In this paper, an online flight envelope protection system is developed and implemented on impaired aircraft with structural damage. The whole protection system is designed to be a closed-loop of several sub-systems, including system identification, damage classification, flight-envelope prediction and fault-tolerant control. Based on the information given by damage classification, the flight envelopes are explicitly retrieved and processed online from the database and fed into the fault-tolerant controller, which makes the protection system adaptive to a wide range of abnormal conditions. Simulation results show that with envelope protection, loss-of-control accidents are more likely to be prevented, since both the controller and pilots are aware of the shrunken flight envelopes after damage and excessive commands are restricted. In this way, the fault-tolerance of the impaired aircraft can be effectively enhanced.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:40efd8d1-437e-41af-b181-4dbdcd5fbb69","http://resolver.tudelft.nl/uuid:40efd8d1-437e-41af-b181-4dbdcd5fbb69","Coupled discrete-continuum approach for railway ballast track and subgrade macro-meso analysis","Shi, Can (Southwest Jiaotong University); Zhao, Chunfa (Southwest Jiaotong University); Zhang, Xu (Guangdong University of Technology); Guo, Y. (TU Delft Railway Engineering)","","2020","This paper presents a multi-layer railway ballast track and substructure model, where a coupled discrete and continuous method is used for macro-meso dynamic behaviour analysis under moving wheel loads. In this coupled model, the discrete element method (DEM) is utilised to build the superstructure of the ballast track (i.e. rail, fastener, sleeper and ballast layer), which considers the complex ballast shape and particle size distribution from mesoscopic level. The finite difference method (FDM) is used to simulate the substructure (i.e. subgrade and foundation) with a consideration of computing cost. And then, the coupled model is achieved by satisfying displacement, velocity and contact force compatibility between the FLAC and the PFC. Finally, the dynamic analysis is carried out by applying the wheel-rail forces obtained from a vehicle-track dynamics model into the coupled model. The DEM parameters of ballast particles are calibrated based on the results of the ballast direct shear tests, and the dynamic behaviour of railway ballast track and subgrade system is validated with the field measurement. Through the numerical analysis, it is confirmed that the coupled DEM/FDM model can reliably reflect macro-meso dynamic behaviour and accurately reveal the contact characteristics between the ballast layer and subgrade.","coupled model; discrete element method; field test; finite difference method; Railway ballast track; subgrade","en","journal article","","","","","","Accepted Author Manuscript","","2021-02-06","","","Railway Engineering","","",""
"uuid:cc6e1f27-a656-46c7-b2a5-ec3a4a541c8e","http://resolver.tudelft.nl/uuid:cc6e1f27-a656-46c7-b2a5-ec3a4a541c8e","Nonlinear Systems with Uncertain Periodically Disturbed Control Gain Functions: Adaptive Fuzzy Control with Invariance Properties","Lv, Maolong (TU Delft Team Bart De Schutter); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control; TU Delft Team Bart De Schutter); Yu, Wenwu (Southeast University); Zhang, Wenqian (Air Force Engineering University China); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2020","This paper proposes a novel adaptive fuzzy dynamic surface control (DSC) method for an extended class of periodically disturbed strict-feedback nonlinear systems. The peculiarity of this extended class is that the control gain functions are not bounded a priori but simply taken to be continuous and with a known sign. In contrast with existing strategies, controllability must be guaranteed by constructing appropriate compact sets ensuring that all trajectories in the closed-loop system never leave these sets. We manage to do this by means of invariant set theory in combination with the Lyapunov theory. In other words, boundedness is achieved a posteriori as a result of stability analysis. The approximator composed of fuzzy logic systems and Fourier series expansion is constructed to deal with the unknown periodic disturbance terms.","Adaptive fuzzy control; dynamic surface control (DSC); invariant set theory; periodic disturbances","en","journal article","","","","","","Accepted Author Manuscript","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:dee3bd33-466b-4c42-9110-704abe4c0c60","http://resolver.tudelft.nl/uuid:dee3bd33-466b-4c42-9110-704abe4c0c60","A Novel Defect Diagnosis Method for Kyropoulos Process Based Sapphire Growth","Zhang, Wei (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Chen, Hong (Shanxi Zhongjujingke Semiconductor Co.); Hao, Guirong (Shanxi Zhongjujingke Semiconductor Co.)","","2020","When sapphire crystal is prepared with Kyropoulos method, the necking-down growth process is a key stage. Sapphire growth defect is a big problem in this stage. However, diagnosing growth defects is subject to the interference of workers subjectivity and accuracy always goes down. To address the problem, a novel defect diagnosis method is proposed for necking-down growth process in this paper. Industrial CCD sensors replace eyes of skilled workers to observe in this method. A new Defect-Diagnosing Siamese network (DDSN) is used in this method. We use Siamese architecture to learn similarity through pairs of images. We use the deep separable convolution (DSC) into the DDSN to optimize running speed and model size. In experiment, dataset is acquired by industrial CCD sensors in the necking-down growth process. The accuracy of defect diagnosis can reach up to 94.5%. The method significantly improves the traditional way.","CCD sensor; Defect-Diagnosing Siamese network; Necking-down process; Sapphire Growth Defects","en","journal article","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:1d0a18e4-a7eb-46bf-bbf2-5f73d516d441","http://resolver.tudelft.nl/uuid:1d0a18e4-a7eb-46bf-bbf2-5f73d516d441","Production of fatty alcohols from non-edible oils by enzymatic cascade reactions","Ma, Yunjian (South China University of Technology); Zhang, Xizhen (South China University of Technology); Li, Yongru (South China University of Technology); Li, Peilin (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology)","","2020","A biocatalytic cascade transforming castor oil into (R,Z)-octadec-9-en-7-ol is presented by combining a lipase catalysed hydrolysis of castor oil into ricinoleic acid followed by a photoenzymatic decarboxylation into (R,Z)-octadec-9-en-7-ol. Conversion of up to 41.7% and overall product concentrations of up to 60 mM, this new bienzymatic and photocatalytic cascade exhibits significant potential for the valorisation of non-edible castor oil. The scope and limitations of the current system are described and discussed.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:770144db-7872-4f03-a8b3-3b8dc8caae3a","http://resolver.tudelft.nl/uuid:770144db-7872-4f03-a8b3-3b8dc8caae3a","Parameter optimization of environmental technologies using a LCA-based analysis scheme: A bioaugmentation case study","Zhao, X. (TU Delft Sanitary Engineering; Northeast Agricultural University; Harbin Institute of Technology); Bai, Shunwen (Harbin Institute of Technology); Tu, Yinan (Shanghai Municipal Engineering Design General Institute); Zhang, X. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2020","Life cycle assessment (LCA) has proven to be a useful tool in assessing environmental technologies in a retrospective manner. To fully uncover the environmental improvement potential while advancing technologies under technical and environmental constraints, this study recommended approaching the LCA proactively to assess the progress of parameter optimization before determining critical parameters. To that end, the present work introduced a multimethod eight-step (MMES) analysis scheme, which included an integration of LCA with Plackett-Burman multifactorial design, central composite design, and multi-objective optimization. By creating a large number of scenarios through experimental design, we jointly optimized technical efficiency and environmental sustainability, which allowed for the identification of critical parameters that likely had contradictory influences on different objectives. Through a case study concerning the bioaugmentation of constructed wetland (CW), we applied the MMES scheme to optimize the culture conditions of the strain Arthrobacter sp. ZXY-2 for enhanced atrazine removal. The results showed that, by reducing the Na2HPO4·12H2O concentration from 6.5 g/L to 6 g/L in the culture condition, we decreased the freshwater ecotoxicity potential and maintained a high level of atrazine removal. Regarding the production process of microbial inocula, the strain ZXY-2 grown at the optimized culture reduced the total environmental impact from 13% to 50% compared with the original culture and helped the CW exhibit more favorable atrazine-removal performance. Taken together, the case study demonstrated the effectiveness of using the MMES scheme for parameter optimization of environmental technologies. For future development, the MMES scheme should extend the application to more fields and refine uncertainty management.","Environmental technologies; Life cycle assessment; Multi-objective optimization; Parameter optimization; Statistical analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-20","","","Sanitary Engineering","","",""
"uuid:6ca465c1-d132-4d86-9226-b9750a00e23a","http://resolver.tudelft.nl/uuid:6ca465c1-d132-4d86-9226-b9750a00e23a","Influence of wheel polygonal wear on wheel-rail dynamic contact in a heavy-haul locomotive under traction conditions","Liang, Hongqin (Southwest Jiaotong University); Liu, Pengfei (Shijiazhuang Tiedao University); Wang, Tianlong (Shijiazhuang Tiedao University); Wang, Haoyu (TU Delft Mechanics and Physics of Structures); Zhang, Kailong (Shijiazhuang Tiedao University); Cao, Yunqiang (Shijiazhuang Tiedao University); An, Dong (Southwest Jiaotong University)","","2020","For a heavy-haul locomotive within a wheel repairing period, wheel polygonal wear with different operating mileages is obtained by field testing. The test results show that the maximum radial runout of the wheel can increase to 0.87 mm and accompany with the typical damage of wheel tread shelling. Taking the wheel polygons as input excitation, the locomotive-track coupled dynamic model is established, which is verified by the comparisons of test and calculated wheelset vertical acceleration in time and frequency domains. The variable wheel-rail friction coefficient is introduced so as to consider the dry and wet rail conditions. The wheel-rail dynamic contact characteristics under the traction and dry-wet rail surface conditions are analysed simultaneously. It is found that the wheel polygon deteriorates the locomotive traction performance and induces the obvious wheel-rail slipping with large tangential stress, especially in wet rail condition. In dry condition, the wheel-rail could contact generally in the adhesion state. But the longitudinal creep forces fluctuate locally with some larger amplitudes closed to the adhesion force, which is mainly attributed to the excitation of serious wheel polygon. Comparing with the results of the newly repaired wheel, the maximum wheel-rail vertical force, longitudinal force, normal stress and tangential stress at the end of wheel repairing period can increase by 55 kN, 28 kN, 240 MPa and 470 MPa in sequence. The wheel-rail slipping and high-stress state in traction condition should be the dominant factors contributing to the wheel damage of tread shelling.","Heavy-haul locomotive; traction; wheel polygon; wheel-rail contact","en","journal article","","","","","","Accepted author manuscript","","","","","Mechanics and Physics of Structures","","",""
"uuid:1ec89945-551c-452b-be43-d70fd23bdb2f","http://resolver.tudelft.nl/uuid:1ec89945-551c-452b-be43-d70fd23bdb2f","Toward a Self-Sensing Piezoresistive Pressure Sensor for all-SiC Monolithic Integration","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Morana, B. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","This work focusses on the design and fabrication of surface micromachined pressure sensors, designed in a modular way for the integration with analog front-end read-out electronics. Polycrystalline 3C silicon carbide (SiC) was used to fabricate free-standing high topography cavities exploiting surface micromaching. The poly-SiC was in-situ doped and the membrane itself is used as piezoresistive element, thereby forming a so-called self-sensing membrane, easing fabrication. After sacrificial release, the cavity is sealed by conformal deposition of poly-SiC whereby the reference pressure of the absolute pressure sensor is determined. Aluminum and titanium metallizations were used and ohmic contacts were confirmed by wafer-scale measurements. Measurements were carried out on different devices ranging from 100 kPa down to 10 Pa at room temperature. The Wheatstone bridge yields a logarithmic response of 1.1 mVbar-1 V-1. A square 300 μ m device exhibits a logarithmic impedance behavior yielding a response of Δ R/R of 1.6× 10-3 bar-1. The realized pressure devices are a first step toward a SiC ASIC + MEMS platform for intended operation in harsh environments, such as industrial process monitoring, combustion control or structural health monitoring. The future outlook of the integration concept implies extended functionality by front-end transducer read-out, signal amplification and communication.","MEMS; Silicon carbide; absolute pressure sensor; surface micromaching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-01","","","Electronic Components, Technology and Materials","","",""
"uuid:76ab6fca-e355-4c15-9913-eff404e0ae5b","http://resolver.tudelft.nl/uuid:76ab6fca-e355-4c15-9913-eff404e0ae5b","Efficient Super-Resolution Two-Dimensional Harmonic Retrieval Via Enhanced Low-Rank Structured Covariance Reconstruction","Wang, Yue (George Mason University); Zhang, Yu (Nanjing University of Aeronautics and Astronautics; George Mason University); Tian, Zhi (George Mason University); Leus, G.J.T. (TU Delft Signal Processing Systems); Zhang, Gong (Nanjing University of Aeronautics and Astronautics)","","2020","This paper develops an enhanced low-rank structured covariance reconstruction (LRSCR) method based on the decoupled atomic norm minimization (D-ANM), for super-resolution two-dimensional (2D) harmonic retrieval with multiple measurement vectors. This LRSCR-D-ANM approach exploits a potential structure hidden in the covariance by transferring the basic LRSCR to an efficient D-ANM formulation, which permits a sparse representation over a matrix-form atom set with decoupled 1D frequency components. The new LRSCR-D-ANM method builds upon the existence of a generalized Vandermonde decomposition of its solution, which otherwise cannot be guaranteed by the basic LRSCR unless a very conservative condition holds. Further, a low-complexity solution of the LRSCR-D-ANM is provided for fast implementation with negligible performance loss. Simulation results verify the advantages of the proposed LRSCR-D-ANM over the basic LRSCR, in terms of the wider applicability and the lower complexity.","2D harmonic retrieval; D-ANM; LRSCR; MMV; Super-resolution","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-14","","","Signal Processing Systems","","",""
"uuid:16e9fcdf-8437-466f-8c0a-7ef33a433905","http://resolver.tudelft.nl/uuid:16e9fcdf-8437-466f-8c0a-7ef33a433905","Simple Homogenization-Based Approach to Predict Raveling in Porous Asphalt","Zhang, H. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Kasbergen, C. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2020","In the Netherlands, more than 80% of the highways are surfaced by porous asphalt (PA) mixes. The benefits of using PA mixes include, among others, the reduction of noise and the improvement of skid resistance. However, pavements with PA mixes are known to have a shorter lifetime and higher maintenance costs as compared with traditional dense asphalt mixes. Raveling is one of the most prominent distresses that occur on PA mix pavements. To analyze the raveling distress of a PA mix pavement, the stress and strain fields at the component level are required. Computational models based on finite element methods (FEM), discrete element methods (DEM), or both, can be used to compute local stress and strain fields. However, they require the development of large FEM meshes and large-scale computational facilities. As an alternative, the homogenization technique provides a way to calculate the stress and strain fields at the component level without the need for much computation power. This study aims to propose a new approach to analyze the raveling distress of a PA mix pavement by using the homogenization technique. To demonstrate the application of the proposed approach, a real field-like example was presented. In the real field-like example, the Mori?Tanaka model was used as a homogenization technique. The commonly available pavement analysis tool 3D-MOVE was used to compute the response of the analyzed pavement. In general, it was concluded that the homogenization technique could be a reliable and effective way to analyze the raveling distress of a PA mix pavement.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:40217c29-3da2-4f40-bcfe-4e08ad75fcbd","http://resolver.tudelft.nl/uuid:40217c29-3da2-4f40-bcfe-4e08ad75fcbd","A field data example of Marchenko multiple elimination","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","Internal multiple reflections have been widely considered as coherent noise in measured seismic data, and many approaches have been developed for their attenuation. The Marchenko multiple elimination (MME) scheme eliminates internal multiple reflections without model information or adaptive subtraction. This scheme was originally derived from coupled Marchenko equations, but it was modified to make it model independent. It filters primary reflections with their two-way traveltimes and physical amplitudes from measured seismic data. The MME scheme is applied to a deepwater field data set from the Norwegian North Sea to evaluate its success in removing internal multiple reflections. The result indicates that most internal multiple reflections are successfully removed and primary reflections masked by overlapping internal multiple reflections are recovered.","internal multiples; acoustic; autofocusing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-09","","","Applied Geophysics and Petrophysics","","",""
"uuid:684022c6-beda-4b4e-aa06-4d085635e84a","http://resolver.tudelft.nl/uuid:684022c6-beda-4b4e-aa06-4d085635e84a","Marchenko Multiple Elimination in a Resonant Pinch-Out Model","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2020","The ability to separate primary reflections from multiples is important for making subsurface images. Many existing methods need some form of model information and adaptive subtraction. Marchenko methods have been modified to operate at the acquisition surface. The associated filters can be computed from the reflection response without any model information. They are a function of a freely chosen time instant that defines the time window of the filter. The scheme can be implemented without adaptive subtraction. Applying the filter to the reflection response removes all multiples from the overburden that would arrive within the time window in which the filter is defined. For this reason, the first event in the result is a primary reflection event that can be taken and stored in a new dataset containing only primary reflections. From data of a resonant wedge model with thin layers, the images after MME show that destructive interference effects are removed by MME. Reflectors are imaged that are missing in the images of the reflection response. Thin layer effects cause incomplete prediction and removal of multiples. When MME treats the combined reflections from thin layers as a single complicated event, their combined multiples from other reflectors are properly removed.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-15","","","Applied Geophysics and Petrophysics","","",""
"uuid:f6131853-7246-4d1a-82bd-abe01cfdc037","http://resolver.tudelft.nl/uuid:f6131853-7246-4d1a-82bd-abe01cfdc037","Marchenko Multiple Elimination: From Point-Source to Plane-Wave Datasets Applications","Meles, G.A. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","Seismic images provided by reverse time migration can be contaminated by artefacts associated with the migration of multiples.
Multiples can corrupt seismic images, producing both false positives, i.e. by focusing energy at unphysical interfaces, and false negatives, i.e. by destructively interfering with primaries. Multiple-related artefacts can be dealt with via Marchenko methods, either via Green’s functions redatuming or data domain schemes (i.e., multiple prediction / primary synthesis algorithms). Data domain Marchenko methods were originally designed to operate on point source gathers, and can therefore be computationally demanding when large problems are considered. However, computationally attractive schemes operating on plane-wave datasets were also derived, by adapting Marchenko point source gathers methods to include plane-wave concepts. As a result, current Marchenko algorithms allow fully data-driven synthesis of primary reflections associated with point and plane-wave source responses. Numerical tests show that while the best images are obtained when well sampled point source gathers are processed, using few multiple-free plane-wave gathers can be used as an unexpensive and effective processing step.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-15","","","Applied Geophysics and Petrophysics","","",""
"uuid:8699fb8d-c513-4698-a270-5d708b48ab97","http://resolver.tudelft.nl/uuid:8699fb8d-c513-4698-a270-5d708b48ab97","Marchenko Multiple Elimination","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2020","Marchenko methods compute a focusing function for a receiver at the acquisition surface and a virtual source in the subsurface. Computing the focusing function requires subsurface information. The method has been modified to operate at the acquisition surface. The focusing function becomes a fundamental wave field as known since many decades. These can be computed from the up- and down-going parts of the data without any subsurface information. The up- and down-going parts can be obtained from up-down decomposition, or from up-down decomposition of the data followed by free surface multiple removal and wavelet deconvolution. The primary reflection dataset is obtained from applying the fundamental wave field to the data, or directly from the up-going part of the fundamental wave field. In the first option, the obtained primary reflections are the same as in the data, with all transmission effects and possibly the source ghost and source wavelet. In the second option, the obtained dataset is a primary reflection impulse response where the amplitudes have been compensated for transmission effects.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-15","","","Applied Geophysics and Petrophysics","","",""
"uuid:3eb663a2-be22-4142-bac8-94aff261c1be","http://resolver.tudelft.nl/uuid:3eb663a2-be22-4142-bac8-94aff261c1be","Microwave heating simulation of asphalt pavements","Wang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Microwave heating is a promising heating technology for the maintenance, recycling and deicing of pavement structures. Many experimental studies have been conducted to investigate the microwave heating properties of asphalt mixtures in the laboratory. However, very few studies investigated the application of microwave heating on asphalt pavements. This study aims to simulate microwave heating of paving materials using the finite element method. Results show that the developed three-dimensional model, which couples the physics of electromagnetic waves and heat transfer, shows a great potential for optimizing the design of microwave heating prototypes for pavement applications.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:4866e2e2-5417-4fc4-b63c-ed6c37fcba03","http://resolver.tudelft.nl/uuid:4866e2e2-5417-4fc4-b63c-ed6c37fcba03","Oxidation simulation of thin bitumen film","Apostolidis, P. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Oxidative aging is a complex phenomenon in bitumen and its fundamental understanding is needed to optimize paving materials with long-lasting characteristics. This research reports on a diffuse-reaction model for predicting the oxidation of bituminous binders over time and under different conditions. As known, the oxidation of bitumen is affected by the material chemistry, film thickness and temperature. Thus, these factors were considered in this research to simulate the oxidation of a thin bitumen film. Carbon compounds were assumed as the oxidation index of a model bitumen and analyses were performed enabling prediction of chemical compositional changes. In the future, the current model can be used to simulate the actual oxidative aging in (un)modified binders, such as epoxy modified asphalt, presented in a companion paper (Apostolidis et al., Kinetics of Epoxy-Asphalt Oxidation. AM3P).","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:a6006b83-0cb6-440b-8a38-6c6ff8920ea9","http://resolver.tudelft.nl/uuid:a6006b83-0cb6-440b-8a38-6c6ff8920ea9","Preliminary study on using lignin as aging inhibitor in bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","During oxidative aging, oxygen reacts with active molecules present in bitumen producing polar compounds, principally ketones and sulfoxides, and increasing in the portion of asphaltenes. In general, oxidation reactions in bitumen yields to change its generic chemical composition and finally its colloidal structure deteriorating the physico-mechanical properties. Lignin is a natural polymer, which has been used in this study as an aging inhibitor to bitumen. Particularly, the effect of aging on the microstructure morphology, surface properties, chemical composition and rheological changes of lignin and the impact of latter as anti-oxidant in bitumen were evaluated. For the purposes of this study, Environmental Scanning Electron Microscope, Helium Pycnometer, Dynamic Vapor Sorption devices and were used to analyze the microstructure, density and specific surface area, respectively. Moreover, Fourier Transform Infrared spectroscopy was used to track the compositional changes in lignin-modified bitumen after PAV aging. Dynamic Shear Rheometer was used to analyze the rheological properties. Overall, decreasing in the carbonyl and sulfoxide compounds were tracked in lignin-modified binders confirm that lignin act as an aging inhibitor in bitumen.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:e81050bd-7813-4df6-afe2-0a3fe83ef871","http://resolver.tudelft.nl/uuid:e81050bd-7813-4df6-afe2-0a3fe83ef871","Dissolution simulation of polymers in bitumen","Wang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Fundamental models should be developed and utilized in order to facilitate the chemo-mechanical design of modified binder systems for paving applications but not only. Especially, the fact that the incorporation of new chemical substances used as bio-based modifiers or alternative binders is attracting great interest to replace traditional technologies, the development of tools able to provide insight into the various physio-chemical phenomena is crucial. Among other polymer-bitumen interaction phenomena, the dissolution mechanism of polymers in bitumen is a significant aspect that should be considering in order to enhance binder properties through polymer modification. The current research gives emphasis on modelling the mechanism of dissolution for rubbery polymers in bitumen.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:db40b161-89d0-4848-a95a-a1ce61d499ee","http://resolver.tudelft.nl/uuid:db40b161-89d0-4848-a95a-a1ce61d499ee","A Computational Workflow for Flow and Transport in Fractured Porous Media Based on a Hierarchical Nonlinear Discrete Fracture Modeling Approach","Zhang, Wenjuan (Khalifa University of Science and Technology); Diab, Waleeb (Khalifa University of Science and Technology); Hajibeygi, H. (TU Delft Reservoir Engineering); Al Kobaisi, Mohammed (Petroleum Institute; Khalifa University of Science and Technology)","","2020","Modeling flow and transport in fractured porous media has been a topic of intensive research for a number of energy- and environment-related industries. The presence of multiscale fractures makes it an extremely challenging task to resolve accurately and efficiently the flow dynamics at both the local and global scales. To tackle this challenge, we developed a computational workflow that adopts a two-level hierarchical strategy based on fracture length partitioning. This was achieved by specifying a partition length to split the discrete fracture network (DFN) into small-scale fractures and large-scale fractures. Flow-based numerical upscaling was then employed to homogenize the small-scale fractures and the porous matrix into an equivalent/effective single medium, whereas the large-scale fractures were modeled explicitly. As the effective medium properties can be fully tensorial, the developed hierarchical framework constructed the discrete systems for the explicit fracture–matrix sub-domains using the nonlinear two-point flux approximation (NTPFA) scheme. This led to a significant reduction of grid orientation effects, thus developing a robust, applicable, and field-relevant framework. To assess the efficacy of the proposed hierarchical workflow, several numerical simulations were carried out to systematically analyze the effects of the homogenized explicit cutoff length scale, as well as the fracture length and orientation distributions. The effect of different boundary conditions, namely, the constant pressure drop boundary condition and the linear pressure boundary condition, for the numerical upscaling on the accuracy of the workflow was investigated. The results show that when the partition length is much larger than the characteristic length of the grid block, and when the DFN has a predominant orientation that is often the case in practical simulations, the workflow employing linear pressure boundary conditions for numerical upscaling give closer results to the full-model reference solutions. Our findings shed new light on the development of meaningful computational frameworks for highly fractured, heterogeneous geological media where fractures are present at multiple scales.","Discrete fracture network (DFN); Embedded discrete fracture–matrix (EDFM); Fractures; Hierarchical modeling; Nonlinear two-point flux approximation (NTPFA); Simulation; Upscaling","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:5dab476f-a7ed-4a4a-b4f9-e2bef4c10768","http://resolver.tudelft.nl/uuid:5dab476f-a7ed-4a4a-b4f9-e2bef4c10768","On Social Involvement in Mingling Scenarios: Detecting Associates of F-formations in Still Images","Zhang, L. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2020","In this paper, we carry out an extensive study of social involvement in free standing conversing groups (the so-called F-formations) from static images. By introducing a novel feature representation, we show that the standard features which have been used to represent full membership in an F-formation cannot be applied to the detection of so-called associates of F-formations due to their sparser nature. We also enrich state-of-The-Art F-formation modelling by learning a frustum of attention that accounts for the spatial context. That is, F-formation configurations vary with respect to the arrangement of furniture and the non-uniform crowdedness in the space during mingling scenarios. Moroever, the majority of prior works have considered the labelling of conversing groups as an objective task, requiring only a single annotator. However, we show that by embracing the subjectivity of social involvement, we not only generate a richer model of the social interactions in a scene but can use the detected associates to improve initial estimates of the full members of an F-formation. We carry out extensive experimental validation of our proposed approach by collecting a novel set of multi-Annotator labels of involvement on two publicly available datasets; The Idiap Poster Data and SALSA data set. Moreover, we show that parameters learned from the Idiap Poster Data can be transferred to the SALSA data, showing the power of our proposed representation in generalising over new unseen data from a different environment.","F-formations detection; human behaviour analysis; social group detection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-08","","","Pattern Recognition and Bioinformatics","","",""
"uuid:f2c7f6f3-9063-480b-88d8-3ff6bbcbffec","http://resolver.tudelft.nl/uuid:f2c7f6f3-9063-480b-88d8-3ff6bbcbffec","Degradation of optical materials in solid-state lighting systems","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); Bahrami, A. (Isfahan University of Technology); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Fan, X.J. (Lamar University); Davis, J.L. (RTI International); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","In this paper, degradation mechanisms of optical materials, used in the light emitting diode (LED)-based products, are reviewed. The LED lighting is one of the fastest technology shifts in human history. Lighting accounts for almost 20% of the global electrical energy use, inferring that replacement of traditional lighting sources with LEDs with higher efficiencies will have major positive implications for the global energy consumption. Organic optical materials are key components in LEDs in the sense that they control the functionality of the device and they have decisive effects on the durability and reliability of LEDs. This paper aims at describing the influences of chemical structure and service conditions on the degradation mechanisms of organic optical materials in LEDs which lead to the lumen depreciation, discolouration, and colour shift of the LED light output. The contributions of different degradation mechanisms of optical and package materials in LED-based products to the lumen depreciation and colour shift are methodically reviewed.","colour maintenance; discolouration; Light emitting diodes (LEDs); lumen depreciation; material degradation; optical materials","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:5a9e62e2-add6-42b4-ad47-159bd43594d3","http://resolver.tudelft.nl/uuid:5a9e62e2-add6-42b4-ad47-159bd43594d3","Recent advances in 2D/nanostructured metal sulfide-based gas sensors: Mechanisms, applications, and perspectives","Tang, H. (TU Delft Electronic Components, Technology and Materials); Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Shenzhen Institute of Wide-bandgap Semiconductors; Southern University of Science and Technology); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","2D and nanostructured metal sulfide materials are promising in the advancement of several gas sensing applications due to the abundant choice of materials with easily tunable electronic, optical, physical, and chemical properties. These applications are particularly attractive for gas sensing in environmental monitoring and breath analysis. This review gives a systematic description of various gas sensors based on 2D and nanostructured metal sulfide materials. Firstly, the crystal structures of metal sulfides are introduced. Secondly, the gas sensing mechanisms of different metal sulfides based on density functional theory analysis are summarised. Various gas-sensing concepts of metal sulfide-based devices, including chemiresistors, functionalized metal sulfides, Schottky junctions, heterojunctions, field-effect transistors, and optical and surface acoustic wave sensors, are compared and presented. It then discusses the extensive applications of metal sulfide-based sensors for different gas molecules, including volatile organic compounds (i.e., acetone, benzene, methane, formaldehyde, ethanol, and liquefied petroleum gas) and inorganic gas (i.e., CO2, O2, NH3, H2S, SO2, NOx, CH4, H2, and humidity). Finally, a strengths-weaknesses-opportunities-threats (SWOT) analysis is proposed for future development and commercialization in this field. This journal is","","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a765f3b2-ea4b-46a1-9440-8727f0f0d0a2","http://resolver.tudelft.nl/uuid:a765f3b2-ea4b-46a1-9440-8727f0f0d0a2","Thermal kinetic and mechanical behaviors of pressure-assisted Cu nanoparticles sintering: A molecular dynamics study","Hu, D. (TU Delft Electronic Components, Technology and Materials); Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","A molecular dynamics (MD) simulation was performed on the coalescence kinetics and mechanical behavior of the pressure-assisted Cu nanoparticles (NPs) sintering at low temperature. To investigate the effects of sintering pressure and temperature on the coalescence of the nanoparticles, sintering simulations of two halve Cu NPs were conducted at the pressure of 0–300 MPa and the temperature of 300–500 K. A transition of the dominant coalescence kinetics from slight surface diffusion to intensive grain boundary diffusion and dislocation driven plastic flows were found when pressure was applied. Furthermore, atomic trajectories showed the effect of temperature on sintering was strongly dependent on the microstructures of Cu NPs. The atomic diffusion around defects can be significantly promoted by the elevated temperature. Additionally, based on the sintered structures, uniaxial tension simulation was implemented with a constant strain rate. Stress–strain curves and evolution of dislocation activities were derived. Improved mechanical behaviors, including larger elastic modulus and larger tensile strength, were obtained in the structure sintered under higher pressure and temperature. Among this study, sintering temperature and pressure consistently exhibited the same relative impact on affecting both coalescence and the mechanical properties of the sintered structure.","Cu nanoparticle; Mechanical property; Molecular dynamics simulation; Pressure-assisted sintering","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:81254a41-203c-4539-bf81-a068f26dc494","http://resolver.tudelft.nl/uuid:81254a41-203c-4539-bf81-a068f26dc494","Multi-GNSS processing, positioning and applications","Odolinski, R. (University of Otago); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University); Zhang, B. (Chinese Academy of Sciences)","","2020","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-20","","","Mathematical Geodesy and Positioning","","",""
"uuid:4e11d2c6-fdac-476e-89c9-b4ae20ca0019","http://resolver.tudelft.nl/uuid:4e11d2c6-fdac-476e-89c9-b4ae20ca0019","A CNN-LSTM Method for the Morphology Evolution Prediction of Beach Mega-Nourishment","Li, Yong (Hohai University); van Oosterom, P.J.M. (TU Delft GIS Technologie); Ge, Ying (Hohai University); Zhang, Xiaoxiang (Hohai University); Baart, F. (Deltares)","","2020","Sand nourishment is widely adopted as an effective soft approach to provide long-term coastal safety, protect the ecology environment, and promote tourism and recreation. With the increase in frequency and expenses in beach nourishment worldwide, an adequate prediction of morphology evolution is greatly desired for coastline management. Based on detailed monitoring data of the mega-nourishment Sand Engine, this article integrates a convolutional neural network (CNN) and long short-term memory (LSTM) to predict the nourishment morphology evolution. The historical surveyed data are transformed into sequence grids, which are input into the CNN to obtain the spatial features of beach nourishment. The CNN is constructed by performing the convolutional and pooling operation on the historical data, which can extract actual spatial features and reduce network complexity. The output of the CNN is input to LSTM to learn the temporal relationship to predict future nourishment terrain using past time-series features. Finally, the LSTM output is decoded by the fully connected layer to obtain the prediction result. The complex spatiotemporal correlations among the input data are identified through effective training of the proposed model. The major contribution of this article is to propose a data-driven model that combines CNN and LSTM for the morphology evolution prediction of beach nourishment, and validate the effectiveness of the proposed model by comparing with the performances of other popular methods in predicting the nourishment changes.","Convolutional neural network; Long short-term memory; Mega-nourishment; Nourishment morphology evolution prediction","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:532fadc8-f135-44e4-b8b8-a711db2c77f5","http://resolver.tudelft.nl/uuid:532fadc8-f135-44e4-b8b8-a711db2c77f5","Modified Voronoi Analysis of Spontaneous Formation of Interfacial Droplets on Immersed Oil-Solid Substrates","Zhang, Ran (Tsinghua University); Mei, Ran Andy (Tsinghua University); Botto, L. (TU Delft Energy Technology); Yang, Zhongqiang (Tsinghua University)","","2020","The nucleation and growth of liquid droplets on solid substrates have received much attention because of the significant relevance of these multiphase processes to both nature and practical applications. There have been extensive studies on the condensation of water from the air phase on solid substrates. Here, we focus on water diffusion through the oil phase and subsequent settlement on solid substrates because such interfacial droplets are formed. Voronoi diagram analysis is proposed to statistically characterize the size distribution of the growing droplets. It is found that modification of the standard Voronoi diagram is required for systems of interfacial droplets which have a noncircular shape and/or whose centers change with time. The modified Voronoi analysis of the growing droplets provides an automatic quantification of the droplet distribution and reveals that (i) during the nucleation stage, the interfacial droplets do not nucleate at the same time because the nucleation of newly formed droplets competes with the growth of the existing ones; (ii) the growth of interfacial droplets comes from water diffusion from the bulk water layer, and/or from adjacent interfacial droplets, and/or from coalescence of interfacial droplets; and (iii) the sizes of interfacial droplets become more polydispersed on P-glass but more monodispersed on OTS-glass as time goes. This work opens a new perspective on the formation of interfacial droplets at the interface between oil and the solid substrate and demonstrates the capability of an automatic analysis method, which can be potentially applied to similar interfacial multiphase systems.","Lipids; Hydrophilicity; Liquids; Diffusion; Layers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-10-26","","","Energy Technology","","",""
"uuid:adef0efa-20d7-4f48-a8ee-fce4d1474af9","http://resolver.tudelft.nl/uuid:adef0efa-20d7-4f48-a8ee-fce4d1474af9","Experimentally validated meso-scale fracture modelling of mortar using output from micromechanical models","Zhang, H. (TU Delft Materials and Environment; Shandong University); Xu, Y. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2020","This paper presents a validation process of the developed multi-scale modelling scheme on mortar composites. Special attention was paid to make the material structure of real and virtual mortar specimens comparable at the meso-scale. The input mechanical parameters of cement paste (both bulk cement paste and interfacial transition zone) at the meso-scale were derived from results of micromechanical modelling through a volume averaging approach. Two constitutive relations for local elements were assumed and tested. By comparing with the experiments, the model using linear-elastic constitutive relation showed to be capable to reproduce the experimental load-displacement response satisfactorily in terms of the elastic stage and peak load. However, in the non-elastic stage a more realistic load-displacement curve can be simulated by considering the softening of cement paste using a step-wise approach. More importantly, the proposed multi-scale modelling scheme is validated by the experimental measurements. The proposed development offers the opportunity for the meso-scale model to become fully predictive.","Discrete lattice model; Fracture behaviour; Mortar; Multi-scale modelling scheme","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:98421cb4-4e68-4b45-872d-833cddbfd381","http://resolver.tudelft.nl/uuid:98421cb4-4e68-4b45-872d-833cddbfd381","Magnon Accumulation in Chirally Coupled Magnets","Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Zhang, Yu Xiang (Aarhus University); Sharma, S. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Tohoku University; Kavli institute of nanoscience Delft)","","2020","We report strong chiral coupling between magnons and photons in microwave waveguides that contain chains of small magnets on special lines. Large magnon accumulations at one edge of the chain emerge when exciting the magnets by a phased antenna array. This mechanism holds the promise of new functionalities in nonlinear and quantum magnonics.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:06ccdfb2-6ff0-4503-9a02-83aa05059131","http://resolver.tudelft.nl/uuid:06ccdfb2-6ff0-4503-9a02-83aa05059131","Cementitious cellular composites with auxetic behavior","Xu, Y. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Šavija, B. (TU Delft Materials and Environment)","","2020","Auxetic behavior refers to material with negative Poisson's ratio. In this research, a new type of cementitious auxetic material is developed. A novel crack bridging auxetic mechanism is discovered which is in contrast with a local buckling mechanism commonly employed to trigger auxetic behavior. Taking advantage of 3D printing techniques, cementitious cellular composite (CCC) specimens with auxetic cellular structures were produced. Meanwhile, cementitious materials with different fiber content were used as constituent material. Uniaxial compression and cyclic loading tests were performed on the CCCs. Experiments show that with proper constituent material, CCCs can exhibit auxetic behavior which is induced by crack bridging process of the cementitious constituent material. In addition, strain hardening behavior can be identified in the stress-strain curve under uniaxial compression and consequently high specific energy absorption is obtained. Furthermore, 2.5% of reversible deformation which is significantly higher than conventional cementitious materials under cyclic loading is obtained within 25,000 cycles. Obvious fatigue damage is observed in the first 3000 cycles, afterwards signs of mechanical properties recovering can be found. The discovered auxetic mechanism indicates a new designing direction for brittle materials to achieve auxetic behaviors.","Auxetic material; Cementitious material; Cyclic loading; Negative Poisson's ratio","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8286488d-4bd6-4ccf-8829-ca9459765ecb","http://resolver.tudelft.nl/uuid:8286488d-4bd6-4ccf-8829-ca9459765ecb","Lattice Fracture Model for Concrete Fracture Revisited: Calibration and Validation","Chang, Z. (TU Delft Materials and Environment); Zhang, Hongzhi (Shandong University); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2020","The lattice fracture model is a discrete model that can simulate the fracture process of cementitious materials. In this work, the Delft lattice fracture model is reviewed and utilized for fracture analysis. First, a systematic calibration procedure that relies on the combination of two uniaxial tensile tests is proposed to determine the input parameters of lattice elements—tensile strength, compressive strength and elastic modulus. The procedure is then validated by simulating concrete fracture under complex loading and boundary conditions: Uniaxial compression, three-point bending, tensile splitting, and double-edge-notch beam shear. Simulation results are compared to experimental findings in all cases. The focus of this publication is therefore not only on summarizing existing knowledge and showing the capabilities of the lattice fracture model; but also to fill in an important gap in the field of lattice modeling of concrete fracture; namely, to provide a recommendation for a systematic model calibration using experimental data. Through this research, numerical analyses are performed to fully understand the failure mechanisms of cementitious materials under various loading and boundary conditions. While the model presented herein does not aim to completely reproduce the load-displacement curves, and due to its simplicity results in relatively brittle post-peak behavior, possible solutions for this issue are also discussed in this work.","Concrete; Fracture process; Lattice fracture model; Size effect; Slenderness","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:62e7c5f5-ed13-42bd-9c7f-aa9234043745","http://resolver.tudelft.nl/uuid:62e7c5f5-ed13-42bd-9c7f-aa9234043745","An Improved Deadbeat Predictive Current Control with Online Parameter Identification for Surface-Mounted PMSMs","Yao, Yu (Southeast University); Huang, Yunkai (Southeast University); Peng, Fei (Southeast University); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Zhang, Hanqi (Southeast University)","","2020","In this article, an improved deadbeat predictive current control (DPCC) method with parameters identification for surface-mounted permanent magnet synchronous machines (SPMSMs) is proposed. With the proposed DPCC method, zero steady-state current error and deadbeat dynamic current response could be achieved, even with inaccurate initial motor parameters. On basis of the conventional DPCC method, a novel parameters identification for the stator resistance and inductance is developed, which is the main contribution of this article. The proposed parameters identification method works based on a reconstructed characteristic vector from the disturbance observer with current injection. Compared with traditional recursive-least-square methods, the proposed method can be implemented with greatly reduced computation burden. Additionally, since the design is established based on the fully discretized model, the effectiveness will be guaranteed on both low-frequency and high-frequency motors, which is a significant advantage of the proposed method.","disturbance observer; DPCC; parameters identification; SPMSM","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:981cdc71-8cb0-4c7a-85bb-871456942add","http://resolver.tudelft.nl/uuid:981cdc71-8cb0-4c7a-85bb-871456942add","A fast algorithm for multiple elimination and transmission compensation in primary reflections","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","The transmission compensated primary reflections can be obtained from the single-sided acoustic reflection response in the two-way traveltime domain. This is achieved by eliminating free-surface and internal multiple reflections and compensating for transmission losses in primary reflections without model information. The substantial computational cost of the proposed scheme can be reduced by an order of magnitude with a fast implementation version. This is achieved by using the previously computed filter functions as initial estimate for every new truncation time value. We evaluate the success of the scheme with simple and complex 2-D numerical examples. We find that the scheme has excellent performance in most cases, except for the case where strong reflectors are present. In such case, the current scheme suffers from lack of convergence.","Controlled source seismology; Wave scattering and diffraction","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:507c89b6-10e4-41d5-8b76-cf977b7bb5a7","http://resolver.tudelft.nl/uuid:507c89b6-10e4-41d5-8b76-cf977b7bb5a7","Marchenko multiple elimination of a laboratory example","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","The Marchenko multiple elimination (MME) scheme is derived from the coupled Marchenko equations. It is proposed for filtering primary reflections with two-way traveltime from the measured acoustic data. The measured acoustic reflection data are used as its own filter and no model information or adaptive subtraction is required to apply the method. The data obtained after MME are better suited for velocity model construction and artefact-free migration than the measured data. We apply the MME scheme to a measured laboratory data set to evaluate the success of the method. The results suggest that the MME scheme can be the appropriate choice when high-quality pre-processing is performed successfully.","Controlled source seismology; multiple reflection elimination; wave scattering","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:69c83e64-14fe-4d48-b4ec-726bd54f546b","http://resolver.tudelft.nl/uuid:69c83e64-14fe-4d48-b4ec-726bd54f546b","Monitoring Shear Behavior of Prestressed Concrete Bridge Girders Using Acoustic Emission and Digital Image Correlation","Zhang, F. (TU Delft Concrete Structures); Zarate Garnica, G.I. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Sliedrecht, Henk (Rijkswaterstaat)","","2020","In the Netherlands, many prestressed concrete bridge girders are found to have insufficient shear–tension capacity. We tested four girders taken from a demolished bridge and instrumented these with traditional displacement sensors and acoustic emission (AE) sensors, and used cameras for digital image correlation (DIC). The results show that AE can detect cracking before the traditional displacement sensors, and DIC can identify the cracks with detailed crack kinematics. Both AE and DIC methods provide additional information for the structural analysis, as compared to the conventional measurements: more accurate cracking load, the contribution of aggregate interlock, and the angle of the compression field. These results suggest that both AE and DIC are suitable options that warrant further research on their use in lab tests and field testing of prestressed bridges.","Acoustic emission measurements; Crack identification; Cracking; Digital image correlation; Prestressed concrete bridge girders; Shear","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:8fc940b2-c005-47c5-8d9c-46160f7ddc32","http://resolver.tudelft.nl/uuid:8fc940b2-c005-47c5-8d9c-46160f7ddc32","Implementation of General Coupling Model of Electromigration in ANSYS","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor); Torres, H. (editor)","2020","In this paper, a recently developed theory - general coupling model of electromigration, is implemented in ANSYS. We first identify several errors provided in ANSYS manual for electromigration modeling. Then the general coupling model is implemented in ANSYS and the detailed description is presented. Finally, a 1-D confined metal line with a perfectly blocking condition is presented as a benchmark problem, in which the finite element solutions are in excellent agreement with the analytical solutions.","electromigration; finite element analysis; general coupling theory; multiphysics modeling","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0afee5a5-cfa8-47bc-88db-180dfdfead1e","http://resolver.tudelft.nl/uuid:0afee5a5-cfa8-47bc-88db-180dfdfead1e","Wafer Scale Flexible Interconnect Transfer for Hetrogeneous Integration","Liu, Pan (Fudan University); Li, J. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2020","A polymer-based wafer level integration technology suitable for miniaturized and multi-functional systems integration was developed and demonstrated in this work. Wafer scale flexible interconnects were firstly fabricated on one wafer, and then transferred to another wafer. Such transfer process involved wafer bonding and application of sacrificial materials. A sacrificial layer was firstly placed on the surface of the transfer wafer, and the sandwich interconnect structures were then manufactured on top of the sacrificial layer. With the help of the sacrificial layer, the flexible interconnects were transferred to another wafer through wafer bonding process. Contact resistance structures were fabricated with the help of wafer bonding process, connecting and aligning metal contact layer on device wafer and metal layer embedded in transferred flexible interconnects. Such transferred contact resistance was measured through designed testing structures as a demo for wafer level heterogeneous integration.","flexible interconnect; heterogeneous integration; transferred interconnect","en","conference paper","IEEE","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0c777ac4-f882-4841-a1a2-a08155aaf74e","http://resolver.tudelft.nl/uuid:0c777ac4-f882-4841-a1a2-a08155aaf74e","A -107.8 dB THD+N Low-EMI Multi-Level Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Instrumentation); Karmakar, S. (TU Delft Electronic Instrumentation); Breems, Lucien (NXP Semiconductors); Sandifort, Quino (NXP Semiconductors); Berkhout, M. (NXP Semiconductors); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Instrumentation)","","2020","This paper describes a class-D audio amplifier with a multilevel output stage that reduces both EMI and idle power. High loop gain, and thus high linearity, are enabled by a relatively high (4.2 MHz) switching frequency, which relaxes the requirements on its output LC filter. Fabricated in a 180nm BCD technology, it can drive 14 W into an 8-Ω load with state-of-the-art performance: -107.8 dB THD+N, 91% peak efficiency, and 7 mA quiescent current. It meets the CISPR 25 Class 5 radiated emission standard with a low-cost 580 kHz LC filter, improving the state-of-the-art by 5.8x.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-25","","Microelectronics","Electronic Instrumentation","","",""
"uuid:580a2bfa-36fe-4f57-966d-35d0c3ec640c","http://resolver.tudelft.nl/uuid:580a2bfa-36fe-4f57-966d-35d0c3ec640c","Low-Complexity Gridless 2D Harmonic Retrieval via Decoupled-ANM Covariance Reconstruction","Zhang, Yu (Nanjing University of Aeronautics and Astronautics); Wang, Yue (George Mason University); Tian, Zhi (George Mason University); Leus, G.J.T. (TU Delft Signal Processing Systems); Zhang, Gong (Nanjing University of Aeronautics and Astronautics)","","2020","This paper aims at developing low-complexity solutions for super-resolution two-dimensional (2D) harmonic retrieval via covariance reconstruction. Given the collected sample covariance, a novel gridless compressed sensing approach is designed based on the atomic norm minimization (ANM) technique. The key is to perform a redundancy reduction (RR) transformation that effectively reduces the large problem size at hand, without loss of useful frequency information. For uncorrelated sources, the transformed 2D covariance matrices in the RR domain retain a salient structure, which permits a sparse representation over a matrix-form atom set with decoupled 1D frequency components. Accordingly, the decoupled ANM (DANM) framework can be applied for super-resolution 2D frequency estimation, at low computational complexity on the same order of the 1D case. An analysis of the complexity reduction of the proposed RR-D-ANM compared with benchmark methods is provided as well, which is verified by our simulation results","2D harmonic retrieval; Covariance reconstruction; D-ANM; Low complexity; RR transformation","en","conference paper","Eurasip","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-29","","","Signal Processing Systems","","",""
"uuid:ba33cb44-6547-401d-b1a2-1cb0a83a0221","http://resolver.tudelft.nl/uuid:ba33cb44-6547-401d-b1a2-1cb0a83a0221","Impedance Spectroscopy for Enhanced Data Collection of Conductometric Soot Sensors","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Ghaderi, Mohammadamir (Chalmers University of Technology); Bilby, David (Ford Motor Company); Visser, J.H. (Ford Motor Company); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Wolffenbuttel, R.F. (Chalmers University of Technology)","","2020","Impedance spectroscopy in the frequency range 100 Hz to 10 kHz has been applied to the Inter-Digitated Electrode (IDE) structure that is conventionally operated as a resistive sensor for the measurement of Particulate Matter (PM). The measurement of both the in-phase (resistive) and out-of-phase (capacitive) components of the impedance over this frequency range provides more data on PM as compared to DC resistance measurement only. Experimental validation confirms a more gradual change in capacitance with soot buildup as compared to the sudden reduction of resistance with dendrite formation. The effect of an additional vertical electric field for an increased capacitive sensitivity due to stimulated soot buildup has been experimentally investigated using the electrically conductive flow housing of the IDE structure as an additional suspended electrode.","Particulate matter sensing; exhaust gas after-treatment; impedance spectroscopy; on-board diagnostics; resistive soot sensor","en","conference paper","IEEE","","","","","Virtual/online event due to COVID-19","","","","","Electronic Components, Technology and Materials","","",""
"uuid:494e8519-2e5e-4951-bff7-12d8c4888441","http://resolver.tudelft.nl/uuid:494e8519-2e5e-4951-bff7-12d8c4888441","Low power AlGaN/GaN MEMS pressure sensor for high vacuum application","Sun, J. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","A micro-scale pressure sensor based on suspended AlGaN/GaN heterostructure is reported with non-linear sensitivity. By sealing the cavity, vacuum sensing at various temperatures was demonstrated. To validate the proposed concept of the AlGaN/GaN vacuum sensor, a 700 µm diameter circular membrane was electrically characterized under applied static and dynamic pressures at various temperatures ranging from 25 °C to 100 °C. The current change of the AlGaN/GaN heterostructure increased as the vacuum and temperature increases due to the increase of 2DEG density by tensile strain. The dynamic current change from 96 kPa down to 10 Pa of AlGaN/GaN heterostructure pressure sensor was 18.75 % at 100 °C. The maximum sensitivity reached 22.8 %/kPa with a power consumption of 1.8 µW. These results suggest that suspended AlGaN/GaN heterostructures are promising for high vacuum and high-temperature sensing applications.","AlGaN/GaN; MEMS; Pressure sensor; Vacuum","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-26","","","Electronic Components, Technology and Materials","","",""
"uuid:5419ac83-1a9f-45d6-9e03-925aa91ec986","http://resolver.tudelft.nl/uuid:5419ac83-1a9f-45d6-9e03-925aa91ec986","Suppression of Mainbeam Deceptive Jammer with FDA-MIMO Radar","Lan, Lan (Xidian University); Xu, Jingwei (Xidian University); Liao, Guisheng (Xidian University); Zhang, Yuhong (Xidian University); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Cheung So, Hing (City University of Hong Kong)","","2020","Suppression of radar-to-radar jammers, especially the mainbeam jammers, has been an urgent demand in vehicular sensing systems with the expected increased number of vehicles equipped with radar systems. This paper deals with the suppression of mainbeam deceptive jammers with frequency diverse array (FDA)-multiple-input multiple-output (MIMO) radar, utilizing its extra degrees-of-freedom (DOFS) in the range domain. At the modelling stage, false targets, which lag several pulses behind the true target, are considered as a typical form of mainbeam jammers. To this end the data-independent beamforming is performed to suppress false targets by nulling at the equivalent transmit beampattern with an appropriate frequency increment. However, the suppression performance degrades in the presence of transmit spatial frequency mismatch, which could be induced by quantization errors, angle estimation errors and frequency increment errors. To solve this problem, a preset broadened nulling beamformer (PBN-BF) is proposed by placing artificial interferences with appropriate powers around the nulls of the equivalent transmit beampattern. In such a way, effective suppression of deceptive jammer can be guaranteed owing to the broadened notches. At the analysis stage, numerical results in a scenario with multiple unmanned aerial vehicles (UAVs) are provided to illustrate the effectiveness of the devised data-independent BF, and the signal-to-interference-plus-noise ratio is improved compared with the conventional data-independent BF.","FDA-MIMO radar; Mainbeam deceptive jammer suppression; artificial interference; data-independent beamforming; joint transmit-receive spatial frequency; unmanned aerial vehicles (UAVs)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-02-06","","","Microwave Sensing, Signals & Systems","","",""
"uuid:f15dbaed-d186-4b4f-8a75-4bcf67c13317","http://resolver.tudelft.nl/uuid:f15dbaed-d186-4b4f-8a75-4bcf67c13317","DeepTC-Enhancer: Improving the Readability of Automatically Generated Tests","Roy, Devjeet (Washington State University Pullman); Zhang, Ziyi (Washington State University Pullman); Ma, Maggie (Infra Supply Chain and Automation); Arnaoudova, Venera (Washington State University Pullman); Panichella, A. (TU Delft Software Engineering); Panichella, Sebastiano (Zurich University of Applied Science (ZHAW)); Gonzalez, Danielle (Rochester Institute of Technology); Mirakhorli, Mehdi (Rochester Institute of Technology)","","2020","Automated test case generation tools have been successfully proposed to reduce the amount of human and infrastructure resources required to write and run test cases. However, recent studies demonstrate that the readability of generated tests is very limited due to (i) uninformative identifiers and (ii) lack of proper documentation. Prior studies proposed techniques to improve test readability by either generating natural language summaries or meaningful methods names. While these approaches are shown to improve test readability, they are also affected by two limitations: (1) generated summaries are often perceived as too verbose and redundant by developers, and (2) readable tests require both proper method names but also meaningful identifiers (within-method readability). In this work, we combine template based methods and Deep Learning (DL) approaches to automatically generate test case scenarios (elicited from natural language patterns of test case statements) as well as to train DL models on path-based representations of source code to generate meaningful identifier names. Our approach, called DeepTC-Enhancer, recommends documentation and identifier names with the ultimate goal of enhancing readability of automatically generated test cases. An empirical evaluation with 36 external and internal developers shows that (1) DeepTC-Enhancer outperforms significantly the baseline approach for generating summaries and performs equally with the baseline approach for test case renaming, (2) the transformation proposed by DeepTC-Enhancer results in a significant increase in readability of automatically generated test cases, and (3) there is a significant difference in the feature preferences between external and internal developers.","deep learning; empirical study; evolution; maintenance; program comprehension; software testing; test case generation","en","conference paper","IEEE / ACM","","","","","Accepted author manuscript","","","","","Software Engineering","","",""
"uuid:1f17be51-aa3d-401a-90f1-d3ad58e9bc2a","http://resolver.tudelft.nl/uuid:1f17be51-aa3d-401a-90f1-d3ad58e9bc2a","Recent advances in the critical heat flux amelioration of pool boiling surfaces using metal oxide nanoparticle deposition","Moghadasi, Hesam (Iran University of Science and Technology); Malekian, Navid (Iran University of Science and Technology); Saffari, Hamid (Iran University of Science and Technology); Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","Pool boiling is an effective heat transfer process in a wide range of applications related to energy conversion, including power generation, solar collectors, cooling systems, refrigeration and air conditioning. By considering the broad range of applications, any improvement in higher heat-removal yield can ameliorate the ultimate heat usage and delay or even avoid the occurrence of system failures, thus leading to remarkable economic, environmental and energy efficiency outcomes. A century of research on ameliorating critical heat flux (CHF) has focused on altering the boiling surface characteristics, such as its nucleation site density, wettability, wickability and heat transfer area, by many innovative techniques. Due to the remarkable interest of using nanoparticle deposition on boiling surfaces, this review is targeted towards investigating whether or not metal oxide nanoparticles can modify surface characteristics to enhance the CHF. The influence of nanoparticle material, thermo-physical properties, concentration, shape, and size are categorized, and the inconsistency or contradictions of the existing research results are recognized. In the following, nanoparticle deposition methods are presented to provide a worthwhile alternative to deposition rather than nanofluid boiling. Furthermore, possible mechanisms and models are identified to explain the amelioration results. Finally, the present status of nanoparticle deposition for CHF amelioration, along with their future challenges, amelioration potentials, limitations, and their possible industrial implementation, is discussed.","Critical heat flux; Energy conversion; Metal oxide; Nanoparticle deposition; Pool boiling","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a14aacc4-2efd-41d7-861b-453951e1dba1","http://resolver.tudelft.nl/uuid:a14aacc4-2efd-41d7-861b-453951e1dba1","Alpha-ketoglutarate utilization in Saccharomyces cerevisiae: transport, compartmentation and catabolism","Zhang, J. (TU Delft OLD BT/Cell Systems Engineering); van den Herik, Bas Mees (Student TU Delft); Wahl, S.A. (TU Delft OLD BT/Cell Systems Engineering)","","2020","α-Ketoglutarate (αKG) is a metabolite of the tricarboxylic acid cycle, important for biomass synthesis and a precursor for biotechnological products like 1,4-butanediol. In the eukaryote Saccharomyces cerevisiae αKG is present in different compartments. Compartmentation and (intra-)cellular transport could interfere with heterologous product pathways, generate futile cycles and reduce product yields. Batch and chemostat cultivations at low pH (≤ 5) showed that αKG can be transported, catabolized and used for biomass synthesis. The uptake mechanism of αKG was further investigated under αKG limited chemostat conditions at different pH (3, 4, 5, and 6). At very low pH (3, 4) there is a fraction of undissociated αKG that could diffuse over the periplasmic membrane. At pH 5 this fraction is very low, and the observed growth and residual concentration requires a permease/facilitated uptake mechanism of the mono-dissociated form of αKG. Consumption of αKG under mixed substrate conditions was only observed for low glucose concentrations in chemostat cultivations, suggesting that the putative αKG transporter is repressed by glucose. Fully 13C-labeled αKG was introduced as a tracer during a glucose/αKG co-feeding chemostat to trace αKG transport and utilization. The measured 13C enrichments suggest the major part of the consumed 13C αKG was used for the synthesis of glutamate, and the remainder was transported into the mitochondria and fully oxidized. There was no enrichment observed in glycolytic intermediates, suggesting that there was no gluconeogenic activity under the co-feeding conditions. 13C based flux analysis suggests that the intracellular transport is bi-directional, i.e. there is a fast exchange between the cytosol and mitochondria. The model further estimates that most intracellular αKG (88%) was present in the cytosol. Using literature reported volume fractions, the mitochondria/cytosol concentration ratio was 1.33. Such ratio will not require energy investment for transport towards the mitochondria (based on thermodynamic driving forces calculated with literature pH values). Growth on αKG as sole carbon source was observed, suggesting that S. cerevisiae is not fully Krebs-negative. Using 13C tracing and modelling the intracellular use of αKG under co-feeding conditions showed a link with biomass synthesis, transport into the mitochondria and catabolism. For the engineering of strains that use cytosolic αKG as precursor, both observed sinks should be minimized to increase the putative yields.","","en","journal article","","","","","","","","","","","OLD BT/Cell Systems Engineering","","",""
"uuid:7f0ae54b-520f-43b7-84a1-839559423792","http://resolver.tudelft.nl/uuid:7f0ae54b-520f-43b7-84a1-839559423792","Hypericin: Source, Determination, Separation, and Properties","Zhang, Jie (Chongqing Technology and Business University); Gao, Ling (Chongqing Technology and Business University); Hu, Jie (Chongqing Technology and Business University); Wang, Chongjun (Chongqing Technology and Business University); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Li, Ning (Chongqing Technology and Business University); Zhou, Xing (Chongqing Academy of Chinese Materia Medica, Chongqing)","","2020","Hypericin is a naturally occurring compound synthesized by certain species of the genus Hypericum, with various pharmacological effects. It is used as a natural photosensitizing agent with great potential in photodynamic therapy. This review discusses the latest results about the biosynthetic pathways and chemical synthetic routes to obtain hypericin. Although many analysis methods can be used for the determination of hypericin purity, HPLC has become the method of choice due to its fast and sensitive analyses. The extraction and purification of hypericin are also described. Hypericin can be used as a photosensitizer due to a large and active π-electron conjugated system in its structure. Medical applications of hypericin are not easy due to several unsolved practical problems, which include hypericin phototoxicity, poor solubility in water, and extreme sensitivity to light, heat, and pH.","extraction; Hypericin; photosensitivity; solubility; stability; synthesis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:6cf780e9-b4be-4c7e-84a9-0dda762027c6","http://resolver.tudelft.nl/uuid:6cf780e9-b4be-4c7e-84a9-0dda762027c6","Exploiting Color Sensors to Provide Optimal Lighting and Anonymous Tracking in Stores","Zhang, Ruiling (Student TU Delft); Zuniga, Marco (TU Delft Embedded Systems); Jelicic, Vana (Tridonic GmbH & Co KG); Siegel, Martin (Tridonic GmbH & Co KG)","","2020","To compete with online shopping, retailers are constantly looking for ways to improve the display of their products and to track customers to obtain shopping patterns. We propose a general framework that exploits simple color sensors on ceilings to tackle the above-mentioned challenges. Our first contribution is a tunable lighting system that estimates accurately the true color of a product, and then, adjusts automatically the type of lighting to increase the product's appeal. Based on this accurate estimation of color, our second contribution is a system to track people anonymously. Relying solely on the reflections coming from people's clothes, hair and skin, we use color sensors to generate unique optical signatures for individuals. Our evaluation shows that, in spite of the limited information provided by color sensors, the optical signatures are precise enough to differentiate people with very similar appearance except for some minor differences in their clothing.","Indoor Tracking; Tunable lighting; Visible light","en","conference paper","IEEE","","","","","","","","","","Embedded Systems","","",""
"uuid:8ea058a9-a947-43e4-a50d-efaf5f04f15b","http://resolver.tudelft.nl/uuid:8ea058a9-a947-43e4-a50d-efaf5f04f15b","Visualization of point cloud models in mobile augmented reality using continuous level of detail method","Zhang, L. (TU Delft Safety and Security Science); van Oosterom, P.J.M. (TU Delft GIS Technologie); Liu, H. (TU Delft GIS Technologie)","","2020","Point clouds have become one of the most popular sources of data in geospatial fields due to their availability and flexibility. However, because of the large amount of data and the limited resources of mobile devices, the use of point clouds in mobile Augmented Reality applications is still quite limited. Many current mobile AR applications of point clouds lack fluent interactions with users. In our paper, a cLoD (continuous level-of-detail) method is introduced to filter the number of points to be rendered considerably, together with an adaptive point size rendering strategy, thus improve the rendering performance and remove visual artifacts of mobile AR point cloud applications. Our method uses a cLoD model that has an ideal distribution over LoDs, with which can remove unnecessary points without sudden changes in density as present in the commonly used discrete level-of-detail approaches. Besides, camera position, orientation and distance from the camera to point cloud model is taken into consideration as well. With our method, good interactive visualization of point clouds can be realized in the mobile AR environment, with both nice visual quality and proper resource consumption.","Point Cloud; Augmented Reality; Continuous Level of Detail","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:2432d51e-026c-4b76-a81c-adaa4bb0e2e7","http://resolver.tudelft.nl/uuid:2432d51e-026c-4b76-a81c-adaa4bb0e2e7","Research and Design of Urban Landscapes: The Delft Approach: Interview with Dr. Steffen Nijhuis, Delft University of Technology","Zhang, Boya (Beijing Yiyuyicheng Landscape Planning & Design); Xiong, L. (TU Delft Urban Design)","","2020","In this essay, the Delft Approach refers to a particular landscape architecture approach to understand and design urban landscapes, which is developed in the Faculty of Architecture and Built Environment at Delft University of Technology (the Netherlands) since 1947 (Fig. 1-2). The Delft approach focusses on urban landscapes as a scale continuum, employs design research and research through design as important teaching and research strategies, and regards mapping and drawing as important tools for thinking. The typical Dutch geographic context and spatial planning traditions are the foundation for this approach. As head of research of Landscape Architecture in the Faculty of Architecture and Built Environment at Delft University of Technology (the Netherlands), Dr. Nijhuis has expertise in landscape-based urbanism, GIS applications and visual landscape research. He was invited to participate in the 2019 International Landscape Architecture Symposium held at Beijing Forestry University. Landscape Architecture Journal is honored to invite him to this inclusive interview to introduce the background and principles of this approach, and illustrate the application of this method with projects.","","mul","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-27","","","Urban Design","","",""
"uuid:9d3568e1-4b35-42c9-826b-7dda1ec736c4","http://resolver.tudelft.nl/uuid:9d3568e1-4b35-42c9-826b-7dda1ec736c4","Ultraviolet Sensing in WBG: SiC","el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","van Driel, W. (editor); Pyper, O. (editor); Schumann, C. (editor)","2020","Nowadays many applications require optical detection of some sorts, ranging from civil to military fields. Depending on the optical source, each sensing element needs to have distinct properties with the spectral range at the top. Choices such as sensitivity and environment play an equally important role, if not more important. The properties of the sensors can be tailored by selecting a proper material for a proper photodetector device type. The device design choice therefore will have set the performance parameters such as selectivity, sensitivity and speed. This chapter discusses such photodetection and gives requirements which need to be met. The discussion will also include the photodetection principle, device types important to this work, design considerations and relevant parameters.","Sensor integration; More than Moore; Ultraviolet; Photodetection; Wide bandgap SiC","en","book chapter","SpringerOpen","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:5eaf7aa6-4008-4a61-af8a-0cb8739e0ee2","http://resolver.tudelft.nl/uuid:5eaf7aa6-4008-4a61-af8a-0cb8739e0ee2","Control Design, Stability Analysis, and Traffic Flow Implications for Cooperative Adaptive Cruise Control Systems with Compensation of Communication Delay","Zhang, Y. (TU Delft Transport and Planning; Tongji University); Bai, Yu (Tongji University); Hu, Jia (Tongji University); Wang, M. (TU Delft Transport and Planning)","","2020","Communication delay is detrimental to the performance of cooperative adaptive cruise control (CACC) systems. In this paper, we incorporate communication delay explicitly into control design and propose a delay-compensating CACC. In this new CACC system, the semi-constant time gap (Semi-CTG) policy, which is modified on the basis of the widely-used CTG policy, is employed by a linear feedback control law to regulate the spacing error. The semi-CTG policy uses historical information of the predecessor instead of its current information. By doing so, communication delay is fully compensated, which leads to better stability performance. Three stability properties—local stability, string stability, and traffic flow stability—are analyzed. The local stability and string stability of the proposed CACC system are guaranteed with the desired time gap as small as the communication delay. Both theoretical analysis and simulation results show that the delay-compensating CACC has better string stability and traffic flow stability than the widely-used CACC system. Furthermore, the proposed CACC system also shows the potential for improving traffic throughput and fuel efficiency. Robustness of the proposed system against uncertainties of sensor delay and vehicle dynamics is also verified with simulation.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:0ebeeb6c-e734-489b-ac32-5f8631efd251","http://resolver.tudelft.nl/uuid:0ebeeb6c-e734-489b-ac32-5f8631efd251","Designing an Integrated Wearable System for Biosensing and Self-reporting of Stress","Li, X. (TU Delft Support Human-Centered Design); Jansen, K.M.B. (TU Delft Emerging Materials); Zhang, X. (Student TU Delft); Rozendaal, M.C. (TU Delft Human Information Communication Design); Jonker, C.M. (TU Delft Interactive Intelligence)","Christer, K. (editor); Craig, C. (editor); Chamberlain, P. (editor)","2020","Stress is an important aspect of mental health which impacts on wellbeing. Wearable devices are increasingly used to help people deal with stress in daily life. However, most of the current applications focus on detecting and representing physiological data. In this paper we report on the design of an integrated wearable system composed of physiological sensors and a self-reporting interface. Through an iterative design process, we developed two prototypes and evaluated their technical performance in a laboratory condition. We elaborate on the issues we have encountered and addressed in the design iterations. We discuss how these lessons might contribute to the design of integrated sensing systems in real life. We end this paper by reviewing limitations of the study and directions for future work.Keywords: smart wearables, stress management, design for mental health","Smart wearables; Stress management; design for mental healh","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-02-01","","","Support Human-Centered Design","","",""
"uuid:da333e94-0495-42eb-b3ee-3ea0ce8acbd8","http://resolver.tudelft.nl/uuid:da333e94-0495-42eb-b3ee-3ea0ce8acbd8","A Study on Reference Microphone Selection for Multi-Microphone Speech Enhancement","Zhang, Jie (University of Science and Technology of China (USTC), Hefei); Chen, Huawei (Nanjing University of Aeronautics and Astronautics); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2020","Multi-microphone speech enhancement methods typically require a reference position with respect to which the target signal is estimated. Often, this reference position is arbitrarily chosen as one of the reference microphones. However, it has been shown that the choice of the reference microphone can have a significant impact on the final noise reduction performance. In this paper, we therefore theoretically analyze the impact of selecting a reference on the noise reduction performance with near-end noise being taken into account. Following the generalized eigenvalue decomposition (GEVD) based optimal variable span filtering framework, we find that for any linear beamformer, the output signal-to-noise ratio (SNR) taking both the near-end and far-end noise into account is reference dependent. Only when the near-end noise is neglected, the output SNR of rank-1 beamformers does not depend on the reference position. However, in general for rank-r beamformers with r>1 (e.g., the multichannel Wiener filter) the performance does depend on the reference position. Based on these, we propose an optimal algorithm for microphone reference selection that maximizes the output SNR. In addition, we propose a lower-complexity algorithm that is still optimal for rank-1 beamformers, but sub-optimal for the general rank-r beamformers. Experiments using a simulated microphone array validate the effectiveness of both proposed methods and show that in terms of quality, several dB can be gained by selecting the proper reference microphone.","Acoustic distortion; Array signal processing; low-rank approximation; Microphone arrays; Microphones; multi-channel beamforming; Noise reduction; reference microphone; relative acoustic transfer function; Signal to noise ratio; Speech enhancement; variable span linear filters","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:e77e9520-fc50-48c8-ab1c-e58fe79d4485","http://resolver.tudelft.nl/uuid:e77e9520-fc50-48c8-ab1c-e58fe79d4485","An interface-enriched generalized finite element method for level set-based topology optimization","van den Boom, S.J. (TU Delft Computational Design and Mechanics); Zhang, J. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2020","During design optimization, a smooth description of the geometry is important, especially for problems that are sensitive to the way interfaces are resolved, e.g., wave propagation or fluid-structure interaction. A level set description of the boundary, when combined with an enriched finite element formulation, offers a smoother description of the design than traditional density-based methods. However, existing enriched methods have drawbacks, including ill-conditioning and difficulties in prescribing essential boundary conditions. In this work, we introduce a new enriched topology optimization methodology that overcomes the aforementioned drawbacks; boundaries are resolved accurately by means of the Interface-enriched Generalized Finite Element Method (IGFEM), coupled to a level set function constructed by radial basis functions. The enriched method used in this new approach to topology optimization has the same level of accuracy in the analysis as the standard finite element method with matching meshes, but without the need for remeshing. We derive the analytical sensitivities and we discuss the behavior of the optimization process in detail. We establish that IGFEM-based level set topology optimization generates correct topologies for well-known compliance minimization problems.","Enriched finite element methods; IGFEM; Level sets; Topology optimization; XFEM/GFEM","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:e7f9ae75-4abd-460d-b53a-25bcff6949ab","http://resolver.tudelft.nl/uuid:e7f9ae75-4abd-460d-b53a-25bcff6949ab","A 28-W, -102.2-dB THD+N Class-D Amplifier Using a Hybrid ΔΣM-PWM Scheme","Karmakar, S. (TU Delft Electronic Instrumentation); Zhang, H. (TU Delft Electronic Instrumentation); van Veldhoven, Robert (NXP Semiconductors); Breems, Lucien J. (NXP Semiconductors); Berkhout, M. (Goodix Technologies); Fan, Q. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2020","This article presents a 28-W class-D amplifier for automotive applications. The combination of a high switching frequency and a hybrid multibit Δ Σ M-PWM scheme results in high linearity over a wide range of output power, as well as low AM-band EMI. As a result, only a small (150-kHz cutoff frequency), and thus low-cost, LC filter is needed to meet the CISPR-25 EMI average limit (150 kHz-30 MHz) with 10-dB margin. At 28-W output power, the proposed amplifier achieves 91% efficiency while driving a 4- Ω load from a 14.4-V supply. It attains a peak THD+N of 0.00077% (-102.2 dB) for a 1-kHz input signal.","audio power amplifier; class-D amplifier; electro-magnetic interference (EMI); hybrid; pulsewidth modulation (PWM); ΔΣM","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-25","","Microelectronics","Electronic Instrumentation","","",""
"uuid:9d886868-cca8-452b-9138-fa9a777a6699","http://resolver.tudelft.nl/uuid:9d886868-cca8-452b-9138-fa9a777a6699","Implications of nutrient enrichment and related environmental impacts in the pearl river estuary, china: Characterizing the seasonal influence of riverine input","Niu, Lixia (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); Luo, Xiangxin (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); Cai, Huayang (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); Zhang, Tao (Sun Yat-sen University); Yang, Qingshu (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai))","","2020","The Pearl River estuary is an ecologically dynamic region located in southern China that experiences strong gradients in its biogeochemical properties. This study examined the seasonality of nutrient dynamics, identified related environmental responses, and evaluated how river discharge regulated nutrient sink and source. The field investigation showed significant differences of dissolved nutrients with seasons and three zones of the estuary regarding the estuarine characteristics. Spatially, nutrients exhibited a clear decreasing trend along the salinity gradient; temporally, their levels were obviously higher in summer than other seasons. The aquatic environment was overall eutrophic, as a result of increased fluxes of nitrogen and silicate. This estuary was thus highly sensitive to nutrient enrichment and related pollution of eutrophication. River discharge, oceanic current, and atmospheric deposition distinctly influenced the nutrient status. These factors accordingly may influence phytoplankton that are of importance in coastal ecosystems. Phytoplankton (in terms of chlorophyll) was potentially phosphate limited, which then more frequently resulted in nutrient pollution and blooms. Additionally, the nutrient sources were implied according to the cause–effect chains between nutrients, hydrology, and chlorophyll, identified by the PCA-generated quantification. Nitrogen was constrained by marine-riverine waters and their mutual increase-decline trend, and a new source was supplemented along the transport from river to sea, while a different source of terrestrial emission from coastal cities contributed to phosphate greatly.","Estuary; Nutrient dynamics; River discharge; Seasonality; Water quality","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f870c20a-23df-4492-99bc-cd28b1f9b269","http://resolver.tudelft.nl/uuid:f870c20a-23df-4492-99bc-cd28b1f9b269","Policies Towards Migrants in the Yangtze River Delta Urban Region, China: Does Local Hukou Still Matter after the Hukou Reform?","Zhang, Q. (TU Delft Housing Institutions & Governance; Southeast University); Hoekstra, J.S.C.M. (TU Delft Housing Institutions & Governance)","","2020","The 2014 hukou reform introduced by the Chinese central government was a turning point in China’s policies towards migration. Different from the previous hukou policies, which were largely exclusionary, the reformed policy encouraged migrants to permanently settle in their destination cities and make use of the public services available there. However, the actual results and consequences of this policy seem to vary between cities. This is due to the fact that Chinese municipal governments still have their own discretionary power when it comes to defining the criteria for accessing a local hukou. This raises the question of what the real impact of the hukou policy reform has been. This paper attempts to answer this question. It starts with a hukou access policy analysis of 20 different cities in the Yangtze River delta urban region. This analysis shows that the strictness of the local hukou access policy is related to city specific factors such as economic strength, share of migrant population, and population size. In the second part of the paper, we examine the impact of local hukou access policies on the intentions of migrants. Based on two logistic regression models, we find that the stricter the local hukou access policy is, the more willing migrants are to convert their current hukou into a local hukou. Furthermore, we observed that the settlement intention of migrants has a V-shaped rather than a linear relation with the strictness of local hukou access policies. Cities with relatively loose and cities with relatively strict hukou access policies are more desired as permanent settlement location than cities with moderately strict hukou access policies.","Hukou access; Hukou policy; Migrants; Permanent settlement; Yangtze River delta urban region","en","journal article","","","","","","","","","","","Housing Institutions & Governance","","",""
"uuid:5e7cd81a-9829-4f89-bd6e-aeac50a1fe63","http://resolver.tudelft.nl/uuid:5e7cd81a-9829-4f89-bd6e-aeac50a1fe63","FET-based charge sensor for organs-on-chip with in-situ electrode decoration","Aydogmus, H. (TU Delft Electronic Components, Technology and Materials); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2020","br","","en","poster","","","","","","Power Point Presentatie","","2021-06-30","","","Electronic Components, Technology and Materials","","",""
"uuid:8d8b05f8-c02b-43cb-8a76-bb946fea216e","http://resolver.tudelft.nl/uuid:8d8b05f8-c02b-43cb-8a76-bb946fea216e","An overview of Marchenko methods","Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics); Staring, M. (TU Delft Applied Geophysics and Petrophysics); Brackenhoff, J.A. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","Since the introduction of the Marchenko method in geophysics, many variants have been developed. Using a compact unified notation, we review redatuming by multidimensional deconvolution and by double focusing, virtual seismology, double dereverberation and transmission-compensated Marchenko multiple elimination, and discuss the underlying assumptions, merits and limitations of these methods.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-15","","","Applied Geophysics and Petrophysics","","",""
"uuid:73efaa2f-f04e-4b1e-9f8c-819d3d90b003","http://resolver.tudelft.nl/uuid:73efaa2f-f04e-4b1e-9f8c-819d3d90b003","A New Role for Adaptive Filters in Marchenko Equation-Based Methods for the Attenuation of Internal Multiples","Staring, M. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2020","We have seen many developments in Marchenko equation-based methods for internal multiple attenuation in the past years. Starting from a wave-equation based method that required a smooth velocity model, there are now Marchenko equation-based methods that do not require any model information or user-input. In principle, these methods accurately predict internal multiples. Therefore, the role of the adaptive filter has changed for these methods. Rather than needing an aggressive adaptive filter to compensate for inaccurate internal multiple predictions, only a conservative adaptive filter is needed to compensate for minor amplitude and/or phase errors in the internal multiple predictions caused by imperfect acquisition and preprocessing of the input data. We demonstate that a conservative adaptive filter can be used to improve the attenuation of internal multiples when applying a Marchenko multiple elimination (MME) method to a 2D line of streamer data. In addition, we suggest that an adaptive filter can be used as a feedback mechanism to improve the preprocessing of the input data.","","en","conference paper","EAGE","","","","","Accepted Author Manuscript","","2021-01-15","","","Applied Geophysics and Petrophysics","","",""
"uuid:28b8efc0-253b-48fe-b7b8-cfe470c7473c","http://resolver.tudelft.nl/uuid:28b8efc0-253b-48fe-b7b8-cfe470c7473c","Model-Reference Reinforcement Learning Control of Autonomous Surface Vehicles","Zhang, Q. (TU Delft Transport Engineering and Logistics); Pan, W. (TU Delft Robot Dynamics); Reppa, V. (TU Delft Transport Engineering and Logistics)","","2020","This paper presents a novel model-reference reinforcement learning control method for uncertain autonomous surface vehicles. The proposed control combines a conventional model-based control method with deep reinforcement learning. With the conventional model-based control, we can ensure the learning-based control law provides closed-loop stability for the trajectory tracking control of the overall system, and increase the sample efficiency of the deep reinforcement learning. With reinforcement learning, we can directly learn a control law to compensate for modeling uncertainties. In the proposed control, a nominal system is employed for the design of a baseline control law using a conventional control approach. The nominal system also defines the desired performance for uncertain autonomous vehicles to follow. In comparison with traditional deep reinforcement learning methods, our proposed learning-based control can provide stability guarantees and better sample efficiency. We demonstrate the performance of the new algorithm via extensive simulation results.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-11","","","Transport Engineering and Logistics","","",""
"uuid:342c08d5-bfe9-4abd-9d3e-55262aa40bed","http://resolver.tudelft.nl/uuid:342c08d5-bfe9-4abd-9d3e-55262aa40bed","Data-driven retrieval of primary plane-wave responses","Meles, G.A. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Medical Imaging; TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","br","","en","abstract","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:05e9662c-68a8-4f65-8886-05f61372f8a0","http://resolver.tudelft.nl/uuid:05e9662c-68a8-4f65-8886-05f61372f8a0","Microstructure informed micromechanical modelling of hydrated cement paste: Techniques and challenges","Zhang, H. (TU Delft Materials and Environment; Shandong University); Xu, Y. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2020","Application of micromechanical modelling of hydrated cement paste (HCP) gains more and more interests in the field of cementitious materials. One of the most promising approaches is the use of so-called microstructure informed micromechanical models, which provides a direct link between microstructure and mechanical properties. In order to properly model the micromechanical properties of HCP, advanced mechanical models, well-characterised microstructures and proper input parameters are required. However, due to the complex material structure of HCP, this is not an easy to achieve for any of the three aforementioned aspects. Therefore, this paper aims at reviewing of the techniques that have been developed to contribute to the micromechanical modelling. Basic principles, corresponding research results, recent advances and limitations are given. It is expected that this review can help researchers make reasonable choices on techniques for the micromechanical modelling of cementitious materials.","Hydrated cement paste; Micromechanical modelling; Microstructure","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:47c5827e-4627-429d-98f7-ef88ef7dddbf","http://resolver.tudelft.nl/uuid:47c5827e-4627-429d-98f7-ef88ef7dddbf","Dependence of unsaturated chloride diffusion on the pore structure in cementitious materials","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2020","Conceptual analysis is performed to examine the effects of pore features on the water continuity in unsaturated porous systems. The roles of pore features in relative chloride diffusion coefficient (Drc) of mortar specimens at various degrees of water saturation (Sw) were studied based on mercury intrusion porosimetry and resistivity tests. It is found that the role of pore structure in the Drc-Sw relationship is a result of its effect on the water continuity. Porosity and tortuosity are not relevant to the Drc-Sw relationship. A finer pore size distribution or lower pore connectivity tends to result in a lower Drc. The pore size effect on the Drc is pronounced primarily at high Sw, while the Drc is dominated by the pore connectivity at low Sw. Cement mortar with a higher water-to-binder ratio shows larger chloride diffusion at high relative humidity levels but smaller chloride diffusion at low relative humidity levels.","Chloride diffusion; Degree of water saturation; Pore structure; Relative humidity; Supplementary cementitious materials","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-06","","","Materials and Environment","","",""
"uuid:d1732d8c-f8fb-4a76-bf75-0c2e81d70c07","http://resolver.tudelft.nl/uuid:d1732d8c-f8fb-4a76-bf75-0c2e81d70c07","Flash flood early warning coupled with hydrological simulation and the rising rate of the flood stage in a mountainous small watershed in Sichuan province, China","Tu, Huawei (Wuhan University); Wang, Xiekang (Sichuan University); Zhang, Wanshun (Wuhan University); Peng, Hong (Wuhan University); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Chen, Xiaomin (Wuhan University)","","2020","Flash floods in mountainous areas have become more severe and frequent as a result of climate change and are a threat to public safety and social development. This study explores the application of distributed hydrological models in flash floods risk management in a small watershed in Sichuan Province, China, and aims to increase early warning lead time in mountainous areas. The Hydrologic Engineering Center's Hydrologic Modeling System (HEC-HMS) model was used to simulate the flash flood process and analyze the variation in flood hydrographs. First, the HEC-HMS model was established based on geospatial data and the river network shape, and eight heavy rainfall events from 2010 to 2015 were used for model calibration and validation, showing that the HEC-HMS model was effective for the simulation of mountain floods in the study area. Second, with the assumption that rainfall and flood events have the same frequency, the flood hydrographs with different frequencies (p = 1%, 2%, 5%, and 10%) were calculated by the HEC-HMS model. The rising limbs of the flood hydrographs were significantly different and can be divided into three parts (0-5 h, 6-10 h, and 11-15 h). The rising rate of the flood stage for each part of the flood hydrograph increases in multiples. According to the analysis of the flood hydrographs, two critical early warning indicators with an invention patent were determined in the study: the flood stage for immediate evacuation and the rising rate. The application of the indicators in the study shows that it is feasible to advance the time of issuing an early warning signal, and it is expected that the indicators can offer a reference for flash flood early warning in the study area and other small watersheds in mountainous areas.","Earlywarning indicators; Flash floods; HEC-HMS model; Mountainous area; Smallwatershed","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:46747ad7-8d45-4c86-b3f8-39ce262b4309","http://resolver.tudelft.nl/uuid:46747ad7-8d45-4c86-b3f8-39ce262b4309","The dead line for oil and gas and implication for fossil resource prediction","Pang, Xiongqi (China University of Petroleum - Beijing); Jia, Chengzao (China University of Petroleum - Beijing; Research Institute of Petroleum Exploration and Development, PetroChina, Beijing); Zhang, Kun (China University of Petroleum - Beijing; University College London (UCL)); Li, Maowen (Sinopec); Wang, Y. (TU Delft Applied Geology; China University of Petroleum - Beijing); Peng, Junwen (Bureau of Economic Geology); Li, Boyuan (China University of Petroleum - Beijing); Chen, Junqing (China University of Petroleum - Beijing)","","2020","Fossil fuel resources are invaluable to economic growth and social development. Understanding the formation and distribution of fossil fuel resources is critical for the search and exploration of them. Until now, the vertical distribution depth of fossil fuel resources has not been confirmed due to different understandings of their origins and the substantial variation in reservoir depths from basin to basin. Geological and geochemical data of 13 634 source rock samples from 1286 exploration wells in six representative petroliferous basins were examined to identify the maximum burial depth of active source rocks in each basin, which is referred to in this study as the active source rock depth limit (ASDL). Beyond the ASDL, source rocks no longer generate or expel hydrocarbons and become inactive. Therefore, the ASDL also sets the maximum depth for fossil fuel resources. The ASDLs of basins around the world are found to range from 3000 to 16 000 m, while the thermal maturities (Ro) of source rocks at the ASDLs are almost the same, with Ro ≈ 3:5±0:5 %. The Ro of 3.5% can be regarded as a general criterion to identify ASDLs. High heat flow and more oil-prone kerogen are associated with shallow ASDLs. In addition, tectonic uplift of source rocks can significantly affect ASDLs; 21.6 billion tons of reserves in six representative basins in China and 52 926 documented oil and gas reservoirs in 1186 basins around the world are all located above ASDLs, demonstrating the universal presence of ASDLs in petroliferous basins and their control on the vertical distribution of fossil fuel resources. The data used in this study are deposited in the repository of the PANGAEA database at: https://doi.org/10.1594/PANGAEA.900865 (Pang et al., 2019).","","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:179b411d-947e-4570-8e49-86c211c63c9b","http://resolver.tudelft.nl/uuid:179b411d-947e-4570-8e49-86c211c63c9b","Harnessing Plasticity in an Amine-Borane as a Piezoelectric and Pyroelectric Flexible Film","Zhang, Yan (Central South University China; University of Bath); Hopkins, Margaret A. (University of Bath); Liptrot, David J. (University of Bath); Khanbareh, Hamideh (University of Bath); Groen, W.A. (TU Delft Aerospace Structures & Materials); Zhou, Xuefan (Central South University China); Zhang, Dou (Central South University China); Bao, Yinxiang (Central South University China); Zhou, Kechao (Central South University China); Bowen, Chris R. (University of Bath); Carbery, David R. (University of Bath)","","2020","We demonstrate that trimethylamine borane can exhibit desirable piezoelectric and pyroelectric properties. The material was shown to be able operate as a flexible film for both thermal sensing, thermal energy conversion and mechanical sensing with high open circuit voltages (>10 V). A piezoelectric coefficient of d33≈10–16 pC N−1, and pyroelectric coefficient of p≈25.8 μC m−2 K−1 were achieved after poling, with high pyroelectric figure of merits for sensing and harvesting, along with a relative permittivity of (Formula presented.) 6.3.","energy conversion; flexible films; main group elements; piezoelectricity; pyroelectricity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-01","","Aerospace Structures & Materials","","","",""
"uuid:78df2064-3085-470f-abcb-7155c01962eb","http://resolver.tudelft.nl/uuid:78df2064-3085-470f-abcb-7155c01962eb","Integrating agent-based modeling, serious gaming, and co-design for planning transport infrastructure and public spaces","Yang, Liu (University of Chinese Academy of Sciences; Imperial College London); Zhang, Lufeng (University of Chinese Academy of Sciences); Philippopoulos-Mihalopoulos, Andreas (University of Westminster); Chappin, E.J.L. (TU Delft Energie and Industrie); van Dam, Koen H. (Imperial College London)","","2020","Car-oriented transport infrastructure developments have had detrimental impacts on the public realm in terms of poor walkability and fractured leftover urban spaces. To build integrated transport infrastructure and public space systems with considering non-motorized travelers’ behavior, we present an integrated methodology incorporating an agent-based simulation model, serious games, and co-design which provides opportunities to involve citizens into the urban design process. In this paper, we show this process for a case study in London Hackney Wick. Qualitative data collected from collaborative experiments, cognitive and human needs mapping, interviews and conversations offer insights into people’s engagement with their environment and the public expectations. In parallel, an Agent-Based Model (ABM) informed by the gathered data is used to visualize local activities for the residents and to predict travel demand and spaces occupancy patterns of various designs. The prediction results indicate that a holistic design strategy is needed for planning attractive and pedestrian-friendly transport-public space systems. Lessons learned also lead to a proposal to improve the model with more realistic human behavior and activity schedules. The coupling of ABM–Game–Design is a valuable tool for engaging the audience and providing both qualitative and quantitative supports to decision-making.","Agent-based modeling; Co-design; Public space; Serious games; Transport infrastructure planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-09-06","","","Energie and Industrie","","",""
"uuid:f77f361e-af37-4298-84e1-ac91e7bdc8f0","http://resolver.tudelft.nl/uuid:f77f361e-af37-4298-84e1-ac91e7bdc8f0","Glacier mass balance in the Nyainqentanglha mountains between 2000 and 2017 retrieved from ZiYuan-3 stereo images and the SRTM DEM","Ren, Shaoting (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Zhang, Jing (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Jingxiao (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Li, Xin (Chinese Academy of Sciences)","","2020","Mountain glaciers are excellent indicators of climate change and have an important role in the terrestrial water cycle and food security in many parts of the world. Glaciers are the major water source of rivers and lakes in the Nyainqentanglha Mountains (NM) region, where the glacier area has the second largest extent on the Tibetan Plateau. The potential of the high spatial resolution ZiYuan-3 (ZY-3) Three-Line-Array (TLA) stereo images to retrieve glacier mass balance has not been sufficiently explored. In this study, we optimized the procedure to extract a Digital Elevation Model (DEM) from ZY-3 TLA stereo images and estimated the geodetic mass balance of representative glaciers in the two typical areas of the NM using ZY-3 DEMs and the C-band Shuttle Radar Topography Mission (SRTM) DEM in three periods, i.e., 2000-2013, 2013-2017 and 2000-2017. The results provide detailed information towards better understanding of glacier change and specifically show that: (1) with our new stereo procedure, ZY-3 TLA data can significantly increase point cloud density and decrease invalid data on the glacier surface map to generate a high resolution (5 m) glacier mass balance map; (2) the glacier mass balance in both the Western Nyainqentanglha Mountains (WNM) and Eastern Nyainqentanglha Mountains (ENM) was negative in 2000-2017, and experienced faster mass loss in recent years (2013-2017) in the WNM. Overall, the glaciers in the western and eastern NM show different change patterns since they are influenced by different climate regimes; the glacier mass balances in WNM was-0.22 ± 0.23 m w.e. a-1 and-0.43 ± 0.06 m w.e. a-1 in 2000-2013 and 2013-2017, respectively, while in 2000-2017, it was-0.30 ± 0.19 m w.e. a-1 in the WNM and-0.56 ± 0.20 m w.e. a-1 in the ENM; (3) in the WNM, the glaciers experienced mass loss in 2000-2013 and 2013-2017 in the ablation zone, while in the accumulation zone mass increased in 2000-2013 and a large mass loss occurred in 2013-2017; as regards the ENM, the glacier mass balance was negative in 2000-2017 in both zones; (4) glacier mass balance can be affected by the fractional abundance of debris and glacier slope; (5) the glacier mass balances retrieved by ZY-3 and TanDEM-X data agreed well in the ablation zone, while a large difference occurred in the accumulation zone because of the snow/firn penetration of the X-band SAR signal.","Geodetic method; Glacier mass balance; Nyainqentanglha Mountains; Point cloud; Three-line-array stereo images; Tibetan Plateau; ZY-3","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:216bfc27-eb74-4c5b-8a06-9d29d6dcebaa","http://resolver.tudelft.nl/uuid:216bfc27-eb74-4c5b-8a06-9d29d6dcebaa","Effects of light map orientation and shape on the visual perception of canonical materials","Zhang, F. (TU Delft Human Information Communication Design); de Ridder, H. (TU Delft Human Information Communication Design); Barla, Pascal (INRIA Bordeaux Sud-Ouest); Pont, S.C. (TU Delft Human Information Communication Design)","","2020","We previously presented a systematic optics-based canonical approach to test material-lighting interactions in their full natural ecology, combining canonical material and lighting modes. Analyzing the power of the spherical harmonics components of the lighting allowed us to predict the lighting effects on material perception for generic natural illumination environments. To further understand how material properties can be brought out or communicated visually, in the current study, we tested whether and how light map orientation and shape affect these interactions in a rating experiment: For combinations of four materials, three shapes, and three light maps, we rotated the light maps in 15 different configurations. For the velvety objects, there were main and interaction effects of lighting and light map orientation. The velvety ratings decreased when the main light source was coming from the back of the objects. For the specular objects, there were main and interaction effects of lighting and shape. The specular ratings increased when the environment in the specular reflections was clearly visible in the stimuli. For the glittery objects, there were main and interaction effects of shape and light map orientation. The glittery ratings correlated with the coverage of the glitter reflections as the shape and light map orientation varied. For the matte objects, results were robust across all conditions. Last, we propose combining the canonical modes approach with so-called importance maps to analyze the appearance features of the proximal stimulus, the image, in contradistinction to the physical parameters as an approach for optimization of material communication.","Canonical modes; Light map orientation; Lighting; Material communication; Material perception; Shape; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:5149d5e3-61c3-425a-87b9-37f60f5434d4","http://resolver.tudelft.nl/uuid:5149d5e3-61c3-425a-87b9-37f60f5434d4","Micro-Doppler Period Estimation Based on Concentration Statistics of Ambiguity Function","Zhang, Wenpeng (National University of Defense Technology); Fu, Yaowen (National University of Defense Technology); Yin, J. (TU Delft Atmospheric Remote Sensing; National University of Defense Technology)","","2020","Radar micro-Doppler (m-D) signature, which reflects the micromotion dynamic and structural characteristics of radar target with micromotion, has received increasing attention. Most of the existing m-D signature-extraction methods operate in the time domain or the time-frequency domain. Different from these methods, in this paper, an m-D period estimation approach that operates in the ambiguity domain is proposed. Although the ambiguity function (AF) has been widely used in the field of radar signal processing, its application for m-D signal is introduced for the first time. It is proved that the AF of m-D signal exhibits periodicity along the lag axis and has the best concentration when the lag equals to multiples of the m-D period. Based on this, three AF concentration statistics are employed to capture the periodicity and to provide the m-D estimate. The most important property of the AF concentration statistics is that they are (or approximately) invariant to polynomial translations with terms not larger than second order even if the signal is Doppler ambiguous. Numeric simulation and real radar experiments are used to validate the effectiveness of the proposed technique.","Ambiguity function (AF); concentration statistics; micro-Doppler (m-D); period estimation","en","journal article","","","","","","Accepted author manuscript","","","","","Atmospheric Remote Sensing","","",""
"uuid:b40e56aa-7720-4887-9675-c5025bdde134","http://resolver.tudelft.nl/uuid:b40e56aa-7720-4887-9675-c5025bdde134","In-plane selective area InSb–Al nanowire quantum networks","op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Xu, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Schaller, V. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Q. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Moor, M.W.A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vermeulen, K.J. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Microsoft Quantum Lab Delft; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Tsinghua University)","","2020","Strong spin–orbit semiconductor nanowires coupled to a superconductor are predicted to host Majorana zero modes. Exchange (braiding) operations of Majorana modes form the logical gates of a topological quantum computer and require a network of nanowires. Here, we utilize an in-plane selective area growth technique for InSb–Al semiconductor–superconductor nanowire networks. Transport channels, free from extended defects, in InSb nanowire networks are realized on insulating, but heavily mismatched InP (111)B substrates by full relaxation of the lattice mismatch at the nanowire/substrate interface and nucleation of a complete network from a single nucleation site by optimizing the surface diffusion length of the adatoms. Essential quantum transport phenomena for topological quantum computing are demonstrated in these structures including phase-coherence lengths exceeding several micrometers with Aharonov–Bohm oscillations up to five harmonics and a hard superconducting gap accompanied by 2e-periodic Coulomb oscillations with an Al-based Cooper pair island integrated in the nanowire network.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:bf74ca5b-5a02-47e4-86ec-48bbef0b4345","http://resolver.tudelft.nl/uuid:bf74ca5b-5a02-47e4-86ec-48bbef0b4345","A comment on Chinese policies to avoid negative impacts on river ecosystems by hydropower projects","Wu, Miao (Hohai University); Chen, Ang (Yangtze Ecology and Environment Co. Ltd.); Zhang, Xingnan (Hohai University); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2020","The rapid economic development of river basins depends on the excessive use of water resources. China experienced a rapid development of hydropower projects in the last two decades and thus faces many ecological and environmental issues, especially in ecologically sensitive areas. Environmental flow is an important management tool that requires attention in the environmental impact assessment of hydropower projects. Environmental flows are of great significance for maintaining river structures and protecting the health of both aquatic ecosystems and human sustainable livelihoods. Although the government authorities have done much work in this area and attempted to consider technical requirements to address the negative externalities of hydropower projects, there are still defects in the basic procedures, calculation methods, and ultimately implementation process from policy to operationalization in terms of environmental flows. The official standards for environmental flows assessment mainly appear in two documents: 1. specification for calculation of environmental flow in rivers and lakes; and 2. code for calculation ecological flow of hydropower projects. This paper reviewed the overarching framework of the two documents and then summarized their fitness in terms of environmental flows implementation in hydropower projects. The research status of environmental flows and future directions for China were also proposed in this paper.","China; Ecological flow; Environmental flows; Hydropower; River ecosystem","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1e0de494-142f-466a-8283-23a4f9c04846","http://resolver.tudelft.nl/uuid:1e0de494-142f-466a-8283-23a4f9c04846","Towards understanding and prediction of atmospheric corrosion of an Fe/Cu corrosion sensor via machine learning","Pei, Zibo (University of Science and Technology Beijing); Zhang, D. (University of Science and Technology Beijing); Zhi, Yuanjie (Northwestern Polytechnical University); Yang, Tao (University of Science and Technology Beijing); Jin, Lulu (University of Science and Technology Beijing); Fu, Dongmei (University of Science and Technology Beijing); Cheng, Xuequn (University of Science and Technology Beijing); Terryn, H.A. (TU Delft (OLD) MSE-6; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6); Li, Xiaogang (University of Science and Technology Beijing)","","2020","The atmospheric corrosion of carbon steel was monitored by a Fe/Cu type galvanic corrosion sensor for 34 days. Using a random forest (RF)-based machine learning approach, the impacts of relative humidity, temperature and rainfall were identified to be higher than those of airborne particles, sulfur dioxide, nitrogen dioxide, carbon monoxide and ozone on the initial atmospheric corrosion. The RF model demonstrated higher accuracy than artificial neural network (ANN) and support vector regression (SVR) models in predicting instantaneous atmospheric corrosion. The model accuracy can be further improved after taking into consideration of the significant effect of rust formation on the sensor.","Atmospheric corrosion; Corrosion monitoring; Corrosion prediction; Machine learning","en","journal article","","","","","","","","","","","(OLD) MSE-6","","",""
"uuid:ce6c1e37-3669-436f-84b0-a88e180c7d32","http://resolver.tudelft.nl/uuid:ce6c1e37-3669-436f-84b0-a88e180c7d32","Photobiocatalytic synthesis of chiral secondary fatty alcohols from renewable unsaturated fatty acids","Zhang, W. (TU Delft BT/Biocatalysis; Xi’an Jiaotong University); Lee, Jeong Hoo (Ewha Womans University); Younes, S.H.H. (TU Delft BT/Biocatalysis; Sohag University); Tonin, F. (TU Delft BT/Biocatalysis); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Pichler, Harald (Graz University of Technology); Baeg, Yoonjin (Ewha Womans University); Park, Jin-Buang (Ewha Womans University); Hollmann, F. (TU Delft BT/Biocatalysis); Kourist, Robert (Graz University of Technology)","","2020","En route to a bio-based chemical industry, the conversion of fatty acids into building blocks is of particular interest. Enzymatic routes, occurring under mild conditions and excelling by intrinsic selectivity, are particularly attractive. Here we report photoenzymatic cascade reactions to transform unsaturated fatty acids into enantiomerically pure secondary fatty alcohols. In a first step the C=C-double bond is stereoselectively hydrated using oleate hydratases from Lactobacillus reuteri or Stenotrophomonas maltophilia. Also, dihydroxylation mediated by the 5,8-diol synthase from Aspergillus nidulans is demonstrated. The second step comprises decarboxylation of the intermediate hydroxy acids by the photoactivated decarboxylase from Chlorella variabilis NC64A. A broad range of (poly)unsaturated fatty acids can be transformed into enantiomerically pure fatty alcohols in a simple one-pot approach.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:07ebd8ab-cc57-434d-91e6-b1da67620c82","http://resolver.tudelft.nl/uuid:07ebd8ab-cc57-434d-91e6-b1da67620c82","A sectoral eco-efficiency analysis on urban-industrial symbiosis","Bian, Yuli (South China Agricultural University); Dong, Liang (City University of Hong Kong); Liu, Z. (TU Delft Integral Design & Management); Zhang, Lezhu (South China Agricultural University)","","2020","Urban-industrial symbiosis (UIS) is an important system innovation via sectors integration, and has been widely recognized as a novel pathway for achieving regional eco-industrial development. Eco-efficiency, as a mature approach and indicator, offers an effective tool to uncover both the status and trends of such a transformation. However, most studies have focused on the whole industry or city as a whole, which has meant that a view from the sectoral level focusing on UIS was missing. To fill this research gap, this paper applied a modified eco-efficiency approach using integrating input-output analysis (IOA) and carbon footprint (CFP) to identify the eco-efficiency benefits of UIS from a sectoral level. Specifically, sector-level economic data (as economic outputs) and CFP (as environmental impacts) are used to calculate the sectoral eco-efficiency. IOA helps to offer sectoral economic data, and, with integrating process-based inventory analysis, to conduct a CFP calculation at the sectoral level. To test the feasibility of the developed approach, urban industrial symbiosis scenarios in one typical industrial city of China were analyzed. This city is held up as the national pilot of the circular economy, low-carbon city, and ecological civilization in China. Scenarios analysis on a business as usual (no UIS) and with UIS implementation in 2012 were undertaken and compared with the change of sectoral CFP and eco-efficiency. The results highlighted a moderate increase in eco-efficiency and trade-offs in certain sectors, indicating that UIS was moderately effective in increasing the urban resource efficiency from a sectoral level, but a refined design was required. Policy recommendations are made based on the analytical results, to inform decision makers and urban and industrial managers seeking to improve the implementation of UIS as a means of achieving greater urban sustainability.","Carbon footprint; China; Eco-efficiency; Urban sustainability; Urban-industrial symbiosis","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:9a948ece-4e67-4f86-86e1-6f8ea770a6cb","http://resolver.tudelft.nl/uuid:9a948ece-4e67-4f86-86e1-6f8ea770a6cb","Effective Approaches of Improving the Performance of Chalcogenide Solid Electrolytes for All-Solid-State Sodium-Ion Batteries","Dai, Hanqing (Fudan University); Xu, Wenqian (Nanjing University of Posts and Telecommunications); Hu, Zhe (Fudan University); Chen, Yuanyuan (Fudan University); Wei, Xian (Fudan University); Yang, Bobo (Fudan University); Chen, Zhihao (Fudan University); Gu, Jing (Nanjing University of Posts and Telecommunications); Yang, Dan (Fudan University); Xie, Fengxian (Fudan University); Zhang, Wanlu (Fudan University); Guo, Ruiqian (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University; Southern University of Science and Technology); Wei, Wei (Nanjing University of Posts and Telecommunications)","","2020","All-solid-state sodium-ion batteries (SIBs) possess the advantages of rich resources, low price, and high security, which are one of the best alternatives for large-scale energy storage systems in the future. Also, the chalcogenide solid electrolytes (CSEs) of SIBs have the characteristics of excellent room-temperature ionic conductivity (10−3-10−2 S cm−1), low activation energy (<0.6 eV), easy cold-pressing consolidation, etc. Hence, CSEs have become a very active area of all-solid-state SIB research in recent years. In this review, the modification methods and implementation technologies of CSEs are summarized, and the structure and electrochemical performance of the CSEs are discussed. Furthermore, the auxiliary function of first-principle calculations for modification is introduced. Ultimately, we describe the challenges regarding CSEs and propose some strategic suggestions.","chalcogenide solid electrolytes; electrochemical performance; first-principle calculations; modification methods; sodium-ion batter","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0566c099-8662-4b65-b7b3-71ed5f485809","http://resolver.tudelft.nl/uuid:0566c099-8662-4b65-b7b3-71ed5f485809","Assimilation of middepth velocities from Argo floats in the western South China Sea","Wang, Pinqiang (National University of Defense Technology); Zhang, Weimin (National University of Defense Technology; Laboratory of Software Engineering for Complex Systems); Wang, Huizan (National University of Defense Technology); Dai, Haijin (National University of Defense Technology); Wang, X. (TU Delft Mathematical Physics; College of Meteorology and Oceanography; National University of Defense Technology)","","2020","Previous studies are mainly limited to temperature and salinity (T/S) profiling data assimilation, while data assimilation based on Argo float trajectory information has received less research focus. In this study, a new method was proposed to assimilate Argo trajectory data: The middepth (indicates the parking depth of Argo floats in this study, ~1200 m) velocities are estimated from Argo trajectories and subsequently assimilated into the Regional Ocean Model System (ROMS) using four-dimensional variational data assimilation (4DVAR) method. This method can avoid a complicated float trajectory model in direct position assimilation. The 2-month assimilation experiments in South China Sea (SCS) showed that this proposed method can effectively assimilate Argo trajectory information into the model and improve middepth velocity field by adjusting the unbalanced component in the velocity increments. The assimilation of the Argo trajectory-derived middepth velocity with other observations (satellite observations and T/S profiling data) together yielded the best performance, and the velocity fields at the float parking depth are more consistent with the Argo float trajectories. In addition, this method will not decrease the assimilation performance of other observations [i.e., sea level anomaly (SLA), sea surface temperature (SST), and T/S profiles], which is indicative of compatibility with other observations in the 4DVAR assimilation system.","","en","journal article","","","","","","","","2020-07-01","","","Mathematical Physics","","",""
"uuid:a62a5889-1355-405f-8bf7-025073529b36","http://resolver.tudelft.nl/uuid:a62a5889-1355-405f-8bf7-025073529b36","Microstructure, precipitate and property evolution in cold-rolled Ti-V high strength low alloy steel","Zhang, Xukai (Rijksuniversiteit Groningen); Ioannidou, C. (TU Delft (OLD) MSE-1); ten Brink, Gert H. (Rijksuniversiteit Groningen); Navarro Lopez, A. (TU Delft (OLD) MSE-3); Wormann, Jan (Tata Steel); Campaniello, Jean (Tata Steel Europe Limited); Dalgliesh, Robert M. (Rutherford Appleton Laboratory); van Well, A.A. (TU Delft RID/Algemeen/Bedrijfsondersteuning); Offerman, S.E. (TU Delft (OLD) MSE-1); Kranendonk, Winfried (Tata Steel); Kooi, Bart J. (Rijksuniversiteit Groningen)","","2020","A cold-rolled Ti-V high strength low alloy (HSLA) steel was isothermally annealed at 650 °C and 700 °C for different times. A unique combination of techniques including visible light microscopy (VLM), transmission electron microscopy (TEM), matrix dissolution, small angle neutron scattering (SANS) and hardness measurement has been employed to investigate the evolution of microstructure, hardness and precipitate composition, size and volume fraction. Results show that recrystallization is completed after annealing 8 h at 650 °C and 30 min at 700 °C. Three types of precipitates were identified: large Ti(C,N), medium-size (Ti,V)(C,N) and small (Ti,V)C. The Ti/(Ti+V) atomic ratio in the (Ti,V)C precipitates decreases with increasing radius in the 1–15 nm range, which can be explained by the initial nucleation of a TiC-rich core. The average size of the (Ti,V)C precipitates increases, whereas the number density decreases during annealing. The volume fractions of the three types of precipitates were separately determined by the matrix dissolution method. The volume fractions of (Ti,V)C precipitates obtained by matrix dissolution are comparable even slightly more accurate than those obtained by SANS. The hardness first increases and then decreases when annealing at both temperatures, which can be correlated well with the observed microstructural and precipitate evolution.","High strength low alloy steel; Matrix dissolution; Precipitate; Small angle neutron scattering; Titanium‑vanadium-carbide; Transmission electron microscopy","en","journal article","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:95a793f0-97a2-4c3f-973b-bf03a2333d8f","http://resolver.tudelft.nl/uuid:95a793f0-97a2-4c3f-973b-bf03a2333d8f","A high responsivity and controllable recovery ultraviolet detector based on a WO3gate AlGaN/GaN heterostructure with an integrated micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhang, Shuo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhan, Teng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yi, Xiaoyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Li, Jinmin (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors)","","2020","A high responsivity and controllable recovery ultraviolet (UV) photodetector based on a tungsten oxide (WO3) gate AlGaN/GaN heterostructure with an integrated micro-heater is reported for the first time. The WO3nanolayer was deposited by physical vapor deposition (PVD) for deep UV absorption and the micro-heater was integrated for chip level heating and cooling. Our device when exposed to UV wavelength exhibits a high responsivity of 1.67 × 104A W−1at 240 nm and a sharp cut-off wavelength of 275 nm. More importantly, the persistent photoconductivity (PPC) effect can be eliminated by a novel method, mono-pulse heating reset (MHR), which consists in applying an appropriate pulse voltage to the micro-heater right after the removal of the UV illumination. The recovery time was reduced from hours to just seconds without reducing the high responsivity and stability of the photodetector. The UV detection, high responsivity, high stability, controllable recovery process and low production cost of GaN-based photodetectors make these devices extremely attractive for several applications, such as fire detection and missile and rocket warning.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-28","","","Electronic Components, Technology and Materials","","",""
"uuid:0936c88b-fe93-4486-867e-93fc6218aa74","http://resolver.tudelft.nl/uuid:0936c88b-fe93-4486-867e-93fc6218aa74","Micro-cantilever testing on the short-term creep behaviour of cement paste at micro-scale","Gan, Y. (TU Delft Materials and Environment); Vandamme, Matthieu (Institut Pierre Simon Laplace); Zhang, Hongzhi (Shandong University); Chen, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2020","This study proposes an experimental method for studying the short-term creep behaviour of cement paste at micro-scale. The micro-bending tests on miniaturized cantilever beams were used to characterize the viscoelastic properties of cement paste. The effects of w/b ratio, the type of binder and the stress level on the microscopic creep behaviour were investigated. It is found that the short-term creep of cement paste at microscale can be satisfactorily described by a power-law function. A linear viscoelastic behaviour has been observed in different cementitious systems at the microscale with the stress level up to 67.9%. When compared with the creep results in microindentation tests and conventional macroscopic tests, the obtained creep compliance function in this study is found to be both qualitatively and quantitatively representative of the macroscopic results. This experimental study underlines the importance of microstructural effect on the creep behaviours of cementitious materials at microscale.","Cement paste; Creep; Miniaturized cantilever beam; Nanoindenter","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-26","","","Materials and Environment","","",""
"uuid:02be6f0c-3670-464b-9ebc-dc08d61083b8","http://resolver.tudelft.nl/uuid:02be6f0c-3670-464b-9ebc-dc08d61083b8","Improved light-matter interaction for storage of quantum states of light in a thulium-doped crystal cavity","Davidson, J.H. (TU Delft QuTech Advanced Research Centre; TU Delft QID/Tittel Lab; University of Calgary; Kavli institute of nanoscience Delft); Lefebvre, Pascal (University of Calgary); Zhang, Jun (University of Calgary); Oblak, Daniel (University of Calgary); Tittel, W. (TU Delft QID/Tittel Lab; TU Delft Quantum Communications Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2020","We design and implement an atomic frequency comb quantum memory for 793-nm wavelength photons using a monolithic cavity based on a thulium- (Tm-) doped Y3Al5O12 crystal. Approximate impedance matching results in the absorption of 90% of input photons and a memory efficiency of (27.5±2.7)% over a 500-MHz bandwidth. The cavity enhancement leads to a significant improvement over the previous efficiency in Tm-doped crystals using a quantum memory protocol. In turn, this allows us to store and recall quantum states of light in such a memory. Our results demonstrate progress toward efficient and faithful storage of single-photon qubits with a large time-bandwidth product and multimode capacity for quantum networking.","","en","journal article","","","","","","","","","","","QID/Tittel Lab","","",""
"uuid:36ec406b-87c2-49af-95d3-06d1c9080472","http://resolver.tudelft.nl/uuid:36ec406b-87c2-49af-95d3-06d1c9080472","Elucidating the effect of accelerated carbonation on porosity and mechanical properties of hydrated Portland cement paste using X-ray tomography and advanced micromechanical testing","Zhang, H. (TU Delft Materials and Environment; Shandong University); Romero Rodriguez, C. (TU Delft Materials and Environment); Dong, H. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2020","Carbonation of hydrated cement paste (HCP) causes numerous chemo-mechanical changes in the microstructure, e.g., porosity, strength, elastic modulus, and permeability, which have a significant influence on the durability of concrete structures. Due to its complexity, much is still not understood about the process of carbonation of HCP. The current study aims to reveal the changes in porosity and micromechanical properties caused by carbonation using micro-beam specimens with a cross-section of 500 μm x 500 μm. X-ray computed tomography and micro-beam bending tests were performed on both noncarbonated and carbonated HCP micro-beams for porosity characterization and micromechanical property measurements, respectively. The experimental results show that the carbonation decreases the total porosity and increases micromechanical properties of the HCP micro-beams under the accelerated carbonation. The correlation study revealed that both the flexural strength and elastic modulus increase linearly with decreasing porosity.","Carbonation; Hydrated cement paste; Micromechanical properties; Porosity","en","journal article","","","","","","This article belongs to the Special Issue Small Scale Deformation using Advanced Nanoindentation Techniques, Volume II","","","","","Materials and Environment","","",""
"uuid:56145ee4-59fb-4fe6-b0e7-e1848cfc462f","http://resolver.tudelft.nl/uuid:56145ee4-59fb-4fe6-b0e7-e1848cfc462f","Effect of printing parameters on interlayer bond strength of 3D printed limestone-calcined clay-based cementitious materials: An experimental and numerical study","Chen, Y. (TU Delft Materials and Environment); Jansen, K. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment; Shandong University); Romero Rodriguez, C. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2020","For a single batch material, time intervals and nozzle standoff distances between two subsequent layers are two critical printing parameters that influence the mechanical performance of the printed concrete. This paper presents an experimental and numerical study to investigate the impacts of these printing parameters on the interlayer bond strength of the 3D printed limestone and calcined clay-based cementitious materials. All samples were manufactured by a lab-scale 3D printer equipped with a hybrid back- and down-flow nozzle (rectangular opening). The uniaxial tensile test was employed to quantify the interface adhesion of printed specimens. Moreover, the greyscale value image of microstructure, as well as the air void content and distribution of the printed specimens were acquired by X-ray computed tomography and characterized by image analysis. The experimental results showed that extending the time interval between construction of two layers could decrease the bond strength, whereas only increasing the nozzle standoff distance exhibited limited effects on that. The weak bond strength could be attributed to the high local porosity at the interface of the specimen. Additionally, numerical simulations of the uniaxial tensile test were conducted using a 2D lattice fracture model, which can predict the bond strength of printed specimens for different void content in the interface layer.","3D Concrete Printing; Air Void Content and Distribution; Interlayer Bond Strength; Lattice Fracture Model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-13","","","Materials and Environment","","",""
"uuid:a7161cc0-df9a-48eb-9a69-c56fd669ef7b","http://resolver.tudelft.nl/uuid:a7161cc0-df9a-48eb-9a69-c56fd669ef7b","Discrete lattice fracture modelling of hydrated cement paste under uniaxial compression at micro-scale","Jiang, Nengdong (Shandong University); Zhang, Hongzhi (Shandong University); Chang, Z. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Ge, Zhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2020","A combination of laboratory experiments and numerical simulations at multiple length scales can provide in-depth understanding of fracture behaviour of hydrated cement paste (HCP). To that end, the current work presents a numerical study on compressive failure of hydrated cement paste (HCP) at the micro-scale. Virtual specimens consisting of various phases were obtained using a combination of X-ray computed tomography and image segmentation techniques. The discrete lattice fracture model was used for the deformation and fracture analysis of the specimens subjected to uniaxial compression. The input local mechanical properties of each individual phase were taken from the literature in which a micro-scale compression test was conducted for the calibration of the same type model. The influence of slenderness ratios (1 and 2), water-to-cement ratios (0.3, 0.4 and 0.5 respectively) and lateral confinement of the specimen ends (free and restricted) on the failure behaviour were investigated. It has been shown by the current study that the stress–strain response cannot be completely separated from the used boundary conditions. The proposed model provides an effective tool to understand the compressive fracture behaviour of cement paste at the micro-scale.","Compressive behaviour; Discrete lattice model; Hydrated cement paste; Micro-scale; Micromechanical properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-18","","","Materials and Environment","","",""
"uuid:e0a4f1dd-2798-442c-b5c1-e139b49321ac","http://resolver.tudelft.nl/uuid:e0a4f1dd-2798-442c-b5c1-e139b49321ac","Optimization of mesa etch for a quasi-vertical gan schottky barrier diode (Sbd) by inductively coupled plasma (icp) and device characteristics","Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Kang, Xuanwu (Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Li, Pengfei (Chinese Academy of Sciences); Wang, Wenbo (Shenzhen Institute of Wide-bandgap Semiconductors); Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The optimization of mesa etch for a quasi-vertical gallium nitride (GaN) Schottky barrier diode (SBD) by inductively coupled plasma (ICP) etching was comprehensively investigated in this work, including selection of the etching mask, ICP power, radio frequency (RF) power, ratio of mixed gas, flow rate, and chamber pressure, etc. In particular, the microtrench at the bottom corner of the mesa sidewall was eliminated by a combination of ICP dry etching and tetramethylammonium hydroxide (TMAH) wet treatment. Finally, a highly anisotropic profile of the mesa sidewall was realized by using the optimized etch recipe, and a quasi-vertical GaN SBD was demonstrated, achieving a low reverse current density of 10−8 A/cm2 at −10 V.","Dry etch; GaN; Inductively coupled plasma (ICP); Mesa; Quasi-vertical; Schottky barrier diode (SBD); Sidewall profile","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:6e97a477-3a0c-43bb-8c75-9e628c071962","http://resolver.tudelft.nl/uuid:6e97a477-3a0c-43bb-8c75-9e628c071962","Markov-based solution for information diffusion on adaptive social networks","Liu, Chuang (Hangzhou Normal University); Zhou, Nan (Hangzhou Normal University); Zhan, X. (TU Delft Multimedia Computing); Sun, Gui-Quan (Shanxi University; North University of China); Zhang, Zi-Ke (Shanxi University; Hangzhou Normal University)","","2020","There is currently growing interest in modeling the information diffusion on social networks across multi-disciplines, including the prediction of the news popularity, the detection of the rumors and the influence of the epidemiological studies. Following the framework of the epidemic spreading, the information spreading models assume that information can be transmitted from the known individuals (infected) to the un-known individuals (susceptible) through the network interactions. During this process, individuals also always change their interactions which in turn will greatly influence the information spreading. In this work, we propose a mechanism considering the co-evolution between information states and network topology simultaneously, in which the information diffusion was executed as an SIS process and network topology evolved based on the adaptive assumption. The theoretical analyses based on the Markov approach were very consistent with simulation. Both simulation results and theoretical analyses indicated that the adaptive process, in which informed individuals would rewire the links between the informed neighbors to a random non-neighbor node, can enhance information diffusion (leading to much broader spreading). In addition, we obtained that two threshold values exist for the information diffusion on adaptive networks, i.e., if the information propagation probability is less than the first threshold, information cannot diffuse and dies out immediately; if the propagation probability is between the first and second threshold, information will spread to a finite range and die out gradually; and if the propagation probability is larger than the second threshold, information will diffuse to a certain size of population in the network. These results may shed some light on understanding the co-evolution between information diffusion and network topology.","Adaptive social networks; Co-evolution; Information spreading","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-01","","","Multimedia Computing","","",""
"uuid:168648fa-d387-42ff-8d4f-342883a13e12","http://resolver.tudelft.nl/uuid:168648fa-d387-42ff-8d4f-342883a13e12","Chiral coupling of magnons in waveguides","Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft); Sharma, S. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University)","","2020","We theoretically investigate the collective excitations of multiple (sub)millimeter-sized ferromagnets mediated by waveguide photons. By the position of the magnets in the waveguide, the magnon-photon coupling can be tuned to be chiral, i.e., magnons only couple with photons propagating in one direction, leading to an asymmetric transfer of angular momentum and energy between the magnets. A large enhancement of the magnon number population can be achieved at an edge of a long chain of magnets. The chain also supports standing waves with low radiation efficiency that are inert to the chirality.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:61ba737d-bfbc-4c05-bde5-f33222c01f79","http://resolver.tudelft.nl/uuid:61ba737d-bfbc-4c05-bde5-f33222c01f79","Self-Healing Injectable Polymer Hydrogel via Dynamic Thiol-Alkynone Double Addition Cross-Links","Fan, B. (TU Delft ChemE/Advanced Soft Matter); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Liu, Q. (TU Delft ChemE/Product and Process Engineering); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2020","Introduction of dynamic thiol-alkynone double addition cross-links in a polymer network enable the formation of a self-healing injectable polymer hydrogel. A four-arm polyethylene glycol (PEG) tetra-thiol star polymer is cross-linked by a small molecule alkynone via the thiol-alkynone double adduct to generate a hydrogel network under ambient aqueous conditions (buffer pH = 7.4 or 8.2, room temperature). The mechanical properties of these hydrogels can be easily tuned by varying the concentration of polymer precursors. Through the dynamic thiol-alkynone double addition cross-link, these hydrogels are self-healing and shear thinning, as demonstrated by rheological measurements, macroscopic self-healing, and injection tests. These hydrogels can be injected through a 20G syringe needle and recover after extrusion. In addition, good cytocompatibility of these hydrogels is confirmed by cytotoxicity test. This work shows the application of the thiol-alkynone double addition dynamic covalent chemistry in the straightforward preparation of self-healing injectable hydrogels, which may find future biomedical applications such as tissue engineering and drug delivery.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:4e41f63a-019e-4348-b0f9-235ba40dc0e5","http://resolver.tudelft.nl/uuid:4e41f63a-019e-4348-b0f9-235ba40dc0e5","Piezobiocatalysis: Ultrasound-Driven Enzymatic Oxyfunctionalization of C-H Bonds","Yoon, Jaeho (Korea Advanced Institute of Science and Technology); Kim, Jinhyun (Korea Advanced Institute of Science and Technology); Tieves, F. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis); Alcalde, Miguel (C/); Hollmann, F. (TU Delft BT/Biocatalysis); Park, Chan Beum (Korea Advanced Institute of Science and Technology)","","2020","Peroxygenases have long inspired the selective oxyfunctionalization of various aliphatic and aromatic compounds, because of their broad substrate spectrum and simplicity of catalytic mechanism. This study provides a proof-of-concept of piezobiocatalysis by demonstrating peroxygenase-catalyzed oxyfunctionalization reactions fueled by piezocatalytically generated H2O2. Bismuth oxychloride (BiOCl) generated H2O2 in situ via an oxygen reduction reaction under ultrasonic wave conditions. Through the simple combination of water, ultrasound, recombinant, evolved unspecific peroxygenase from Agrocybe aegerita (rAaeUPO), and BiOCl, the piezobiocatalytic platform accelerated selective hydroxylation of ethylbenzene to enantiopure (R)-1-phenylethanol [total turnover number of rAaeUPO (TTNrAaeUPO), 2002; turnover frequency, 77.7 min-1 >99% enantiomeric excess (ee)]. The BiOCl-rAaeUPO couple also catalyzed other representative substrates (e.g., propylbenzene, 1-chloro-4-ethylbenzene, cyclohexane, and cis-β-methylstyrene) with high turnover frequency and selectivity. We alleviated the oxidative stress of piezocatalytically generated OH- on rAaeUPO by spatial separation of rAaeUPO and BiOCl, which resulted in greatly enhanced TTNrAaeUPO of >3900 and the notable prolongation of reaction time. Overall, the BiOCl-rAaeUPO couple serves as a mechanical-to-chemical energy conversion platform for driving peroxygenase-catalyzed reactions under ultrasonic conditions.","oxidation; oxyfunctionalization; peroxygenase; piezobiocatalysis; piezocatalysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-09-18","","","BT/Biocatalysis","","",""
"uuid:9c48a6a8-5615-4848-8799-738a84baca07","http://resolver.tudelft.nl/uuid:9c48a6a8-5615-4848-8799-738a84baca07","Revealing the Impact of Space-Charge Layers on the Li-Ion Transport in All-Solid-State Batteries","Cheng, Zhu (Nanjing University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Li, Z. (TU Delft RST/Storage of Electrochemical Energy); Zhang, Xiaoyu (Nanjing University); He, Ping (Nanjing University); Zhou, Haoshen (Nanjing University; National Institute of Advanced Industrial Science and Technology (AIST)); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Li, Chau (Nanjing University)","","2020","The influence of space-charge layers on the ionic charge transport over cathode-solid electrolyte interfaces in all-solid-state batteries remains unclear because of the difficulty to unravel it from other contributions to the ion transport over the interfaces. Here, we reveal the effect of the space-charge layers by systematically tuning the space-charge layer on and off between Li xV 2O 5 and Li 1.5Al 0.5Ge 1.5(PO 3) 4 (LAGP), by changing the Li xV 2O 5 potential and selectively measuring the ion transport over the interface by two-dimensional (2D) NMR exchange. The activation energy is demonstrated to be 0.315 eV for lithium-ion exchange over the space-charge-free interface, which increases dramatically to 0.515 eV for the interface with a space-charge layer. Comparison with a space-charge model indicates that the charge distribution due to the space-charge layer is responsible for the increased interface resistance. Thereby, the present work provides selective and quantitative insight into the effect of space-charge layers over electrode-electrolyte interfaces on ionic transport.","2D exchange NMR; interfaces; LAGP; Li-ion diffusion; LiVO; solid-state batteries; space-charge layers","en","journal article","","","","","","","","2021-06-17","","","RST/Storage of Electrochemical Energy","","",""
"uuid:cea2be19-83d3-4712-a029-5e0b7b4c1fe4","http://resolver.tudelft.nl/uuid:cea2be19-83d3-4712-a029-5e0b7b4c1fe4","Data-driven retrieval of primary plane-wave responses","Meles, G.A. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Medical Imaging; TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2020","Seismic images provided by reverse time migration can be contaminated by artefacts associated with the migration of multiples. Multiples can corrupt seismic images, producing both false positives, that is by focusing energy at unphysical interfaces, and false negatives, that is by destructively interfering with primaries. Multiple prediction/primary synthesis methods are usually designed to operate on point source gathers and can therefore be computationally demanding when large problems are considered. A computationally attractive scheme that operates on plane-wave datasets is derived by adapting a data-driven point source gathers method, based on convolutions and cross-correlations of the reflection response with itself, to include plane-wave concepts. As a result, the presented algorithm allows fully data-driven synthesis of primary reflections associated with plane-wave source responses. Once primary plane-wave responses are estimated, they are used for multiple-free imaging via plane-wave reverse time migration. Numerical tests of increasing complexity demonstrate the potential of the proposed algorithm to produce multiple-free images from only a small number of plane-wave datasets.","Multiple attenuation; Reverse-time migration; Seismic imaging","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:5cea4dd2-844e-4aea-be3c-ed2a9f6918f8","http://resolver.tudelft.nl/uuid:5cea4dd2-844e-4aea-be3c-ed2a9f6918f8","Random voids generation and effect of thermal shock load on mechanical reliability of light-emitting diode flip chip solder joints","Fan, J. (TU Delft Electronic Components, Technology and Materials; Changzhou Xingyu Automotive Lighting System Co, Ltd.; Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Wu, Jie (Changzhou Xingyu Automotive Lighting System Co, Ltd.); Jiang, Changzhen (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Zhang, H. (TU Delft Electronic Components, Technology and Materials); Ibrahim, M.S. (TU Delft Electronic Components, Technology and Materials; The Hong Kong Polytechnic University); Deng, Liang (Changzhou Xingyu Automotive Lighting System Co, Ltd.)","","2020","To make the light-emitting diode (LED) more compact and effective, the flip chip solder joint is recommended in LED chip-scale packaging (CSP) with critical functions in mechanical support, heat dissipation, and electrical conductivity. However, the generation of voids always challenges the mechanical strength, thermal stability, and reliability of solder joints. This paper models the 3D random voids generation in the LED flip chip Sn96.5-Ag3.0-Cu0.5 (SAC305) solder joint, and investigates the effect of thermal shock load on its mechanical reliability with both simulations and experiments referring to the JEDEC thermal shock test standard (JESD22-A106B). The results reveal the following: (1) the void rate of the solder joint increases after thermal shock ageing, and its shear strength exponentially degrades. (2) the first principal stress of the solder joint is not obviously increased, however, if the through-hole voids emerged in the corner of solder joints, it will dramatically increase. (3) modelling of the fatigue failure of solder joint with randomly distributed voids utilizes the approximate model to estimate the lifetime, and the experimental results confirm that the absolute prediction error can be controlled around 2.84%.","Flip chip; Light-emitting diode; Randomly distributed voids; Reliability; Solder joint","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:efdb488a-8320-413d-a892-08769ab6caea","http://resolver.tudelft.nl/uuid:efdb488a-8320-413d-a892-08769ab6caea","Parameterization and Application of Stanghellini Model for Estimating Greenhouse Cucumber Transpiration","Yan, H. (Jiangsu University; Nanjing Hydraulic Research Institute); Huang, Song (Jiangsu University); Zhang, C. (Jiangsu University); Coenders-Gerrits, Miriam (TU Delft Water Resources); Wang, Guoqing (Nanjing Hydraulic Research Institute); Zhang, Jianyun (Nanjing Hydraulic Research Institute); Zhao, Baoshan (Jiangsu University; Shenzhen Water Planning & Design Institute Co); Acquah, Samuel Joe (Jiangsu University); Wu, Haimei (Jiangsu University); Fu, Hanwen (Jiangsu University)","","2020","Accurate estimation of transpiration (Tr) is important in the development of precise irrigation scheduling and to enhance water-use efficiency in agricultural production. In this study, the air temperature (Ta) and relative humidity (RH) were measured at three different heights (0.5, 1.0, and 1.8 m above the ground near the plant canopy) parameterize aerodynamic resistance (ra) based on the heat transfer coefficient method and to estimate Tr using the Stanghellini model (SM) during two growing seasons of cucumber in a greenhouse. The canopy resistance (rc) was parameterized by an exponential relationship of stomata resistance and solar radiation, and the estimated Tr was compared to the values measured with lysimeters. After parameterization of ra and rc, the efficiency (EF) and the Root Mean Square Error (RMSE) of the estimated Tr by the SM based on micrometeorological data at a height of 0.5 m were 95% and 18 W m−2, respectively, while the corresponding values were 86% and 29 W m−2 at a height of 1.8 m for the autumn planting season. For the spring planting season, the EF and RMSE were 92% and 34 W m−2 at a height of 0.5 m, while the corresponding values were 81% and 56 W m−2 at a height of 1.8 m, respectively. This work demonstrated that when micrometeorological data within the canopy was applied alongside the data measured above the canopy, the SM led to better agreement with the lysimeter measurements.","Aerodynamic resistance; Canopy resistance; Different observation heights; Micrometeorological data; Stanghellini model; Transpiration","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:40be966e-1896-4374-b840-45763d92fbec","http://resolver.tudelft.nl/uuid:40be966e-1896-4374-b840-45763d92fbec","Effect of temperature and humidity on moisture diffusion in an epoxy moulding compound material","Jansen, K.M.B. (TU Delft Emerging Materials); Zhang, M. F. (Infineon Technologies AG); Ernst, L. J. (Ernst Consultant); Vu, D. K. (Infineon Technologies AG); Weiss, L. (Infineon Technologies AG)","","2020","In this paper we propose a new multistep characterisation method to be able to map out the dependency of moisture diffusion parameters of a polymeric material over a range of temperature and humidity conditions in a limited amount of time. We do that by (1) using a moisture sorption analyser which can continuously monitor weight changes with microgram accuracy, (2) using thin samples which speeds up the diffusion process and (3) already switch to the next humidity level at 90 or 95% completion of a diffusion step. A multistep diffusion model was developed to account for the overlapping diffusion steps. This model showed to be extremely accurate for fitting experiments consisting of five absorption and one desorption steps. We show that for temperatures up to 85 °C and humidity level between 0 and 85% RH the diffusion of our material was essentially Fickian with a diffusion coefficient ranging from 3.8 × 10−7 mm2/s at 20 °C to 3.6 × 10−6 mm2/s at 85 °C. The moisture saturation concentrations showed a slightly non-linear variation with the applied humidity level.","Diffusion; Micro-electronic device; Modelling; Moisture; Moulding compound","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:8595149f-9e21-4119-8f28-65581a19ca66","http://resolver.tudelft.nl/uuid:8595149f-9e21-4119-8f28-65581a19ca66","Maintaining transparency of a heated MEMs membrane for enabling long-term optical measurements on soot-containing exhaust gas","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Ghaderi, Mohammadamir (Chalmers University of Technology); Bilby, David (Ford Motor Company); Visser, Jaco H. (Ford Motor Company); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Lundgren, Per (Chalmers University of Technology); Enoksson, Peter (Chalmers University of Technology); Wolffenbuttel, R.F. (Chalmers University of Technology)","","2020","Ensuring optical transparency over a wide spectral range of a window with a view into the tailpipe of the combustion engine, while it is exposed to the harsh environment of sootcontaining exhaust gas, is an essential pre-requisite for introducing optical techniques for long-term monitoring of automotive emissions. Therefore, a regenerable window composed of an optically transparent polysilicon-carbide membrane with a diameter ranging from 100 µm up to 2000 µm has been fabricated in microelectromechanical systems (MEMS) technology. In the first operating mode, window transparency is periodically restored by pulsed heating of the membrane using an integrated resistor for heating to temperatures that result in oxidation of deposited soot (600–700 °C). In the second mode, the membrane is kept transparent by repelling soot particles using thermophoresis. The same integrated resistor is used to yield a temperature gradient by continuous moderate-temperature heating. Realized devices have been subjected to laboratory soot exposure experiments. Membrane temperatures exceeding 500 °C have been achieved without damage to the membrane. Moreover, heating of membranes to ΔT = 40 °C above gas temperature provides sufficient thermophoretic repulsion to prevent particle deposition and maintain transparency at high soot exposure, while non-heated identical membranes on the same die and at the same exposure are heavily contaminated.","Heated silicon carbide window; On-board diagnostics; Optical automotive instrumentation; Optical MEMS; Surface regeneration from soot deposits; Suspended membranes; Thermophoretic repulsion of soot","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:c874b613-bde3-4403-a790-bd68156187ec","http://resolver.tudelft.nl/uuid:c874b613-bde3-4403-a790-bd68156187ec","Micromechanical testing and modelling of blast furnace slag cement pastes","Šavija, B. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2020","This work aims to understand deformation and fracture processes in blast furnace slag cement pastes made using CEM III/B which is commonly used in the Dutch infrastructure sector. First, based on our previous work on Portland cement pastes, a micromechanical model utilizing nanoindentation and X-ray computed tomography (CT) for input is created. Statistical analysis are carried out and shows that grayscale values from X-ray CT scans of slag pastes can be linearly correlated with nanoindentation measurements of elastic modulus. Simulations of uniaxial tension are then performed for varying w/c ratios using the Delft lattice model and microstructure obtained from X-ray CT. In addition, advanced micromechanical experiments for estimating the micro-scale tensile strength and elastic modulus are performed. Experimental and simulation results are then critically discussed and compared. It shows that simulation results match the measured tensile strength quite well although some discrepancy does exist at lower w/c ratios. In addition, the observations are compared to our previous findings on ordinary Portland cement pastes. It is found that tensile strength and elastic moduli of slag pastes at 28 days are higher than those of Portland cement pastes with the same w/c ratio. This study will form a basis for micromechanical testing and modelling of blended cement paste systems in the future.","Experimental testing; Lattice model; Micromechanics; Nanoindentation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-23","","","Materials and Environment","","",""
"uuid:4ee69e2d-0234-4019-abca-a885938f2474","http://resolver.tudelft.nl/uuid:4ee69e2d-0234-4019-abca-a885938f2474","Self healing of radiation-induced damage in Fe–Au and Fe–Cu alloys: Combining positron annihilation spectroscopy with TEM and ab initio calculations","Zhang, Shasha (Nanjing University of Aeronautics and Astronautics); Cizek, Jakub (Charles University); Yao, Zhengjun (Nanjing University of Aeronautics and Astronautics); Oleksandr, Moliar (Nanjing University of Aeronautics and Astronautics); Kong, Xiangshan (Institute of Solid State Physics Chinese Academy of Sciences); Liu, Changsong (Institute of Solid State Physics Chinese Academy of Sciences); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2020","Self healing of early stage radiation damage by site selective solute segregation is a promising approach to extend the lifetime of nuclear reactor components. In the present study, the creation and autonomous healing of irradiation-induced damage is investigated in pure Fe and high purity Fe–Au and Fe–Cu model alloys. To create radiation damage samples are irradiated at 550 °C by 120 keV He+ ions with fluences of 5.0 × 1015, 1.0 × 1016 and 5.0 × 1016 ions/cm2. The observed increase in the S and W parameters determined in the variable energy positron annihilation spectroscopy measurements indicates the formation of vacancy-like defects, precipitates and vacancy-solute complexes. The presence of substitutionally dissolved Au is found to reduce the formation of radiation defects more efficiently than solute Cu. Site-specific Au precipitation at defect sites is indicated, which results in damage healing with a reduced swelling, whereas Cu precipitates and radiation damage only show weak interaction. Ab initio calculations show that the binding energies of Au solutes to vacancy clusters (Au-Vn) are significantly larger than those of Cu solutes (Cu-Vn) whereas the binding energies of helium filled vacancy clusters Au-HenVn and Cu-HenVn are comparable.","Ab initio calculations; Au/Cu precipitation; bcc Fe; Positron annihilation spectroscopy; Radiation-induced defects; Self-healing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:939e2480-5181-476e-aeb3-a50536d90224","http://resolver.tudelft.nl/uuid:939e2480-5181-476e-aeb3-a50536d90224","Micromechanical modelling of complex shear modulus of crumb rubber modified bitumen","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2020","Crumb rubber modified bitumen (CRMB) can be considered as a binary composite system where rubber particles are embedded in the bitumen matrix. The bitumen-rubber interaction process (mainly swelling) significantly changes the mechanical properties of both bitumen and rubber phases. This study aims to predict the complex moduli of CRMB binders with more representative constituent parameters using micromechanical models. To achieve this goal, frequency sweep tests using a dynamic shear rheometer were performed on the liquid phase of CRMB and swollen rubber samples to represent the essential properties of bitumen matrix and rubber inclusion. In addition, the numerical swelling model was developed to estimate the effective volume concentration of rubber after swelling. Results show that the liquid phases of CRMB are stiffer and more elastic than the neat bitumen while the swollen rubber is softer and more viscous than the dry rubber. The effective volume concentration of rubber can increase to 2.126 times as the blend percentage based on the finite element analysis. Using the liquid phase of CRMB binder and swollen rubber properties as the micromechanical model inputs yield more accurate predictions. The used four micromechanical models predict well at higher frequencies while underestimating the complex modulus at lower frequencies.","Complex modulus; Crumb rubber modified bitumen; Finite element model; Micromechanics; Swelling","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:1e2dc3b5-dca1-4473-9595-66ee7e7571b9","http://resolver.tudelft.nl/uuid:1e2dc3b5-dca1-4473-9595-66ee7e7571b9","Hard or soft flood adaptation? Advantages of a hybrid strategy for Shanghai","Du, Shiqiang (Shanghai Normal University; Vrije Universiteit Amsterdam); Scussolini, Paolo (Vrije Universiteit Amsterdam); Ward, Philip J. (Vrije Universiteit Amsterdam); Zhang, Min (Shanghai Normal University); Wen, Jiahong (Shanghai Normal University); Wang, Luyang (Shanghai Normal University); Koks, Elco (Vrije Universiteit Amsterdam); Diaz-Loaiza, Andres (TU Delft Hydraulic Structures and Flood Risk; Vrije Universiteit Amsterdam); Gao, Jun (Shanghai Normal University); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Aerts, Jeroen C.J.H. (Vrije Universiteit Amsterdam)","","2020","Flood risk is expected to increase in coastal cities, particularly in Asian megacities such as Shanghai. This paper presents an integrated modeling framework to simulate changes in the flood risk in Shanghai and provide a cost-benefit analysis of multiple adaptation strategies used to reduce risk. The results show that the potential flood risk will increase dramatically as a result of sea level rise, land subsidence, and socioeconomic development. By 2100, the expected annual damage could reach 0.8% (uncertainty range: 0.4%–1.4%) of local GDP under an optimistic emission scenario (RCP4.5), compared to the current value of 0.03%. All of the adaptation strategies can effectively reduce the flood risk under the current conditions and those in 2050. In contrast to the ‘hard’ flood protection strategies (i.e., storm-surge barriers and floodwalls), the ‘soft’ strategies (i.e., building codes and nature-based measures) cannot substantially reduce the flood risk in 2100. However, the soft strategies can play a critical role in reducing the residual risk resulting from the hard strategies. A ‘hybrid’ strategy combining a storm-surge barrier, wet-proofing, and coastal wetland development outperforms both hard and soft strategies in terms of low residual risk and high benefit/cost ratio. Additionally, the hybrid strategy can also enable a larger reduction in casualties. These findings imply that managing flood risk is more than the use of single adaptation measures. The methodology developed in this paper can enlighten Shanghai and other coastal cities on an economically and socially feasible adaptation strategy in an uncertain future.","Climate change; Coastal flood; Cost-benefit analysis; Nonstationarity; Risk management","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:a2622ec5-2fad-4eb0-879f-214ba24dbacd","http://resolver.tudelft.nl/uuid:a2622ec5-2fad-4eb0-879f-214ba24dbacd","Micromechanics-guided development of a slag/fly ash-based strain-hardening geopolymer composite","Zhang, Shizhe (TU Delft Materials and Environment); Li, Victor C. (University of Michigan); Ye, G. (TU Delft Materials and Environment)","","2020","Strain-hardening geopolymer composite (SHGC) lately emerged as a promising alternative to traditional strain-hardening cementitious composite with added advantages of industrial by-product utilization and enhanced sustainability. However, as the design of SHGC requires multi-factor optimization, the application of traditional trial-and-error method is inefficient and hinders the development of this material. This paper aims at the development of a slag/fly ash-based SHGC with low slag content using a micromechanical model to guide the composite mixture design. To this end, experimentally characterized physical properties of fiber, matrix and interface are used as input for the micromechanical model, which serves as a predictive tool for the tensile performance of SHGC. Following the guidance, a slag/fly ash-based SHGC with tensile strain capacity of 4.8% and ultimate tensile strength above 3.8 MPa was systematically developed. The feasibility and effectiveness of using micromechanics as the design basis of SHGC are demonstrated and experimentally verified.","Fly ash; Geopolymer; Micromechanics; Slag; Strain-hardening; Tensile","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c182b389-8361-4e05-b795-982b8fe62be4","http://resolver.tudelft.nl/uuid:c182b389-8361-4e05-b795-982b8fe62be4","Dopamine hydrochloride and carboxymethyl chitosan coatings for multifilament surgical suture and their influence on friction during sliding contact with skin substitute","Zhang, Gangqiang (Qingdao University of Technology; Shanghai Jiao Tong University; Chinese Academy of Sciences); Zheng, Ganlin (Shanghai Jiao Tong University); Ren, Tianhui (Shanghai Jiao Tong University; Chinese Academy of Sciences); Zeng, Xiangqiong (University of Twente); van der Heide, E. (TU Delft Railway Engineering; University of Twente; Universiteit Gent)","","2020","In order to reduce the damage to tissue and fill the interstices between fibers, multifilament sutures are frequently treated with certain coating materials. The objective of this study was to create and characterize dopamine hydrochloride (DA) and carboxymethyl chitosan (CMCS) coatings on surgical sutures and investigate their effects on the frictional performance of the surgical sutures during sliding through a skin substitute. The effects of the treatment on the physical and chemical characteristics of the surgical sutures were evaluated. The friction force of the surgical sutures during sliding through the skin substitute was experimentally determined using a penetration friction apparatus. The coefficient of friction (COF) was calculated using a linear elastic model and was used to estimate the frictional behavior of the surgical suture-skin interactions. The results showed that the DA coating could evenly deposit on the surface of the etched multifilament surgical suture surfaces in a weakly alkaline buffer solution. The CMCS coating material could form a uniform film on the surface of the sutures. Minor changes in the surface roughness of the multifilament surgical sutures with different treatments occurred in this study. The friction force and the COF of the multifilament surgical sutures with DA and CMCS coating showed little change when compared with untreated multifilament surgical sutures.","Biomaterials; coating; friction; skin substitute; surgical suture","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:8d3ee64c-2f59-4c91-888c-4f82eae45759","http://resolver.tudelft.nl/uuid:8d3ee64c-2f59-4c91-888c-4f82eae45759","Towards multi-functional SiO2 @YAG: Ce core–shell optical nanoparticles for solid state lighting applications","Khouzani, Mahdi Kiani (Isfahan University of Technology); Bahrami, Abbas (Isfahan University of Technology); Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","This paper aims to investigate the synthesis, structure, and optical properties of SiO2 @YAG:Ce core–shell optical nanoparticles for solid state lighting applications. YAG:Ce phosphor is a key part in white light emitting diodes (LEDs), with its main functionality being the generation of yellow light. Generated yellow light from phosphor will be combined with blue light, emitted from chip, resulting in the generation of white light. Generated light in LEDs will often be scattered by SiO2 nanoparticles. SiO2 nanoparticles are often distributed within the optical window, aiming for a more homogeneous light output. The main idea in this research is to combine these functionalities in one core–shell particle, with its core being SiO2 and its shell being phosphor. In this study core–shell nanoparticles with different Ce3+ concentrations were synthesized by a sol–gel method. Synthesized nanoparticles were characterized by X-ray diffraction (XRD), small angle X-ray scattering (SAXS) analysis, high resolution transmission electron macroscopy (HRTEM), Fourier transform infrared (FTIR), and photoluminescence spectroscopy. Luminescence characteristics of SiO2 @YAG:Ce core–shell particles were compared with that of SiO2 /YAG:Ce mixture composite, which is now used in commercial LEDs. Obtained results showed that core–shell nanoparticles have comparatively much better optical properties, compared to SiO2 /YAG:Ce mixture composite and can therefore be potentially used in LEDs.","Core; Nanoparticles; Optical properties; Shell; SiO @YAG:Ce; Small angle X-ray scattering (SAXS); Solid state lighting; nanoparticles; SiO2@YAG:Ce; core-shell","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:85be989d-1b49-4036-a74f-8af1af3fb227","http://resolver.tudelft.nl/uuid:85be989d-1b49-4036-a74f-8af1af3fb227","Assessing the global resilience of water quality sensor placement strategies within water distribution systems","Zhang, Qingzhou (Zhejiang University); Zheng, Feifei (Zhejiang University); Kapelan, Z. (TU Delft Sanitary Engineering); Savic, Dragan (University of Exeter); He, Guilin (Shandong Jianzhu University); Ma, Yiyi (Zhejiang University)","","2020","Water quality sensors are often spatially distributed in water distribution systems (WDSs) to detect contamination events and monitor quality parameters (e.g., chlorine residual levels), thereby ensuring safety of a WDS. The performance of a water quality sensor placement strategy (WQSPS) is not only affected by sensor spatial deployment that has been extensively analyzed in literature, but also by possible sensor failures that have been rarely explored so far. However, enumerating all possible sensor failure scenarios is computationally infeasible for a WQSPS with a large number of sensors. To this end, this paper proposes an evolutionary algorithm (EA) based method to systematically and efficiently investigate the WQSPS′ global resilience considering all likely sensor failures. First, new metrics are developed in the proposed method to assess the global resilience of a WQSPS. This is followed by a proposal of an efficient optimization approach based on an EA to identify the values of global resilience metrics. Finally, the sensors within the WQSPS are ranked to identify their relative importance in maintaining the WQSPS's detection performance. Two real-world WDSs with four WQSPSs for each case study are used to demonstrate the utility of the proposed method. Results show that: (i) compared to the traditional global resilience analysis method, the proposed EA-based approach identifies improved values of global resilience metrics, (ii) the WQSPSs that deploy sensors close to large demand users are overall more resilient in handling sensor failures relative to other design solutions, thus offering important insight to facilitate the selection of WQSPSs, and (iii) sensor rankings based on the global resilience can identify those sensors whose failure would significantly reduce the WQSPS's performance thereby providing guidance to enable effective water quality sensor management and maintenance.","Contamination intrusion; Global resilience; Water distribution system; Water quality sensor placement strategy","en","journal article","","","","","","Accepted author manuscript","","2022-01-28","","","Sanitary Engineering","","",""
"uuid:60a99989-5617-47f5-aafa-decefc74e771","http://resolver.tudelft.nl/uuid:60a99989-5617-47f5-aafa-decefc74e771","Evaluation of white rot fungi pretreatment of mushroom residues for volatile fatty acid production by anaerobic fermentation: Feedstock applicability and fungal function","Fang, W. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; Beijing Forestry University); Zhang, X. (TU Delft Sanitary Engineering; Veolia Water Technologies); Zhang, Panyue (Beijing Forestry University); Carol Morera, Xavier (Universitat Ramon Llull); van Lier, J.B. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2020","White rot fungi using P. sajor-caju and T. versicolor was examined to pretreat raw champost (lignin-rich) and oyster champost (cellulose-rich) for enhancement of fermentative volatile fatty acid (VFA) production. Results showed that the efficiency of pretreatment and VFA production was influenced by the fungal strains and substrates. P. sajor-caju pretreatment showed preferential lignin degradation on raw champost and obtained the maximum VFA yield (203 ± 9 mg COD/g VSadded), which increased by 60% and 74% compared to that of control and unpretreated champost, respectively. For cellulose-rich oyster champost, however, fungal pretreatment decreased VFA yield compared to unpretreated champost. Further mechanisms analysis demonstrated the two strains grow and secreted ligninolytic enzymes, which substantially influenced the characteristics of two champosts such as cellulose/lignin ratio and morphology in different extents. P. sajor-caju was highly efficient to lignin-rich champost on selectively degrading lignin and further enhancing digestibility such as VFA production.","Anaerobic fermentation; Fungal pretreatment; Mushroom residues; VFA production; White rot fungi","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-05-18","","","Sanitary Engineering","","",""
"uuid:cb1cf835-0564-47a3-bfa9-0ca3ed08ed77","http://resolver.tudelft.nl/uuid:cb1cf835-0564-47a3-bfa9-0ca3ed08ed77","Pore size dependent connectivity and ionic transport in saturated cementitious materials","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ye, G. (TU Delft Materials and Environment); Yang, Zhengxian (Fuzhou University)","","2020","Microstructure-property relationship has drawn strong attention in modern material science. The progress achieved in this field relies on a common basis that the material performance originates from the microstructure. This paper brings together new insights and facts from experiments regarding the pore size dependent connectivity and its relation to ionic transport property in saturated cementitious materials. An innovative measurement, i.e. intrusion-extrusion cyclic mercury porosimetry (IEC-MIP), is proposed to distinguish between the small capillary pores that are present within clusters of hydration products and the large capillary pores that are left out of hydration products. The distribution of connectivity as a function of pore size in cementitious materials is analyzed. A novel transport parameter, i.e. connectivity of small capillary pores, is introduced and quantified by IEC-MIP measurements. The ionic transport was measured by means of rapid chloride migration tests. A power relationship is established between connectivity of small capillary pores and chloride migration coefficient for cementitious materials irrespective of the binder type.","Cementitious material; Chloride transport; Intrusion–extrusion; Mercury porosimetry; Pore connectivity","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-06","","","Materials and Environment","","",""
"uuid:faacc554-0c12-4908-bfcc-5b52bcac6c36","http://resolver.tudelft.nl/uuid:faacc554-0c12-4908-bfcc-5b52bcac6c36","New insights into long-term chloride transport in unsaturated cementitious materials: Role of degree of water saturation","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ye, G. (TU Delft Materials and Environment); Yang, Zhengxian (Fuzhou University)","","2020","Concrete is rarely saturated. Reliable durability design of marine concrete structures requires a solid understanding of the long-term chloride transport in unsaturated concretes. This paper presents a critical analysis of the time-dependent chloride diffusion coefficient in unsaturated cementitious materials exposed to marine environment. Evolutions of pore structure and chloride diffusion coefficient in saturated cementitious materials, along with the role of the degree of water saturation in long-term chloride diffusion, are analyzed. It is emphasized that the long-term sharp decrease of the chloride diffusion coefficient in marine cementitious materials is not primarily caused by densification of the microstructure due to hydration, but by the decreasing degree of water saturation with depth in the surface part of the materials. The effects of water/binder ratio and supplementary cementitious materials on chloride diffusion coefficient are different between saturated and unsaturated cementitious materials.","Cementitious material; Chloride; Degree of water saturation; Long-term transport; Pore structure","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-06","","","Materials and Environment","","",""
"uuid:8d8f5189-46c4-4d5e-81ef-3b51ff5509a9","http://resolver.tudelft.nl/uuid:8d8f5189-46c4-4d5e-81ef-3b51ff5509a9","Mediation of high temperature radiation damage in bcc iron by Au or Cu precipitation","Zhang, Shasha (Nanjing University of Aeronautics and Astronautics); Yao, Zhengjun (Nanjing University of Aeronautics and Astronautics); Zhang, Zhaokuan (Nanjing University of Aeronautics and Astronautics); Oleksandr, Moliar (Nanjing University of Aeronautics and Astronautics); Chen, Feida (Nanjing University of Aeronautics and Astronautics); Cao, Xingzhong (Institute of High Energy Physics Chinese Academy of Science); Zhang, Peng (Institute of High Energy Physics Chinese Academy of Science); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2020","High temperature radiation damage in binary bcc Fe alloys containing 1 atomic % Au or Cu due to Fe ion irradiation at 550 °C to a peak dose of 2.8 and 8.3 dpa is studied. The precipitation behavior of gold and copper and its correlation to the irradiation-induced defects is studied by transmission electron microscopy and variable energy positron annihilation spectroscopy (VEPAS). The increase of S parameters from VEPAS indicates the formation of open volume defects upon irradiation. Disc-shaped Au precipitates, grown from the irradiation induced dislocations, are observed in the Fe-Au alloy. In the Fe-Cu alloy, spherical Cu particles are formed but no direct connection between Cu precipitates and radiation damage is detected. For the Fe-Au alloy, the surface hardness dramatically increases for a dose of 2.8 dpa, with a slight decrease as the irradiation dose is enhanced to 8.3 dpa. In the Fe-Cu alloy, radiation hardening increases continuously.","Au/Cu precipitation; bcc Fe; Hardening; Nanoindentation; Positron annihilation spectroscopy; Radiation damage","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:fd61f15c-9d07-4c2a-a21e-59f0b563dab2","http://resolver.tudelft.nl/uuid:fd61f15c-9d07-4c2a-a21e-59f0b563dab2","Physically-based landslide prediction over a large region: Scaling low-resolution hydrological model results for high-resolution slope stability assessment","Wang, S. (TU Delft Water Resources; Hohai University); Zhang, Ke (Hohai University); van Beek, Ludovicus P.H. (Universiteit Utrecht); Tian, X. (TU Delft Water Resources); Bogaard, T.A. (TU Delft Water Resources)","","2020","Rainfall-triggered shallow landslides are widespread natural hazards around the world, causing many damages to human lives and property. In this study, we focused on predicting landslides in a large region by coupling a 1 km-resolution hydrological model and a 90 m-resolution slope stability model, where a downscaling method for soil moisture via topographic wetness index was applied. The modeled hydrological processes show generally good agreements with the observed discharges: relative biases and correlation coefficients at three validation stations are all <20% and >0.60, respectively. The derived scaling law for soil moisture allows for near-conservative downscaling of the original 1-km soil moisture to 90-m resolution for slope stability assessment. For landslide prediction, the global accuracy and true positive rate are 97.2% and 66.9%, respectively. This study provides an effective and computationally efficient coupling method to predict landslides over large regions in which fine-scale topographical information is incorporated.","Hydrological model; Infinite slope model; Landslide prediction; Scaling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-18","","","Water Resources","","",""
"uuid:fda952af-7431-447e-ba94-d28b33cf3116","http://resolver.tudelft.nl/uuid:fda952af-7431-447e-ba94-d28b33cf3116","Efficiency and durability of g-C3N4-based coatings applied on mortar under peeling and washing trials","Yang, Yu (Fuzhou University); Ji, Tao (Fuzhou University); Yang, Zhengxian (Fuzhou University); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Su, Wenyue (Fuzhou University); Wu, Ronghan (Fuzhou University); Wu, Zehao (Fuzhou University)","","2020","Durability of photocatalytic coatings is a major concern in engineering practice. Here, two types of novel visible light-responsive coatings, both consisting of vinyl chloride/vinyl ester/ethylene copolymer (as a binder) and graphitic carbon nitride (g-C3N4) but different in fabrication, are proposed and applied on the mortar surface. The first type is mono-layer coating (MC), where the g-C3N4 suspension containing the binder is directly sprayed on the mortar. The second type is double-layer coating (DC), where the binder layer is applied on mortar surface before spraying the g-C3N4 layer. Results show that the binder addition leads to a good anchorage of the coatings on both MC and DC mortar substrates, along with desirable resistance to peeling and washing, compared to the g-C3N4 coated mortar without the binder. The well-distributed binder in g-C3N4-based coating inevitably decreases the photocatalytic efficiency of the MC mortar due to masking effect of the binder on the coating surface. The DC mortar, on the contrary, takes full advantage of the binder adhesion by inserting a binder layer and therefore holds strong resistance to peeling and washing without compromising its photocatalytic efficiency. The proposed DC technique provides a promising strategy to fabricate highly cost-effective and durable photocatalytic coatings applied on cementitious materials.","Durability; g-CN-based coatings; Mortar substrate; Photocatalytic NO removal","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-09","","","Materials and Environment","","",""
"uuid:2d9a5e3f-c804-4a3a-b390-c5b77390562a","http://resolver.tudelft.nl/uuid:2d9a5e3f-c804-4a3a-b390-c5b77390562a","Stress-induced assays for polyphosphate quantification by uncoupling acetic acid uptake and anaerobic phosphorus release","Feng, Cuijie (Politecnico di Milano); Welles, L. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Zhang, X. (TU Delft Sanitary Engineering); Pronk, M. (TU Delft BT/Environmental Biotechnology); de Graaff, D.R. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2020","Phosphorus has been successfully eliminated from wastewater by biological techniques of enhanced biological phosphorus removal (EBPR) process, which relies on a specific microbiota of polyphosphate accumulating organisms (PAOs) that accumulate phosphate as polyphosphates (poly-P). Most methods for quantification of poly-P pools suffer from low accuracy and specificity. More powerful and implementable P-analysis tools are required for poly-P quantification, which will help in improved evaluation of processes in laboratory and full-scale EBPR systems. This study developed two methods to quantify poly-P pools by releasing the poly-P from the cell. During experimental optimization, it was observed that two different methods resulted in the highest phosphate release: acetate addition at a pH of 4.8 and exposure to EDTA solution with a concentration of 1% (w/v). Treatment with EDTA resulted in a higher amount of phosphate release from all sludge samples. This was characterized by P-release of 1.5–2.5 times higher than the control tests. In contrast, treatments with acetate addition at a low pH exhibited that P-release depended upon the types of the sludge samples. The highest P-release amount and rate were found in highly-enriched PAO sludge samples, but with fewer influences on the sludge collected from WWTP, which may be attributed to the lower fraction of PAOs in the sludge. Overall, the proposed approaches to quantify the poly-P concentration can be applied in simple, user-friendly, and cost-effective ways.","Enhanced biological phosphorus removal (EBPR); Polyphosphate (poly-P); Polyphosphate-accumulating organisms (PAOs); Quantification","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:8ce53c93-3fbc-443d-9883-f3db64d59256","http://resolver.tudelft.nl/uuid:8ce53c93-3fbc-443d-9883-f3db64d59256","Beam displacement and blur caused by fast electron beam deflection","Zhang, L. (TU Delft ImPhys/Charged Particle Optics; Beihang University); Garming, M.W.H. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2020","Electrostatic beam blankers are an alternative to photo-emission sources for generating pulsed electron beams for Time-resolved Cathodoluminescence and Ultrafast Electron Microscopy. While the properties of beam blankers have been extensively investigated in the past for applications in lithography, characteristics such as the influence of blanking on imaging resolution have not been fully addressed. We derive general analytical expressions for the spot displacement and loss in resolution induced by deflecting the electron beam in a blanker. In particular, we analyze the sensitivity of both measures to how precise the conjugate focus is aligned in between the deflector plates. We then work out the specific case of a beam blanker driven by a linear voltage ramp as was used in recent studies by others and by us. The result shows that the spot displacement and focus blur can be reduced to the same order as the electron beam probe size, even when using a beam blanker of millimeter or larger scale dimensions. An interesting result is that, by the right choice of the focus position in the deflector, either the spot displacement from the stationary position can be minimized, or the blur can be made zero but not both at the same time. Our results can be used both to characterize existing beam blanker setups and to design novel blankers. This can further develop the field of time-resolved electron microscopy by making it easier to generate pulses with a typical duration of tens of picoseconds in a regular scanning electron microscope at high spatial resolution.","Cathodoluminescence; Electrostatic deflector; Fast beam blanker; Scanning electron microscopy; Ultrafast electron microscopy","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:227dbe94-16d9-4241-8bf0-c4f5f57dd898","http://resolver.tudelft.nl/uuid:227dbe94-16d9-4241-8bf0-c4f5f57dd898","Dialysis membrane enclosed laccase catalysis combines a controlled conversion rate and recyclability without enzyme immobilization","Zhang, Jie (Chongqing University); Li, Fukun (Chongqing University); Wang, Ruiqi (Chongqing University); Tan, Xuemei (Chongqing University); Hagedoorn, P.L. (TU Delft BT/Biocatalysis)","","2020","Laccase is a versatile multicopper oxidase that holds great promise for many biotechnological applications. For such applications, it is essential to explore good biocatalytic systems for high activity and recyclability. The feasibility of membrane enclosed enzymatic catalysis (MEEC) for enzyme recycling with laccase was evaluated. The dialysis membrane enclosed laccase catalysis (DMELC) was tested for the conversion of the non-phenolic model substrate 2,2′-Azino-bis(3-ethylbenzthiazoline-6-sulfonate) (ABTS). Trametes versicolor laccase was found to be completely retained by the dialysis membrane during the process. The ABTS total conversion after DMELC reached the same values as the batch reaction of the enzyme in solution. The efficiency of DMELC conversion of ABTS under different process conditions including shaking speed, temperature, ABTS concentration and pH was investigated. The repetitive dialysis minimally affected the activity and the protein content of the enclosed laccase. DMELC retained 70.3 ± 0.8% of its initial conversion after 5 cycles. The usefulness of MEEC extends to other enzymes with the benefit of superior activity of an enzyme in solution and the recyclability which is normally only obtained with immobilized enzymes.[Figure not available: see fulltext.]","Dialysis membrane; Enzyme recycling; Laccase; Membrane enclosed enzymatic catalysis; Recyclability; OA-Fund TU Delft","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:628619ac-ee80-4314-8be3-b78e9ba10e84","http://resolver.tudelft.nl/uuid:628619ac-ee80-4314-8be3-b78e9ba10e84","Infrared spectrum analysis method for detection and early warning of longitudinal tear of mine conveyor belt","Yang, Ruiyun (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Yan, Gaowei (Taiyuan University of Technology)","","2020","A novel approach based on infrared spectrum analysis for early-warning of longitudinal tearing of the conveyor belt was proposed in the paper. Unlike most existing methods, the proposed method monitors the change process of the infrared radiation field of the longitudinal tearing through the infrared thermal imaging technology, and judges whether there is the risk of the longitudinal tearing of the conveyor belt through the frequency-domain characteristic coefficient T of the infrared radiation field. Experimental results exhibit that the characteristic coefficient T can quantitatively describe the change characteristics of infrared radiation field during the tearing process of conveyor belt. When the conveyor belt is complete penetration, the T value fluctuates violently from 0.6 to 1.6. This characteristic can be used as the precursor information of the tearing process, which broadens the train of thought for identification and early warning of conveyor belt longitudinal tearing.","Fast Fourier transform; Frequency domain characteristics; Infrared spectrum analysis detection (ISAD); Longitudinal tearing","en","journal article","","","","","","Accepted Author Manuscript","","2022-07-14","","","Transport Engineering and Logistics","","",""
"uuid:e6b7137e-7fdf-49bc-85a7-8ffd853cb611","http://resolver.tudelft.nl/uuid:e6b7137e-7fdf-49bc-85a7-8ffd853cb611","A Review of Self-healing Metals: Fundamentals, Design Principles and Performance","Zhang, Shasha (Nanjing University of Aeronautics and Astronautics); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2020","Self-healing metals possess the capability to autonomously repair structural damage during service. While self-healing concepts remain challenging to be realized in metals and metallic systems due to the small atomic volume of the mobile atoms, the slow diffusion unless at high temperatures and the strong isotropic metallic bonds, the scientific interest has increased sharply and promising progress is obtained. This article provides a comprehensive and updated review on the developments and limitations associated with the various modes of potentially healable damage induced in metals and alloys, i.e., stress-induced damage, irradiation-induced damage in bulk materials and contact damage in corrosion protective coatings. The spontaneous intrinsic healing mechanisms not requiring external assistance other than the material operating at the right temperature and an assisted healing mechanism with external intervention are reviewed. Promising strategies to achieve self-healing in metals are identified. Finally, we give some prospects for future research directions in self-healing metals.","Coating; Creep behavior; Fatigue damage; Irradiation; Metal; Self-healing","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:d9c41930-bc1d-4cc6-8008-6db717aa5817","http://resolver.tudelft.nl/uuid:d9c41930-bc1d-4cc6-8008-6db717aa5817","In-situ and numerical investigation on the dynamic response of unbounded granular material in permeable pavement","Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule; The Hong Kong Polytechnic University); Wang, H. (TU Delft Pavement Engineering); Törzs, Tom (Hamburg University of Technology); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Aston University); Wang, Dawei (Rheinisch-Westfälische Technische Hochschule; Harbin Institute of Technology); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Grabe, Jürgen (Hamburg University of Technology)","","2020","Permeable pavements have been widely used as an effective means to improve hydrological characteristics and the ecology of the urban environment. This study aims to investigate the response of fully permeable pavement (FPP) subjected to dynamic loading under dry and saturated conditions. A full-scale test track topped with polyurethane bound permeable material (PUPM) was built to obtain the stress response with an accelerated pavement test (APT) system. In addition, comprehensive analyses were performed based on the coupled Stress-dependent Moisture-sensitive Cross-anisotropic Elastoplastic (SMAEP) model in FEM. The APT test showed that the worst state was observed when the pavement structure was fully saturated, and that and brittle failure of the pavement surface occurred when the critical load level was achieved. The prediction of vertical stress predicted by Stress-dependent Cross-anisotropic Elastic (SAE) and SMAEP were both validated with the field data. The horizontal stress predicted by SAE gave a very high and unreasonable tensile stress prediction at the bottom of the unbounded granular base (UGB) layer when subjected to the high load level. With the consideration of moisture effect and the plastic properties of the material, the prediction made by SMAEP is effective to estimate the dynamic response of the UGB layer. Based on the sensitivity analysis, the optimized designs for FPP based on PUPM were suggested.","Accelerated pavement test (APT); Finite element modeling (FEM); Pervious pavement; Polyurethane-bound pervious mixtures (PUPM); Unbounded granular base","en","journal article","","","","","","Accepted Author Manuscript","","2021-07-28","","","Pavement Engineering","","",""
"uuid:f7e794e7-3198-41ef-b630-90cbd4a10e9a","http://resolver.tudelft.nl/uuid:f7e794e7-3198-41ef-b630-90cbd4a10e9a","Internal curing of alkali-activated slag-fly ash paste with superabsorbent polymers","Li, Z. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Granja, José (University of Minho); Azenha, Miguel (University of Minho); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2020","This study investigates the influences of internal curing on reducing the autogenous shrinkage of alkali-activated slag/fly ash (AASF) paste. The influences of internal curing with superabsorbent polymers (SAPs) on the reactions and microstructure of AASF paste are investigated. It is found that the SAPs absorb liquid mainly before the initial setting time of the paste. Afterwards, the liquid is gradually released, keeping the internal relative humidity of the paste close to 100%. The internal curing with SAPs can significantly mitigate the autogenous shrinkage of AASF paste, especially after the acceleration period of the reaction. The mitigating effect of internal curing is due to the mitigated self-desiccation in the paste, rather than the formation of a denser microstructure or expansive crystals. The cracking potential of AASF under restrained condition is also greatly mitigated by internal curing. Despite the slight reductions in the elastic modulus and the compressive strength, great improvement is obverted in the flexural strength of the paste. This work confirms the effectiveness of internal curing of AASF with SAPs and further provides a promising way to reduce the autogenous shrinkage of AASF without compromising its mechanical properties.","Alkali-activated slag/fly ash; Autogenous shrinkage; Cracking; Internal curing; Mechanical properties; Microstructure","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:53a3460e-d4b0-4e30-9240-3f899d9c0bc0","http://resolver.tudelft.nl/uuid:53a3460e-d4b0-4e30-9240-3f899d9c0bc0","Unsupervised Feature Transfer for Batch Process Based on Geodesic Flow Kernel","Zhang, Zheming (Taiyuan University of Technology); Wang, Fang (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yan, Gaowei (Taiyuan University of Technology)","","2020","The problem of misalignment of the original measurement model is caused by nonlinear, time-varying characteristic of the batch process. In this paper, a method based on geodesic flow kernel (GFK) for feature transfer is proposed. By mapping data into the manifold space, the feature transfer from source domain to target domain is implemented. Distribution adaptation of real-time data and modeling data is performed to reduce the distribution difference between them. The historical data through distribution adaptation is used to establish a regression model to predict the real-time data, by which the unsupervised batch process soft sensor modeling is realized. The application of predicting the concentration of penicillin between different batches during the fermentation of penicillin demonstrated that the prediction accuracy of the model can be improved more effectively than the traditional soft sensor method.","Batch process; feature transfer; geodesic flow kernel; penicillin; unsupervised","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:f6899203-fc44-4147-b5e0-25b39bf2c551","http://resolver.tudelft.nl/uuid:f6899203-fc44-4147-b5e0-25b39bf2c551","Constructing dataset of classified drainage areas based on surface water-supply patterns in High Mountain Asia","Lu, Jieyu (Hunan University of Science and Technology; Chinese Academy of Sciences); Qiu, Yubao (Chinese Academy of Sciences; Finnish Meteorological Institute (FMI)); Wang, Xingxing (Chinese Academy of Sciences; Finnish Meteorological Institute (FMI)); Liang, Wenshan (Chinese Academy of Sciences); Xie, Pengfei (Chinese Academy of Sciences); Shi, Lijuan (Chinese Academy of Sciences; Finnish Meteorological Institute (FMI)); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Zhang, Dongshui (Hunan University of Science and Technology)","","2020","The High Mountain Asia (HMA) region, ranging from the Hindu Kush and Tien Shan in the west to the Himalaya in the south with an altitude between 2000 and 8844 m, holds the largest reservoir of glaciers and snow outside Earth Polar Regions. In the last decades, numerous glaciers and lake areas there have undergone tremendous changes with water redistribution. In order to increase understanding of the pattern of distribution of water resources, and their dynamic changes at the basin scale, a watershed classification based on the water replenishment patterns dataset was constructed. The input dataset are from the Randolph Glacier Inventory V.6.0 and the vector data of rivers and streams. Four datasets were thus obtained: Glacier-fed and Runoff-fed Drainage Area (GRDA), Glacier-fed and Runoff-free Drainage Area (GDA), Glacier-free and Runoff-fed Drainage Area (RDA), and the Glacier-free and Runoff-free Drainage Area (NGRDA), and the numbers of these four types of basins are 87, 107, 32, and 448 separately. The statistical results show GRDA has the largest surface area, accounting for 82.2% of the total basin area in HMA, mainly in the region of the basin with outflow rivers or streams. Dominated by small basins, the GDA area accounts for the smallest area, only 3.86% and the RDA accounts for 5.62%. For NGRDA, most are with small areas, accounting for 8.32%, and mainly distributes in the closed basin of the Qiangtang Plateau. This dataset provides a fundamental classified data source for research on water resources, climate, ecology, and environment in HMA. The published data are available at https://data.4tu.nl/download/uuid:d07d748f-d10b-4308-9626-199ef05cc9af/ and http://www.dx.doi.org/10.11922/sciencedb.923.","glacial recharge; High Mountain Asia; surface water system; water resources; watershed classification","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:2fc375b6-beeb-446e-8596-8f0bfa1576df","http://resolver.tudelft.nl/uuid:2fc375b6-beeb-446e-8596-8f0bfa1576df","The development modes of inland ports: Theoretical models and the Chinese cases","Zheng, Shiyuan (Shanghai Maritime University); Zhang, Qiang (Shanghai Maritime University); Beelaerts van Blokland, W.W.A. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2020","This paper examines the development modes of inland ports based on the economic models and the Chinese empirical cases. After reviewing the recent policies in China, four modes, i.e., the government-driven mode, the seaport-driven, the market-driven mode and the corridor-effect mode, are established to describe the development of Chinese inland ports from the perspective of the driving forces. Moreover, we setup an economic model to compare them and conclude that (1) the seaport-driven mode promotes the larger inland port than the corridor-effect mode and the market-driven mode; (2) if the marginal capacity investment cost is low or the efficiency of the inland port is high enough, the corridor-effect mode leads to higher social welfare than the market-driven mode and the seaport-driven mode; (3) whether the government-driven mode promotes the larger inland port and higher social welfare than the other modes depends on the positive externality from the inland port to the social welfare; (4) The ‘Go west’ policy and the Belt and Road Initiative (B&R) promote the inland port capacity under all modes. Whether the Free Trade Zone (FTZ) and the port integration promote the inland port capacity depends on the port efficiency improvement after the implementation of these policies.","B&R; development mode; economic model; FTZ; Inland port; port integration","en","journal article","","","","","","Accepted Author Manuscript","","2022-01-20","","","Transport Engineering and Logistics","","",""
"uuid:673b6d1d-be70-49f2-a313-4b7a2f9774e7","http://resolver.tudelft.nl/uuid:673b6d1d-be70-49f2-a313-4b7a2f9774e7","Photoenzymatic Production of Next Generation Biofuels from Natural Triglycerides Combining a Hydrolase and a Photodecarboxylase","Ma, Yunjian (South China University of Technology); Zhang, Xizhen (South China University of Technology); Zhang, W. (TU Delft BT/Biocatalysis); Li, Peilin (South China University of Technology); Li, Yongru (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology)","","2020","A photobiocatalytic cascade transforming natural triglycerides into alkanes/alkenes is proposed. Starting from natural triglycerides, free fatty acids have been obtained using lipases. The free fatty acids were then, in a photoenzymatic step, decarboxylated into the C1-shortened alkanes using a recently described photodecarboxylase from Chlorella variabilis NC64A. This cascade produced alkanes from various natural (waste) oils in significant amounts (up to 24 g L−1) and may provide a basis for valorisation of waste oils into a next generation of biodiesel.","biocatalysis; biodiesel; cascade reactions; decarboxylation; photocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:d563d9cc-7296-42d9-9853-b2d8a5ae2756","http://resolver.tudelft.nl/uuid:d563d9cc-7296-42d9-9853-b2d8a5ae2756","An integrated methodology for the supply reliability analysis of multi-product pipeline systems under pumps failure","Zhou, X. (TU Delft Safety and Security Science; China University of Petroleum - Beijing); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); Liang, Yongtu (China University of Petroleum - Beijing); Zhang, Haoran (University of Tokyo)","","2020","As the main way for the long-distance transportation of refined products, multi-products pipelines are of vital importance to the regional energy security. The supply reliability evaluation of multi-product pipeline systems can improve the effective response to unexpected disruptions and guarantee the reliable oil supply. Based on reliability theory and pipeline scheduling method, an integrated supply reliability evaluation methodology for multi-product pipeline systems is proposed in this paper and the pumps failure, of which influence is the most complex, is focused on. In the methodology, the discrete-time Markov process is adopted to describe the stochastic failure and the Monte Carlo method is used to simulate the system states transition. With the pipeline flowrate upper limits under various pumps failure scenarios optimized in advance, the maximum supply capacity to the downstream markets in each trial is calculated by the pipeline scheduling model. Three indicators are also developed to analyze the pipeline supply reliability from the holistic and individual perspectives. At last, the methodology application is performed on a real-world multi-product pipeline system in China and the supply reliability is analyzed in detail according to the simulation results. It is proved to provide a practical method for the emergency response decision-making and loss prevention.","Evaluation indicators; Multi-product pipeline; Pipeline scheduling method; Pumps failure; Stochastic process simulation; Supply reliability analysis","en","journal article","","","","","","","","2022-08-22","","","Safety and Security Science","","",""
"uuid:0bb0d69f-83f6-4fab-9195-9ee368e7cf56","http://resolver.tudelft.nl/uuid:0bb0d69f-83f6-4fab-9195-9ee368e7cf56","Cracking potential of alkali-activated slag and fly ash concrete subjected to restrained autogenous shrinkage","Li, Z. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2020","This study aims to investigate the cracking potential of alkali-activated slag (AAS) and alkali-activated slag-fly ash (AASF) concrete subjected to restrained autogenous shrinkage. Temperature Stress Testing Machine (TSTM) is utilized, for the first time, to monitor the stress evolution and to measure the cracking time of alkali-activated concrete (AAC) under restraint condition. The stresses in AAS and AASF concrete are calculated based on the experimental results while taking into consideration the influence brought by creep and relaxation. It is found that AAS and AASF concrete showed lower autogenous shrinkage-induced stress and later cracking compared to ordinary Portland cement (OPC) based concrete with similar compressive strength, despite the higher autogenous shrinkage of AAS and AASF concrete. The low autogenous shrinkage-induced stress in the AAC is mainly attributed to the pronounced stress relaxation. A good prediction of the stress evolution in AAC is obtained by taking into account the elastic part of the autogenous shrinkage and the stress relaxation. In contrast, calculations ignoring the creep and relaxation would lead to a significant overestimation of the stress in AAC.","Alkali-activated materials; Concrete; Cracking; Modelling; Shrinkage; Stress","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:090bb7ff-12f1-4fc6-b4ed-9208147f6e7b","http://resolver.tudelft.nl/uuid:090bb7ff-12f1-4fc6-b4ed-9208147f6e7b","Water-Soluble Anthraquinone Photocatalysts Enable Methanol-Driven Enzymatic Halogenation and Hydroxylation Reactions","Yuan, Bo (Xi’an Jiaotong University); Mahor, Durga (Xi’an Jiaotong University); Fei, Qiang (Xi’an Jiaotong University); Wever, Ron (Universiteit van Amsterdam); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Zhang, Wuyuan (Xi’an Jiaotong University); Hollmann, F. (TU Delft BT/Biocatalysis)","","2020","Peroxyzymes simply use H2O2 as a cosubstrate to oxidize a broad range of inert C-H bonds. The lability of many peroxyzymes against H2O2 can be addressed by a controlled supply of H2O2, ideally in situ. Here, we report a simple, robust, and water-soluble anthraquinone sulfonate (SAS) as a promising organophotocatalyst to drive both haloperoxidase-catalyzed halogenation and peroxygenase-catalyzed oxyfunctionalization reactions. Simple alcohols, methanol in particular, can be used both as a cosolvent and an electron donor for H2O2 generation. Very promising turnover numbers for the biocatalysts of up to 318 »000 have been achieved.","HOgeneration; halogenation; hydroxylation; peroxyzymes; photobiocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:dbfe6d5a-b16b-4d34-ad78-6b0082e3e1a4","http://resolver.tudelft.nl/uuid:dbfe6d5a-b16b-4d34-ad78-6b0082e3e1a4","A review on the pumping behavior of modern concrete","Li, Huajian (Railway Engineering Research Institute); Sun, Deyi (Railway Engineering Research Institute); Wang, Zhen (Railway Engineering Research Institute); Huang, Fali (Railway Engineering Research Institute); Yi, Zhonglai (Railway Engineering Research Institute); Yang, Zhengxian (Fuzhou University); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University)","","2020","Pumping is the most common technique used to transport fresh concrete in construction sites. The large-scale use of concrete all over the world makes the pumping increasingly important. A wide variety of additives and admixtures are incorporated into modern concrete in order for sustainable development. The performance of modern concrete is rather complex and its pumping behavior differs significantly from that of conventional concrete, especially in the fresh stage. This paper presents a comprehensive overview on the state of the art of concrete pumping. The models and methods used for characterizing the concrete pumpability and lubrication layer are described. The factors influencing the pumping behavior are discussed. A couple of ultra-high pumping engineering of concrete conducted in China are introduced.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Environment","","",""
"uuid:7ca8a8a0-af4a-4674-85f1-eb7cb15f9115","http://resolver.tudelft.nl/uuid:7ca8a8a0-af4a-4674-85f1-eb7cb15f9115","Hourly and Daily Urban Water Demand Predictions Using a Long Short-Term Memory Based Model","Mu, Li (Hefei Water Supply Group Co. Ltd.); Zheng, Feifei (Zhejiang University); Tao, Ruoling (Zhejiang University); Zhang, Qingzhou (Zhejiang University); Kapelan, Z. (TU Delft Sanitary Engineering)","","2020","This case study uses a long short-term memory (LSTM)-based model to predict short-term urban water demands for the Hefei City of China. The performance of the LSTM-based model is compared with the autoregressive integrated moving average (ARIMA) model, the support vector regression (SVR) model, and the random forests (RF) model based on data with time resolutions ranging from 15 min to 24 h. Additionally, this paper investigates the performance of the LSTM-based model in predicting multiple successive data points. Results show that the LSTM-based model can offer predictions with improved accuracy than the other models when dealing with data with high time resolutions, data points with abrupt changes, and data with a relatively high uncertainty level. It is also observed that the LSTM-based model exhibits the best performance in predicting multiple successive water demands with high time resolutions. In addition, the inclusion of external parameters (e.g., temperature) cannot enhance the performance of the LSTM-based model, but it can improve ARIMAX's prediction ability (ARIMAX is the ARIMA with variables). These observations provide additional and improved evaluations regarding the LSTM-based models used for short-term urban water demand forecasting, thereby enabling their wider adoption in practical applications.","ARIMA models; Data-driven models; Long short-term memory; Water demand prediction","en","journal article","","","","","","Accepted Author Manuscript","","","","","Sanitary Engineering","","",""
"uuid:ba12e3b3-8f76-4569-802d-8ff76b32824f","http://resolver.tudelft.nl/uuid:ba12e3b3-8f76-4569-802d-8ff76b32824f","Microchannel Thermal Management System with Two-Phase Flow for Power Electronics over 500 W/cm2Heat Dissipation","Hou, F. (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Zhang, Hengyun (Shanghai University of Engineering Science); Huang, Dezhu (Shanghai University of Engineering Science); Fan, J. (TU Delft Electronic Components, Technology and Materials); Liu, Fengman (National Center for Advanced Packaging (NCAP China); Chinese Academy of Sciences); Lin, Tingyu (National Center for Advanced Packaging (NCAP China)); Cao, Liqiang (Chinese Academy of Sciences; National Center for Advanced Packaging (NCAP China)); Fan, Xuejun (Lamar University); Ferreira, Braham (University of Twente); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","In this article, a microchannel thermal management system (MTMS) with the two-phase flow using the refrigerant R1234yf with low global warming potential is presented. The thermal test vehicles (TTVs) were made of either single or multiple thermal test chips embedded in the substrates, which were then attached to the MTMS. The system included two identical aluminum microchannel heat sinks (MHSs) connected in series in the cooling loop, which also consisted of a gas flowmeter, a miniature compressor, a condenser, a throttling device, and accessory measurement components. The experimental results showed that the thermal management system could dissipate a heat flux of 526 W/cm2 while maintaining the junction temperature below 120 °C. For SiC mosfet with a higher junction temperature, e.g., 175 °C, the current system is expected to dissipate a heat flux as high as about 750 W/cm2. The effects of the rotational speed of the compressor, the opening of the throttling device, TTV layout on MHS, and a downstream heater on the cooling performance of the system were analyzed in detail. The study shows that the present thermal management with a two-phase flow system is a promising cooling technology for the high heat flux SiC devices.","Microchannel thermal management system (MTMS); power electronics; R1234yf; SiC MOSFET; two-phase flow","en","journal article","","","","","","Accepted Auhtor Manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:09aefc70-b7dc-4189-9278-363e362993a6","http://resolver.tudelft.nl/uuid:09aefc70-b7dc-4189-9278-363e362993a6","The Impact of Gate Recess on the H₂ Detection Properties of Pt-AlGaN/GaN HEMT Sensors","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Jian (Fudan University); Zheng, Hongze (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Li, Wenmao (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Jiang, Yang (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Yang, Gaiying (Southern University of Science and Technology); Yu, Hongyu (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The present work reports on the hydrogen gas detection properties of Pt-AlGaN/GaN high electron mobility transistor (HEMT) sensors with recessed gate structure. Devices with gate recess depths from 5 to 15 nm were fabricated using a precision cyclic etching method, examined with AFM, STEM and EDS, and tested towards H2 response at high temperature. With increasing recess depth, the threshold voltage ( VTH ) shifted from -1.57 to 1.49 V. A shallow recess (5 nm) resulted in a 1.03 mA increase in signal variation ( Δ IDS ), while a deep recess (15 nm) resulted in the highest sensing response ( S ) of 145.8% towards 300 ppm H2 as compared to reference sensors without gate recess. Transient measurements demonstrated reversible H2 response for all tested devices. The response and recovery time towards 250 ppm gradually decreased from 7.3 to 2.5 min and from 29.2 to 8.85 min going from 0 nm to 15 nm recess depth. The power consumption of the sensors reduced with increasing recess depth from 146.6 to 2.95 mW.","ALGaN/GaN; cyclic etching; enhancement mode; gate recess; HEMT; H2 sensor; platinum","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-28","","","Electronic Components, Technology and Materials","","",""
"uuid:f04b76a7-7402-4f6e-95ed-238119ef5f49","http://resolver.tudelft.nl/uuid:f04b76a7-7402-4f6e-95ed-238119ef5f49","Enhanced potential ecological risk induced by a large scale water diversion project","Yu, M. (TU Delft Water Resources; Hohai University; Research Center for Climate Change of Ministry of Water Resources); Wood, Paul (Loughborough University); van de Giesen, N.C. (TU Delft Water Resources); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Li, Qiongfang (Hohai University); Wang, Guoqing (Research Center for Climate Change of Ministry of Water Resources); Zhang, Jianyun (Research Center for Climate Change of Ministry of Water Resources)","","2020","River regulation by the construction of reservoirs represents one of the greatest challenges to the natural flow regime and ecological health of riverine systems globally. The Danjiangkou (DJK) Reservoir is the largest reservoir on the Hangjiang River and commenced operations in 1967. The reservoir was upgraded in 2012 to provide water resource for the South–North water transfer project through central China. However, the effect of the reservoir operations on the downstream hydrological regime and ecological health of the Hanjiang River following the upgrade (increase in dam height and reservoir capacity) has not been examined thus far. The daily discharge series from four stations along the main stem of the Hanjiang River, including a site upstream, were examined from 1950 to 2017. The study series was divided into three periods based on the difference stages of the reservoir operation: (1) 1950–1966, (2) 1967–2012 and (3) 2013–2017. The nature of hydrological alteration, ecological flow requirement and potential ecological risk during the different periods were investigated. The results clearly indicate that the DJK reservoir has significantly modified the hydrological regime in the middle and downstream section of the Hanjiang River, with most significant modifications recorded immediately downstream of the reservoir. None of the observed ‘Range of Variability Approach’ hydrological indicators fell within the expected range at Huangjiagang following the increase in reservoir capacity. As a result, the ecological flow requirements could not be guaranteed, and the frequency and intensity of ecodeficit increased. The river ecosystem immediately downstream of the dam was observed to be at high risk of ecosystem degradation during the post-dam periods considered.","Danjiangkou reservoir; Ecodeficit; Ecological risk; Hydrological alteration; South–North water transfer project","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-02-24","","","Water Resources","","",""
"uuid:542077fa-62f1-4fb5-8a05-cb381160dc91","http://resolver.tudelft.nl/uuid:542077fa-62f1-4fb5-8a05-cb381160dc91","Deep Model Compression and Inference Speedup of Sum-Product Networks on Tensor Trains","Ko, Ching Yun (The University of Hong Kong); Chen, Cong (The University of Hong Kong); He, Zhuolun (The University of Hong Kong); Zhang, Yuke (University of South Carolina); Batselier, K. (TU Delft Team Jan-Willem van Wingerden); Wong, Ngai (The University of Hong Kong)","","2020","Sum-product networks (SPNs) constitute an emerging class of neural networks with clear probabilistic semantics and superior inference speed over other graphical models. This brief reveals an important connection between SPNs and tensor trains (TTs), leading to a new canonical form which we call tensor SPNs (tSPNs). Specifically, we demonstrate the intimate relationship between a valid SPN and a TT. For the first time, through mapping an SPN onto a tSPN and employing specially customized optimization techniques, we demonstrate improvements up to a factor of 100 on both model compression and inference speedup for various data sets with negligible loss in accuracy.","Model compression; sum-product network (SP); tensor train (TT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-09","","","Team Jan-Willem van Wingerden","","",""
"uuid:b40ff9e6-c2a9-41f4-867b-752ffe540a04","http://resolver.tudelft.nl/uuid:b40ff9e6-c2a9-41f4-867b-752ffe540a04","Investigations of mixing technique on the rheological properties of self-compacting concrete","Li, Huajian (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Huang, Fali (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Yi, Zhonglai (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Wang, Zhen (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Zhengxian (Fuzhou University)","","2020","Rheological properties are of significant importance in successful placement and performance of self-compacting concrete (SCC). In this work, the rheological properties of SCC, combined with a powder-viscosity modifying admixture, were investigated based on a series of experimental studies. The modified Bingham model was applied to determine the rheological parameters and shear thickening behavior of SCC. The effects of mixing procedures, including charging sequence, mixing time and mixing speed, were analyzed. The results show that the shear thickening of SCC is reduced by first mixing the aggregate and water and then adding other raw materials. The direct contact between aggregate and water leads to a large amount of free water to be adsorbed by the aggregate system, resulting in an increase of the yield stress. In order to ensure an excellent fluidity and a low shear thickening behavior of SCC, the mixing time should be controlled at 4-5 min and the mixing speed at 30-45 r/min.","Charging sequence; Mixing speed; Mixing time; Rheological properties; Self-compacting concrete","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:15d46b6e-2d35-433b-a5f8-5ab7e5a36e2d","http://resolver.tudelft.nl/uuid:15d46b6e-2d35-433b-a5f8-5ab7e5a36e2d","Unidirectional Pumping of Phonons by Magnetization Dynamics","Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University); Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Max Planck Institute for the Structure and Dynamics of Matter, Hamburg)","","2020","We propose a method to control surface phonon transport by weak magnetic fields based on the pumping of surface acoustic waves (SAWs) by magnetostriction. We predict that the magnetization dynamics of a nanowire on top of a dielectric films injects SAWs with opposite angular momenta into opposite directions. Two parallel nanowires form a phononic cavity that at magnetic resonances pump a unidirectional SAW current into half of the substrate.","","en","journal article","","","","","","","","","","","QN/Blaauboer Group","","",""
"uuid:4c92a6c2-0de6-4405-816e-6c5926b8e63e","http://resolver.tudelft.nl/uuid:4c92a6c2-0de6-4405-816e-6c5926b8e63e","A Bayesian Network Approach for Condition Monitoring of High-Speed Railway Catenaries","Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Zhang, Dongliang (Southwest Jiaotong University); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2020","The growing variety of data from condition monitoring of high-speed railways offer unprecedented opportunities to improve railway infrastructure maintenance. For condition monitoring of railway catenaries, this paper proposes a data-driven approach that uses a Bayesian network (BN) to integrate the inspection data from catenaries into a key performance indicator (KPI). The BN topology is structured based on the physical relationships among data types, including train speed, dynamic stagger and height of the contact wire, pantograph head acceleration, and pantograph-catenary contact force. The tailored performance indicators are individually defined and extracted from the five types of data as the BN input. As the output of the BN, the KPI is defined as the overall condition level of the catenary considering all defects that can be reflected by the data types. Finally, using historical inspection data and maintenance records from a section of the Beijing-Guangzhou high-speed line in China, the BN parameters are estimated to establish a probabilistic relationship between the input and output. An approach that applies the estimated BN to catenary condition monitoring is proposed. Testing of the BN-based approach using new inspection data shows that the output KPI can adequately represent the catenary condition, leading to a nearly 66.2% reduction in the false alarm rate of defect detection compared with current practice. It is also tested that when the input data quality is not ideal, the approach can still work acceptably on noisy data with a signal-to-noise ratio greater than 3 dB or with one type of data missing.","Bayesian network; catenary; condition monitoring; High-speed railway; inspection data; key performance indicator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-30","","","Railway Engineering","","",""
"uuid:d75bbd1f-1072-41b9-986a-772806f83735","http://resolver.tudelft.nl/uuid:d75bbd1f-1072-41b9-986a-772806f83735","Site Occupancies, VUV-UV-vis Photoluminescence, and X-ray Radioluminescence of Eu2+-Doped RbBaPO4","Zhou, Rongfu (Sun Yat-sen University); Ma, Fengkai (Sun Yat-sen University); Su, Fang (Sun Yat-sen University); Ou, Yiyi (Sun Yat-sen University); Qi, Zeming (University of Science and Technology of China); Zhang, Jianhui (Sun Yat-sen University); Huang, Yan (Institute of High Energy Physics Chinese Academy of Science); Dorenbos, P. (TU Delft RST/Luminescence Materials); Liang, Hongbin (Sun Yat-sen University)","","2020","RbBaPO4:Eu2+ phosphors have been prepared by a high-temperature solid-state reaction method, and the structure was determined by Rietveld refinement based on powder X-ray diffraction (P-XRD) data. Their VUV-UV-vis photoluminescence properties are systematically investigated with three objectives: (1) based on low-temperature spectra, we clarify the site occupancies of Eu2+, and demonstrate that the doublet emission bands at ∼406 and ∼431 nm originate from Eu2+ in Ba2+ [Eu2+(I)] and Rb+ [Eu2+(II)] sites, respectively; (2) an electron-vibrational interaction (EVI) analysis is conducted to estimate the Huang-Rhys factors, the zero-phonon lines (ZPLs) and the Stokes shifts of Eu2+ in Rb+ and Ba2+ sites; (3) the studies on luminescence decay of Eu2+(I) reveal that dipole-dipole interaction is mainly responsible for the energy transfer from Eu2+(I) to Eu2+(II), and the energy migration between Eu2+(I) is weak. Finally, the X-ray excited luminescence (XEL) spectrum indicates that the light yield of the sample RbBa0.995Eu0.005PO4 is ∼17700 ph/MeV, showing its potential application in X-ray detecting.","","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-12","","","RST/Luminescence Materials","","",""
"uuid:6a758bf2-b94c-4971-a98e-e43a68686039","http://resolver.tudelft.nl/uuid:6a758bf2-b94c-4971-a98e-e43a68686039","Reinforced FDM: Multi-axis filament alignment with controlled anisotropic strength","Fang, G. (TU Delft Materials and Manufacturing); Zhang, Tianyu (Chinese University of Hong Kong); Zhong, Sikai (Wayne State University); Chen, Xiangjia (Chinese University of Hong Kong); Zhong, Zichun (Wayne State University); Wang, C.C. (The University of Manchester)","","2020","The anisotropy of mechanical strength on a 3D printed model can be controlled in a multi-axis 3D printing system as materials can be accumulated along dynamically varied directions. In this paper, we present a new computational framework to generate specially designed layers and toolpaths of multi-axis 3D printing for strengthening a model by aligning filaments along the directions with large stresses. The major challenge comes from how to effectively decompose a solid into a sequence of strength-aware and collision-free working surfaces. We formulate it as a problem to compute an optimized governing field together with a selected orientation of fabrication setup. Iso-surfaces of the governing field are extracted as working surface layers for filament alignment. Supporting structures in curved layers are constructed by extrapolating the governing field to enable the fabrication of overhangs. Compared with planar-layer based Fused Deposition Modeling (FDM) technology, models fabricated by our method can withstand up to 6.35× loads in experimental tests.","3D printing; anisotropic strength; multi-axis motion; reinforcement","en","journal article","","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:a4654f5a-e4fd-4124-98d9-2ba46b64f2e1","http://resolver.tudelft.nl/uuid:a4654f5a-e4fd-4124-98d9-2ba46b64f2e1","Construction and application of algebraic dual polynomial representations for finite element methods on quadrilateral and hexahedral meshes","Jain, V. (TU Delft Aerodynamics); Zhang, Y. (TU Delft Aerodynamics); Palha, A. (TU Delft Aerodynamics); Gerritsma, M.I. (TU Delft Aerodynamics)","","2020","Given a sequence of finite element spaces which form a de Rham sequence, we will construct dual representations of these spaces with associated differential operators which connect these spaces such that they also form a de Rham sequence. The dual representations also need to satisfy the de Rham sequence on the domain boundary. The matrix which converts primal representations to dual representations – the Hodge matrix – is the mass or Gram matrix. It will be shown that a bilinear form of a primal and a dual representation is equal to the vector inner product of the expansion coefficients (degrees of freedom) of both representations. This leads to very sparse system matrices, even for high order methods. The differential operators for dual representations will be defined. Vector operations, grad, curl and div, for primal and dual representations are both topological and do not depend on the metric, i.e. the size and shape of the mesh or the order of the numerical method. Derivatives are evaluated by applying sparse incidence and inclusion matrices to the expansion coefficients of the representations. As illustration of the use of dual representations, the method will be applied to (i) a mixed formulation for the Poisson problem in 3D, (ii) it will be shown that this approach allows one to preserve the equivalence between Dirichlet and Neumann problems in the finite dimensional setting and, (iii) the method will be applied to the approximation of grad–div eigenvalue problem on affine and non-affine meshes.","Algebraic dual representations; de Rham sequence; Eigenvalue problem; Finite element method; Poisson problem; Spectral element method","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:2cb8fe7d-7883-4176-a511-abef833bcb64","http://resolver.tudelft.nl/uuid:2cb8fe7d-7883-4176-a511-abef833bcb64","Multicriteria intermodal freight network optimal problem with heterogeneous preferences under belt and road initiative","Zhang, Z. (TU Delft Novel Aerospace Materials; Central South University China); Zhang, Dezhi (Central South University China); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Li, Qinglin (Southwest Jiaotong University)","","2020","In this study, we demonstrate the importance of incorporating shippers’ preference heterogeneity into the optimization of the China Railway express network. In particular, a bilevel programming model is established to minimize the total construction cost for the government in the upper level and maximize the shippers’ satisfaction in the lower level. The proposed model considers price, time, reliability, frequency, safety, flexibility, traceability, and emission. Two designs are obtained by applying the model to two scenarios, in which one is of the aggregate shipper group and the other is of the three distinct clusters. Results show that explicitly including heterogeneity in network optimization pays off in terms of the dramatic increase in shippers’ satisfaction and the share of the sustainable railway without generating extra cost for the system. The results of this study could lead to insightful implication for proper network planning for the China Railway express and some useful suggestions on the subsidies of the government.","Heterogeneous preferences; Multicriteria decision-making; Sustainable freight network design","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:dd7e6a5a-561c-40d1-8411-596fdd80ac60","http://resolver.tudelft.nl/uuid:dd7e6a5a-561c-40d1-8411-596fdd80ac60","Realizing the Potential of RF-Sputtered Hydrogenated Fluorine-Doped Indium Oxide as an Electrode Material for Ultrathin SiO x/Poly-Si Passivating Contacts","Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Montes, Ana (University of Lisbon); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Electrical Engineering, Mathematics and Computer Science); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","In high-efficiency silicon solar cells featuring carrier-selective passivating contacts based on ultrathin SiOx/poly-Si, the appropriate implementation of transparent conductive oxide (TCO) layers is of vital importance. Considerable deterioration in passivation quality occurs for thin poly-Si-based devices owing to the sputtering damage during TCO deposition. Curing treatment at temperatures above 350 °C can recover such degradation, whereas the opto-electrical properties of the TCO are affected as well, and the carrier transport at the poly-Si/TCO contact is widely reported to degrade severely in such a procedure. Here, we propose straightforward approaches, post-deposition annealing at 400 °C in nitrogen, hydrogen, or air ambience, are proposed to tailor material properties of high-mobility hydrogenated fluorine-doped indium oxide (IFO:H) film. Structural, morphological, and opto-electrical properties of the IFO:H films are investigated as well as their inherent electron scattering and doping mechanisms. Hydrogen annealing treatment proves to be the most promising strategy. The resulting layer exhibits both optimal opto-electrical properties (carrier density = 1.5 × 1020 cm-3, electron mobility = 108 cm2 V-1 s-1, and resistivity = 3.9 × 10-4 ω cm) and remarkably low contact resistivities (∼20 mω cm2 for both n- and p-contacts) in poly-Si solar cells. Even though the presented cells are limited by the metallization step, the obtained IFO:H-base solar cell show an efficiency improvement from 20.1 to 20.6% after specific hydrogen treatment, demonstrating the potential of material manipulation and contact engineering strategy in high-efficiency photovoltaic devices endowed with TCOs.","carrier-selective passivating contacts; hydrogen annealing; hydrogenated fluorine-doped indium oxide (IFO:H); transparent conductive oxide (TCO); ultrathin SiO /poly-Si passivating contacts","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:38ada0ee-b511-4861-936e-e9c0c9a19d23","http://resolver.tudelft.nl/uuid:38ada0ee-b511-4861-936e-e9c0c9a19d23","Machine Learning and Digital Twin Driven Diagnostics and Prognostics of Light-Emitting Diodes","Ibrahim, Mesfin Seid (The Hong Kong Polytechnic University; Wollo University); Fan, Jiajie (Fudan University; Hohai University); Yung, Winco K.C. (The Hong Kong Polytechnic University); Prisacaru, Alexandru (Robert Bosch GmbH); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","Light-emitting diodes (LEDs) are among the key innovations that have revolutionized the lighting industry, due to their versatility in applications, higher reliability, longer lifetime, and higher efficiency compared with other light sources. The demand for increased lifetime and higher reliability has attracted a significant number of research studies on the prognostics and lifetime estimation of LEDs, ranging from the traditional failure data analysis to the latest degradation modeling and machine learning based approaches over the past couple of years. However, there is a lack of reviews that systematically address the currently evolving machine learning algorithms and methods for fault detection, diagnostics, and lifetime prediction of LEDs. To address those deficiencies, a review on the diagnostic and prognostic methods and algorithms based on machine learning that helps to improve system performance, reliability, and lifetime assessment of LEDs is provided. The fundamental principles, pros and cons of methods including artificial neural networks, principal component analysis, hidden Markov models, support vector machines, and Bayesian networks are presented. Finally, discussion on the prospects of the machine learning implementation from LED packages, components to system level reliability analysis, potential challenges and opportunities, and the future digital twin technology for LEDs lifetime analysis is provided.","data-driven methods; diagnostics and prognostics; digital twins; light-emitting diodes (LEDs); machine learning (ML) algorithms; statistical methods","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-01","","","Electronic Components, Technology and Materials","","",""
"uuid:f5eb7406-ebf3-471b-860a-7fd97c989a72","http://resolver.tudelft.nl/uuid:f5eb7406-ebf3-471b-860a-7fd97c989a72","Reliability assessment of ultra-deep oil and gas wellbore casing using data statistics and numerical simulations","Yang, Shangyu (State Key Laboratory of Performance and Structural Safety for Petroleum Tubular Goods and Equipment Materials); Zhang, Renren (Xi'an University of Architecture and Technology); Wang, Jianjun (State Key Laboratory of Performance and Structural Safety for Petroleum Tubular Goods and Equipment Materials); Li, Xinhong (Xi'an University of Architecture and Technology); Fan, Heng (Xi'an Shiyou University); Yang, M. (TU Delft Safety and Security Science)","","2020","Ultra-deep oil and gas wells have become a new development trend in onshore oil and gas exploitation. However, Ultra-deep oil and gas wellbore casing is with high failure risk due to the harsh environment. It is essential to evaluate the reliability of wellbore casing. This paper assesses the operational reliability of wellbore casing using data statistics and numerical simulation. Firstly, the theoretical model for reliability analysis of wellbore casing is established, and the variables in the model are determined, including rock mechanics, cement ring, and casing string strength factors. Subsequently, considering the random distribution of model variables, many statistics and analyses are performed to determine the distribution parameters of the model variables. Eventually, Monte Carlo based numerical simulations are carried out to obtain the residual strength distribution and the reliability of wellbore casing. The production casing in the ultra-deep well with a depth of 6.5 km in China as an industrial case is used to illustrate the present study. It is observed that this study can be useful to guide a more accurate assessment of the reliability of ultra-deep wellbore casing.","Data statistics; Monte-carlo; Numerical model; Reliability assessment; Wellbore casing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-13","","","Safety and Security Science","","",""
"uuid:7635c325-91e9-4459-84b3-1ce87f7359a5","http://resolver.tudelft.nl/uuid:7635c325-91e9-4459-84b3-1ce87f7359a5","The inactivation mechanism of chemical disinfection against SARS-CoV-2: From MD and DFT perspectives","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Gao, Chenshan (Chongqing University); Zhou, Quan (Chongqing University); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Shenzhen Institute of Wide-bandgap Semiconductors; Southern University of Science and Technology; Ministry of Education, Shenzhen); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","Exploring effective disinfection methods and understanding their mechanisms on the new coronavirus is becoming more active due to the outbreak of novel coronavirus pneumonia (COVID-19) caused by severe acute respiratory coronavirus 2 (SARS-CoV-2). By combining molecular dynamics and first-principles calculations, we investigate the interaction mechanism of chemical agents with 3CL hydrolase of SARS-CoV-2. The radial distribution functions indicate that the biocidal ingredients are sensitive to the unsaturated oxygen atoms of 3CL hydrolase and their interactions remarkably depend on the concentration of the biocidal ingredients. Besides, we find that the adsorption performance of the active ingredients for the unsaturated oxygen atoms is superior to other styles of atoms. These computational results not only decipher the inactivation mechanism of chemical agents against SARS-CoV-2 from the molecule-level perspective, but also provide a theoretical basis for the development and application of new chemical methods with a high disinfection efficiency.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9cd1105e-c61e-4aff-bf57-5c037161e89c","http://resolver.tudelft.nl/uuid:9cd1105e-c61e-4aff-bf57-5c037161e89c","Switching the magnetostructural coupling in MnCoGe-based magnetocaloric materials","Miao, Xuefei (Nanjing University of Science and Technology); Gong, Yong (Nanjing University of Science and Technology); Caron, Luana (Bielefeld University); You, Yurong (Nanjing University of Science and Technology); Xu, Guizhou (Nanjing University of Science and Technology); Sheptyakov, Denis (Paul Scherrer Institut); Manuel, Pascal (ISIS Facility); Qian, Fengjiao (Nanjing University of Aeronautics and Astronautics); Zhang, Yujing (Nanjing University of Science and Technology); Xu, Feng (Nanjing University of Science and Technology); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2020","We performed neutron-diffraction experiments and density functional theory calculations to study the magnetostructural coupling in MnCoGeBx (x=0, 0.01, and 0.05) alloys. By varying the amount of boron addition, we are able to freely switch the magnetostructural coupling on and off in the MnCoGe alloys. It is found that the boron addition stabilizes the high-temperature hexagonal phase due to the reduced interatomic distances and the enhanced covalent bonding. The hexagonal-orthorhombic structural transition shifts to low temperatures with the boron addition and coincides with the paramagnetic-ferromagnetic (PM-FM) transition in the MnCoGeB0.01 alloy. With a further increase in the boron addition, the structural and magnetic transitions are decoupled again. The hexagonal-orthorhombic structural transition is significantly suppressed in the MnCoGeB0.05 alloy, although subtle distortions in the hexagonal structure are evidenced by a canted spin arrangement below 75 K. The MnCoGe and MnCoGeB0.01 alloys show a collinear FM structure, having a much larger Mn moment than the MnCoGeB0.05 alloy. The relatively small Mn moment in the MnCoGeB0.05 alloy can be attributed to the shortened Mn-Mn distance and the enhanced overlap of the 3d orbitals between the neighboring Mn atoms. The uncovered relationship between the structural evolution and the sizable magnetic moment in the present work offers more insight into the magnetostructural coupling in the MnCoGe-based alloys.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:2614350a-026a-488b-824d-a5eb52f9ae25","http://resolver.tudelft.nl/uuid:2614350a-026a-488b-824d-a5eb52f9ae25","Biogas productivity of anaerobic digestion process is governed by a core bacterial microbiota","Tao, Y. (TU Delft Sanitary Engineering; Imperial College London); Ersahin, M.E. (TU Delft Sanitary Engineering; Istanbul Technical University); Ghasimi, S.M.D. (TU Delft Sanitary Engineering; University of Kurdistan Hewlêr (UKH)); Ozgun, H. (TU Delft Sanitary Engineering; Istanbul Technical University); Wang, H. (TU Delft Sanitary Engineering); Zhang, X. (TU Delft Sanitary Engineering); Guo, Miao (Imperial College London); Yang, Yunfeng (Tsinghua University); Stuckey, David C. (Imperial College London); van Lier, J.B. (TU Delft Sanitary Engineering)","","2020","Anaerobic digestion (AD) has been commercially operated worldwide in full scale as a resource recovery technology underpinning a circular economy. However, problems such as a long start-up time, or system instability, have been reported in response to operational shocks. These issues are usually linked to the dynamics of the functional microbiota in AD. Exploring the microbiota-functionality nexus (MFN) could be pivotal to understand the reasons behind these difficulties, and hence improving AD performance. Here we present a systematic MFN study based on 138 samples taken from 20 well-profiled lab-scale AD reactors operated for up to two years. All the reactors were operated in the same lab within the same period of time using the same methodology to harvest physio-chemical and molecular data, including key monitoring parameters, qPCR, and 16S sequencing results. The results showed a core bacterial microbiota prevailing in all reactor types, including Bacillus, Clostridium, Bacteroides, Eubacterium, Cytophaga, Anaerophaga, and Syntrophomonas, while various methanogens dominated different communities due to different inocula origins, reactor temperatures, or salinity levels. This core bacterial microbiota well correlated with biogas production (Pearson correlation coefficient of 0.481, p < 0.0001). Such strong correlation was even comparable to that between the biogas production and the methanogenic 16S rRNA gene content (Pearson correlation coefficient of 0.481, p < 0.0001). The results indicated that AD performance only modestly correlated with microbial diversity, a key governing factor. AD microbiota was neither functionally redundant nor plastic, and a high variety in communities can exhibit a strong difference in reactor performance. Our study demonstrates the importance of a core bacterial microbiota in AD and supports inspiring considerations for design, bioaugmentation, and operational strategies of AD reactors in the future.","Anaerobic digestion; Biogas; Bioreactor; Microbiota","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-05","","","Sanitary Engineering","","",""
"uuid:032a2a71-9073-4264-8315-6c268c63d4d9","http://resolver.tudelft.nl/uuid:032a2a71-9073-4264-8315-6c268c63d4d9","Optimizing the preheating temperature of hot rolled slab from the perspective of the oxidation kinetic","Zhang, Hua (Wuhan University of Science and Technology); Yu, Li (Wuhan University of Science and Technology); Liu, Tao (Wuhan University of Science and Technology); Ni, Hongwei (Wuhan University of Science and Technology); Li, Y. (TU Delft (OLD) MSE-3; Wuhan University of Science and Technology); Chen, Z. (TU Delft (OLD) MSE-3; Flemish Institute for Technological Research); Yang, Y. (TU Delft Team Yongxiang Yang)","","2020","Decreasing the preheating temperature is an effective step to control the energy consumption in the hot rolling process. In order to obtain the lowest preheating temperature to prepare enough thickness of oxide scale in the hot rolling process, the oxidation resistance of commercial steel samples with different Al and Si contents were investigated in this paper. The results indicate that both Al and Si based oxides form at the steel-oxides interface as diffusion barrier but Al provide stronger diffusion resistance than Si in the diffusion-controlling oxidation region. Meanwhile, a three-dimensional oxidation kinetic model has been adopted to depict the oxidation behavior of four types of commercial steel. The oxidation process of automotive steel sample containing with low alloy elements is kinetically determined by interface chemical reaction. Its activation energy is 55.2 ± 6.9 kJ/mol. As for silicon steel containing with relative high alloy elements, its controlling process is determined by diffusion step at low temperature and controlled by chemical reaction rate at high temperature. In order to obtain enough thickness of oxide scale, the lowest preheating temperature of different types steel range from high to low should be the steel with high content of Al (1180 °C), the steel with high content of Si and low content of Al (1130 °C) and the steel with high contents of Si and Al (1030 °C).","Alloy elements; Hot rolling; Oxidation kinetic; Oxide scale","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:cb39cc55-d972-4cda-95b0-e350955c2b19","http://resolver.tudelft.nl/uuid:cb39cc55-d972-4cda-95b0-e350955c2b19","Combination of super-resolution psi and traditional psi by identification of homogeneous areas","Zhang, Hao (Wuhan University); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning); Li, Shaoning (Hunan University of Science and Technology)","","2020","The performance of Persistent Scatterer Interferometry (PSI) depends heavily on Persistent Scatterer (PS) density. In order to increase PS density, we can apply Super-Resolution reprocessing algorithms in PSI. Involving the reprocessing algorithms and the peak-detection-based Persistent Scatterer Candidate points (PSCs) selection method, the full PSI chain is referred to as Super-Resolution PSI (SR-PSI). The implementation of the Super-Resolution reprocessing algorithm, however, is computationally intensive, which makes SR-PSI time-consuming. In this work, we propose to improve the efficiency by constraining the Capon-based reprocessing to the non-homogeneous areas (e.g., urban areas). We notice that the Capon algorithm performs similarly as the Fourier-based algorithm for homogeneous regions (e.g., grassland), thus we can use Single Look Complex (SLC) images for these areas. With the Coefficient of Variation (CV) as the index, we divide the full image into two classes: homogeneous areas, for which we select PSCs from the original stack, and non-homogeneous areas, for which we extract PSCs from the Capon-based reprocessed images. Then we combine the PSCs of both cases for further PSI processing. We applied the combination method to a stack of TerraSAR-X data. The results show that the proposed approach is more computationally efficient than the original SR-PSI with the effectiveness uncompromised, especially for applications aiming at the urban deformation.","Homogeneous area; PSI; SAR; Super-resolution","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:635d7a4d-7fc0-45b4-9802-51924d7a64e9","http://resolver.tudelft.nl/uuid:635d7a4d-7fc0-45b4-9802-51924d7a64e9","Fast and robust low-rank approximation for five-dimensional seismic data reconstruction","Wu, Juan (Yangtze University, Wuhan); Bai, Min (Yangtze University, Wuhan); Zhang, D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging); Wang, Hang (Zhejiang University); Huang, Guangtan (Zhejiang University); Chen, Yangkang (Zhejiang University)","","2020","Five-dimensional (5D) seismic data reconstruction becomes more appealing in recent years because it takes advantage of five physical dimensions of the seismic data and can reconstruct data with large gap. The low-rank approximation approach is one of the most effective methods for reconstructing 5D dataset. However, the main disadvantage of the low-rank approximation method is its low computational efficiency because of many singular value decompositions (SVD) of the block Hankel/Toeplitz matrix in the frequency domain. In this paper, we develop an SVD-free low-rank approximation method for efficient and effective reconstruction and denoising of the seismic data that contain four spatial dimensions. Our SVD-free rank constraint model is based on an alternating minimization strategy, which updates one variable each time while fixing the other two. For each update, we only need to solve a linear least-squares problem with much less expensive QR factorization. The SVD-based and SVD-free low-rank approximation methods in the singular spectrum analysis (SSA) framework are compared in detail, regarding the reconstruction performance and computational cost. The comparison shows that the SVD-free low-rank approximation method can obtain similar reconstruction performance as the SVD-based method but with a large computational speedup.","Low-rank approximation; Matrix completion; Multidimensional seismic data; Seismic data processing; Seismic reconstruction","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:a5715125-1fc7-4572-9091-0382c5aec688","http://resolver.tudelft.nl/uuid:a5715125-1fc7-4572-9091-0382c5aec688","Thermal energy recovery from chlorinated drinking water distribution systems: Effect on chlorine and microbial water and biofilm characteristics","Zhou, X. (TU Delft Sanitary Engineering; Zhejiang University); Ahmad, J.I. (TU Delft Sanitary Engineering; National University of Science and Technology (NUST)); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Zhang, Kejia (Zhejiang University)","","2020","Thermal energy recovery from drinking water has a high potential in the application of sustainable building and industrial cooling. However, drinking water and biofilm microbial qualities should be concerned because the elevated water temperature after cold recovery may influence the microbial activities in water and biofilm phases in drinking water distribution systems (DWDSs). In this study, the effect of cold recovery on microbial qualities was investigated in a chlorinated DWDS. The chlorine decay was slight (1.1%–15.5%) due to a short contact time (~60 s) and was not affected by the cold recovery (p > 0.05). The concentrations of cellular ATP and intact cell numbers in the bulk water were partially inactivated by the residual chlorine, with the removal rates of 10.1%–16.2% and 22.4%–29.4%, respectively. The chlorine inactivation was probably promoted by heat exchangers but was not further enhanced by higher temperatures. The higher water temperature (25 °C) enhanced the growth of biofilm biomass on pipelines. Principle coordination analysis (PCoA) showed that the biofilms on the stainless steel plates of HEs and the plastic pipe inner surfaces had totally different community compositions. Elevated temperatures favored the growth of Pseudomonas spp. and Legionella spp. in the biofilm after cold recovery. The community functional predictions revealed more abundances of five human diseases (e.g. Staphylococcis aureus infection) and beta-lactam resistance pathways in the biofilms at higher temperature. Compared with a previous study with a non-chlorinated DWDS, chlorine dramatically reduced the biofilm biomass growth but raised the relative abundances of the chlorine-resistant genera (i.e. Pseudomonas and Sphingomonas) in bacterial communities.","Biofilm community structure; Chlorine; Cold recovery; Drinking water microbial activity; Functional prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-15","","","Sanitary Engineering","","",""
"uuid:7c6934d8-7940-40e3-9aeb-a992cdd83c04","http://resolver.tudelft.nl/uuid:7c6934d8-7940-40e3-9aeb-a992cdd83c04","Discrete equivalence of adjoint neumann–dirichlet div-grad and grad-div equations in curvilinear 3d domains","Zhang, Yi (Student TU Delft); Jain, V. (TU Delft Aerodynamics); Palha, A. (Eindhoven University of Technology); Gerritsma, M.I. (TU Delft Aerodynamics)","Sherwin, Spencer J. (editor); Peiró, Joaquim (editor); Vincent, Peter E. (editor); Moxey, David (editor); Schwab, Christoph (editor)","2020","In this paper, we will show that the equivalence of a div-grad Neumann problem and a grad-div Dirichlet problem can be preserved at the discrete level in 3-dimensional curvilinear domains if algebraic dual polynomial representations are employed. These representations will be introduced. Proof of the equivalence at the discrete level follows from the construction of the algebraic dual representations. A 3-dimensional test problem in curvilinear coordinates will illustrate this approach.","","en","conference paper","SpringerOpen","","","","","","","","","","Aerodynamics","","",""
"uuid:daef303d-1f7f-4769-839d-91ab1cda9909","http://resolver.tudelft.nl/uuid:daef303d-1f7f-4769-839d-91ab1cda9909","Mechanical performance characterization of lignin-modified asphalt mixture","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Wang, Xuancang (Chang'an University); Ji, Guanyu (Chang'an University); Fan, Zhenyang (Chang'an University); Guo, Yuchen (Chang'an University); Gao, Wenze (Chang'an University); Xin, Lei (Chang'an University)","","2020","Lignin, as a bio-based waste, has been utilized in the asphalt industry due to various advantages. This study aimed to investigate the effects of two lignin products (lignin powder and lignin fiber) on the mechanical properties of asphalt mixtures. The raveling, rutting, thermal and fatigue cracking resistance, and moisture susceptibility of different asphalt mixtures were respectively evaluated by the Cantabro test, wheel loading tracking test, semicircular bending test, four-point beam bending test, and freezing-thaw cyclic test. Results show that asphalt mixture with lignin powder-modified asphalt improved the overall mechanical performance. However, lignin fiber showed contradictory effects on certain mechanical properties, i.e., improved rutting resistance and thermal cracking resistance of asphalt mixture, degraded abrasion resistance, fatigue performance, and moisture stability. Therefore, cautions need to be taken when incorporating lignin fiber into asphalt mixture.","Asphalt mixture; Lignin; Mechanical performance","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:5b009ebb-a8ce-432a-a85a-89b6195c4f60","http://resolver.tudelft.nl/uuid:5b009ebb-a8ce-432a-a85a-89b6195c4f60","Biomimetic Strain-Stiffening Self-Assembled Hydrogels","Wang, Yiming (East China University of Science and Technology); Xu, Zhi (East China University of Science and Technology); Lovrak, M. (TU Delft ChemE/Advanced Soft Matter); le Sage, V.A.A. (TU Delft ChemE/Advanced Soft Matter); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Guo, Xuhong (East China University of Science and Technology); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Mendes, E. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2020","Supramolecular structures with strain-stiffening properties are ubiquitous in nature but remain rare in the lab. Herein, we report on strain-stiffening supramolecular hydrogels that are entirely produced through the self-assembly of synthetic molecular gelators. The involved gelators self-assemble into semi-flexible fibers, which thereby crosslink into hydrogels. Interestingly, these hydrogels are capable of stiffening in response to applied stress, resembling biological intermediate filaments system. Furthermore, strain-stiffening hydrogel networks embedded with liposomes are constructed through orthogonal self-assembly of gelators and phospholipids, mimicking biological tissues in both architecture and mechanical properties. This work furthers the development of biomimetic soft materials with mechanical responsiveness and presents potentially enticing applications in diverse fields, such as tissue engineering, artificial life, and strain sensors.","gels; low-molecular-weight gelators; self-assembly; strain-stiffening; supramolecular chemistry","en","journal article","","","","","","Accepted Author Manuscript","","2021-01-07","","","ChemE/Advanced Soft Matter","","",""
"uuid:b37dd438-dcc7-4f66-9544-7495f31108ed","http://resolver.tudelft.nl/uuid:b37dd438-dcc7-4f66-9544-7495f31108ed","Vertically-Aligned Multi-Walled Carbon Nano Tube Pillars with Various Diameters under Compression: Pristine and NbTiN Coated","Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","In this paper, the compressive stress of pristine and coated vertically-aligned (VA) multi-walled (MW) carbon nanotube (CNT) pillars were investigated using flat-punch nano-indentation. VA-MWCNT pillars of various diameters (30–150 µm) grown by low-pressure chemical vapor deposition on silicon wafer. A conformal brittle coating of niobium-titanium-nitride with high superconductivity temperature was deposited on the VA-MWCNT pillars using atomic layer deposition. The coating together with the pillars could form a superconductive vertical interconnect. The indentation tests showed foam-like behavior of pristine CNTs and ceramic-like fracture of conformal coated CNTs. The compressive strength and the elastic modulus for pristine CNTs could be divided into three regimes of linear elastic, oscillatory plateau, and exponential densification. The elastic modulus of pristine CNTs increased for a smaller pillar diameter. The response of the coated VA-MWCNTs depended on the diffusion depth of the coating in the pillar and their elastic modulus increased with pillar diameter due to the higher sidewall area. Tuning the material properties by conformal coating on various diameter pillars enhanced the mechanical performance and the vertical interconnect access (via) reliability. The results could be useful for quantum computing applications that require high-density superconducting vertical interconnects and reliable operation at reduced temperatures.","Carbon nanotubes; Conformal coating; LPCVD; Nano-indentation; NbTiN","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:24cdee06-0f0e-471d-b512-edba337fb415","http://resolver.tudelft.nl/uuid:24cdee06-0f0e-471d-b512-edba337fb415","360-Degree Distribution of Biofilm Quantity and Community in an Operational Unchlorinated Drinking Water Distribution Pipe","Liu, G. (TU Delft Space Systems Egineering; Chinese Academy of Sciences; Oasen); Zhang, Ya (University of Illinois at Urbana-Champaign); Liu, X. (TU Delft ChemE/Catalysis Engineering); Hammes, Frederik (Swiss Federal Institute of Aquatic Science and Technology); Liu, Wen Tso (University of Illinois at Urbana-Champaign); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute); Wessels, Peter (Oasen); van der Meer, W.G.J. (TU Delft Sanitary Engineering; Oasen; University of Twente)","","2020","In the present study, triplicate rings of 360° pipe surfaces of an operational drinking water distribution pipe were swabbed. Each ring was equally divided into 16 parts for swabbing. The collected swabs were grouped into 3 sections and compared with the biofilm samples sampled by sonication of specimens from the same pipe. The results showed that the biofilm is unevenly distributed over the 16 parts and the 3 sections of the pipe surface. Both the active biomass and the number of observed OTUs increased as the measurements proceeded from the top to the bottom of the pipe. The bacterial community was dominated in all sections by Proteobacteria. At the genus level, Nitrospira spp., Terrimonas spp., and Hyphomicrobium spp. were dominant in all sections. Gaiella spp. and Vicinamibacter spp. dominated in S-I, Blastopirellula spp. and Pirellula spp. dominated in S-II, while Holophaga spp. and Phaeodactylibacter spp. dominated in S-III. When swabbing and pipe specimen sonication were compared, the results showed that the sampling strategy significantly influences the obtained biofilm bacterial community. A consistent multisectional swabbing strategy is proposed for future biofilm sampling; it involves collecting swabs from all sections and comparing the swabs from the same position/section across locations.","","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:974dde77-8725-462c-8f3d-ffc1bd29515b","http://resolver.tudelft.nl/uuid:974dde77-8725-462c-8f3d-ffc1bd29515b","Continuum-based micromechanical models for asphalt materials: Current practices & beyond","Zhang, H. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Kasbergen, C. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Al Khateeb, Loay (Norwegian University of Science and Technology (NTNU))","","2020","The mechanical properties of asphalt mixture are always required for the evaluation of the durability of pavements. In order to obtain these properties without conducting expensive laboratory tests and using calibrated empirical models, research studies have been carried out to develop micromechanics-based models. Continuum-based micromechanical models (CBMM), which are developed based on continuum mechanics, have increasingly been utilized to estimate the mechanical properties of asphalt materials based on the fundamental properties of individual constituents. These analytical models are expected to provide reliable predictions without the need for extensive computational facilities. Although the utilization of CBMM has been presented by several past studies, most of the studies do not provide a concise and critical review of these models. Therefore, in this paper, a complete review of CBMM was presented. Commonly used CBMM were introduced in detail and their advantages and disadvantages were discussed and compared. Comprehensive summaries and critical discussions about their current utilization and limitations for predicting the mechanical properties of asphalt materials were given. Further modifications and new development for addressing the limitations were extensively described and discussed. In the end, research challenges were highlighted and future recommendations from different perspectives were proposed.","Asphalt materials; Continuum-based micromechanical models; Effective stiffness","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b9c412ce-4af0-49c7-9cfe-23892e133859","http://resolver.tudelft.nl/uuid:b9c412ce-4af0-49c7-9cfe-23892e133859","Enantioselective Sulfoxidation of Thioanisole by Cascading a Choline Oxidase and a Peroxygenase in the Presence of Natural Deep Eutectic Solvents","Li, Yongru (South China University of Technology); Ma, Yunjian (South China University of Technology); Li, Peilin (South China University of Technology); Zhang, Xizhen (South China University of Technology); Ribitsch, Doris (acib GmbH); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology)","","2020","A bienzymatic cascade for selective sulfoxidation is presented. The evolved recombinant peroxygenase from Agrocybe aegeritra catalyses the enantioselective sulfoxidation of thioanisole whereas the choline oxidase from Arthrobacter nicotianae provides the H2 O2 necessary via reductive activation of ambient oxygen. The reactions are performed in choline chloride-based deep eutectic solvents serving as co-solvent and stoichiometric reductant at the same time. Very promising product concentrations (up to 15 mM enantiopure sulfoxide) and catalyst performances (turnover numbers of 150,000 and 2100 for the peroxygenase and oxidase, respectively) have been achieved.","biocatalysis; enantioselectivity; natural deep eutectic solvents; peroxygenases; sulfoxidation","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:56821cdd-3f3b-4306-b3a0-cace8bda11be","http://resolver.tudelft.nl/uuid:56821cdd-3f3b-4306-b3a0-cace8bda11be","Design and characterization of multi-stable mechanical metastructures with level and tilted stable configurations","Zhang, Y. (TU Delft Computational Design and Mechanics); Wang, Q. (TU Delft Computational Design and Mechanics); Tichem, M. (TU Delft Micro and Nano Engineering); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2020","Multi-stable structures are able to achieve significant geometric change and retain specific deformed configurations after the loads have been removed. This reconfiguration property enables, for example, to design metamaterials with tunable features. In this work, a type of multi-stable metastructures exhibiting both level and tilted stable configurations is proposed based on 2D and 3D arrangements of bi-stable elements. The resulting level and tilted configurations are enabled by the rotational compliance, bi-stability and spatial arrangement of unit cells. The bi-stability of the unit cells and multi-stability of the metastructures are demonstrated and characterized by experiments and finite element analysis. Results show that transitions between level stable configurations are symmetric in terms of load–deflection response while switching to the tilted stable configurations leads to asymmetric mechanical responses. The tilted stable configurations are less stable than the level configurations. Moreover, we demonstrate that the level and tilted stable configurations of the metastructure depend on the parallel and serial arrangement of the unit cells.","Level stable configuration; Metastructure; Multi-stability; Snap-through transition; Tilted stable configuration","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:a8a72188-d5ab-4811-ba79-d093fa30bb3e","http://resolver.tudelft.nl/uuid:a8a72188-d5ab-4811-ba79-d093fa30bb3e","Effect of lateral reinforcements on the adhesion and friction of micropillar adhesives","van Assenbergh, S.P. (TU Delft Medical Instruments & Bio-Inspired Technology); Zhang, K. (TU Delft Medical Instruments & Bio-Inspired Technology); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Dodou, D. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2020","Micropillar adhesives have gained increasing attention because they generate high pull-off forces. The generation of high friction, however, has been proven difficult with such geometries, because micropillars tend to buckle under shear loading. Here, we fabricated orthogonal arrays of composite poly-dimethoxysiloxane (PDMS) micropillars with a stiff core and spin-coated them with PDMS solutions to form a soft coating, as well as bridges between neighboring micropillars. We used 10 wt% and 5 wt% PDMS solution to obtain thick or thin bridges, respectively. The micropillars had an average height of about 60 µm and a diameter of 40 µm. Adhesion and friction measurements were performed with three types of adhesives (i.e., without bridges and with either thin or thick bridges) as well as unpatterned samples as reference, on stiff glass substrates and on deformable PDMS substrates. We found that, on PDMS substrates, bridging resulted in increased friction, compared to non-bridged micropillars. Friction increased with increasing bridge thickness, presumably due to buckling prevention. The adhesives were also subjected to 99 repeating friction cycles to test the effect of micropillar bridging on the durability of the adhesives. The results showed that adhesives with thick micropillar bridges preserved their friction performance over the cycles, whereas adhesives with no bridges or thin bridges exhibited a gradual decay of friction.","Adhesion; Friction; Micropillar adhesives; Reinforced adhesives","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f038ff1f-618b-414d-9bc4-3d6a269d64b1","http://resolver.tudelft.nl/uuid:f038ff1f-618b-414d-9bc4-3d6a269d64b1","An indicator system for evaluating operation and maintenance management of mega infrastructure projects in China","Chen, Dan (Chongqing University); Xiang, Pengcheng (Chongqing University); Jia, Fuyuan (Chongqing University); Zhang, Jian (Chongqing University); Liu, Z. (TU Delft Integral Design & Management)","","2020","Mega infrastructure projects provide a basic guarantee for social development, economic construction, and livelihood improvement. Their operation and maintenance (O&M) management are of great significance for the smooth operation and the realization of the value created by the projects. In order to provide an approach for effectively evaluating O&M management, this study develops a holistic indicator system using a mixed-review method from the national macro perspective in China. In this study, literature analysis, policy texts, expert interviews, and grounded theory were used to collect relevant data at home and abroad, and establish an initial evaluation indicator system with 23 indicators covering two dimensions and five aspects. Then the questionnaire survey and factor analysis were used to score and categorize the indicators, and finally an evaluation indicator system for O&M management of mega infrastructure projects was formed. The results show that social relations, environmental benefits, macro policy, and operational capacities play an important role in the evaluation of the O&M of mega infrastructure projects. This study helps the management team to avoid negative impacts in the O&M management of mega infrastructure projects and lays a theoretical foundation for future research. The indicator system in this study is based on the Chinese context, and it remains to be verified whether the indicator system is applicable to other countries due to the differences in political and cultural backgrounds in different regions.","Assessment level; Indicator system; Mega infrastructure projects; Operation and maintenance management","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:129bf878-2be7-4621-8c41-fd84cefd4fd1","http://resolver.tudelft.nl/uuid:129bf878-2be7-4621-8c41-fd84cefd4fd1","Design of lead-free antiferroelectric (1 − X)NaNbO3−xSrSnO3 compositions guided by first-principles calculations","Koruza, Jurij (Technische Universität Darmstadt); Zhang, Mao Hua (Technische Universität Darmstadt); Hadaeghi, Niloofar (Technische Universität Darmstadt); Egert, Sonja (Technische Universität Darmstadt); Ding, Hui (Technische Universität Darmstadt); Zhang, Hongbin (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy; Technische Universität Darmstadt); Buntkowsky, Gerd (Technische Universität Darmstadt); Klein, Andreas (Technische Universität Darmstadt)","","2020","Antiferroelectric materials exhibit a unique electric-field-induced phase transition, which enables their use in energy storage, electrocaloric cooling, and nonvolatile memory applications. However, in many prototype antiferroelectrics this transition is irreversible, which prevents their implementation. In this work, we demonstrate a general approach to promote the reversibility of this phase transition by targeted modification of the material's local structure. A new NaNbO3-based composition, namely (1− x)NaNbO3−xSrSnO3, was designed with a combination of first-principles calculations and experimental characterization. Our theoretical study predicts stabilization of the antiferroelectric state over the ferroelectric state with an energy difference of 1.4 meV/f.u. when 6.25 mol % of SrSnO3 is incorporated into NaNbO3. A series of samples was prepared using solid-state reactions, and the structural changes upon SrSnO3 incorporation were investigated using X-ray diffraction and 23Na solid-state nuclear magnetic resonance spectroscopy. The results revealed an increase in the unit cell volume and a more disordered, yet less distorted local Na environment, which were related to the stabilization of the antiferroelectric order. The SrSnO3-modified compositions exhibited well-defined double polarization loops and an eight times higher energy storage density as compared to unmodified NaNbO3. Our results indicate that this first-principles calculations based approach is of great potential for the design of new antiferroelectric compositions.","","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-21","","","RST/Storage of Electrochemical Energy","","",""
"uuid:8296b91b-4b84-45f1-ac66-98ca370d6282","http://resolver.tudelft.nl/uuid:8296b91b-4b84-45f1-ac66-98ca370d6282","Nuclear Waste and Biocatalysis: A Sustainable Liaison?","Zhang, W. (TU Delft BT/Biocatalysis; Chinese Academy of Sciences); Liu, H. (TU Delft RST/Applied Radiation & Isotopes; TU Delft RST/Radiation, Science and Technology); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Alcalde, Miguel (University of the Balearic Islands); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes; TU Delft RST/Radiation, Science and Technology); Djanashvili, K. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2020","It is well-known that energy-rich radiation induces water splitting, eventually yielding hydrogen peroxide. Synthetic applications, however, are scarce and to the best of our knowledge, the combination of radioactivity with enzyme-catalysis has not been considered yet. Peroxygenases utilize H2O2 as an oxidant to promote highly selective oxyfunctionalization reactions but are also irreversibly inactivated in the presence of too high H2O2 concentrations. Therefore, there is a need for efficient in situ H2O2 generation methods. Here, we show that radiolytic water splitting can be used to promote specific biocatalytic oxyfunctionalization reactions. Parameters influencing the efficiency of the reaction and current limitations are shown. Particularly, oxidative inactivation of the biocatalyst by hydroxyl radicals influences the robustness of the overall reaction. Radical scavengers can alleviate this issue, but eventually, physical separation of the enzymes from the ionizing radiation will be necessary to achieve robust reaction schemes. We demonstrate that nuclear waste can also be used to drive selective, peroxygenase-catalyzed oxyfunctionalization reactions, challenging our view on nuclear waste in terms of sustainability.","biocatalysis; oxyfunctionalization; peroxygenases; radiation; sustainability","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","BT/Biocatalysis","","",""
"uuid:2d164bd1-a95c-4eeb-a0b7-a22a03601652","http://resolver.tudelft.nl/uuid:2d164bd1-a95c-4eeb-a0b7-a22a03601652","Dynamics of a Tidal Current System in a Marginal Sea: A Case Study of the Yellow Sea, China","Zhang, Qian (Hohai University); Su, Min (Hohai University); Yao, Peng (Hohai University); Chen, Yongping (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares)","","2020","Tidal currents belong to the main driving forces shaping the bathymetry of marginal seas. A globally unique radial sand ridge field exists in the South Yellow Sea off the central Jiangsu coast, China. Its formation is related to the distinctive “radial tidal current” pattern at that location. A generally accepted hypothesis is that the “radial tidal current” is a consequence of the interference between the northern amphidromic tidal wave system and the southern incoming tidal wave. In this study, a schematized numerical tidal model was designed to investigate the tidal current system and the factors of influence in the South Yellow Sea. Concepts of the tidal current amphidromic point (CAP) and the tidal current inclination angle are utilized to analyze the inherent structure of the tidal current system. By conducting a series of numerical experiments, it is found that the Poincaré modes are necessary for the existence of “radial tidal current,” and the e-folding decay length should be smaller than the basin length. In the Yellow Sea, cross-basin phase differences due to lateral depth differences as well as open boundary conditions favor the emergence of the “radial tidal current.” Further analyses indicate that the CAP system (i.e., the co-inclination lines, the CAPs, and the tidal ellipticity) deepens the understanding on the dynamic structure of a tidal current system, and therefore, it deserves more attention in future studies.","basin geometry; lateral depth difference; radial tidal current; south yellow sea; tidal current amphidromic points (CAPs); tidal current system","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:61a73d78-c401-43ca-8269-f0fd053439f2","http://resolver.tudelft.nl/uuid:61a73d78-c401-43ca-8269-f0fd053439f2","Environmental impact evaluation model of dam breach — Considering the uncertainty feature of environment","Li, Wei (Zhengzhou University; Zhengzhou Railway Vocational and Technical College); Li, Zong Kun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University); Zhang, Hexiang (Zhengzhou University)","","2020","The environmental impact of dam breach has the features of complexity and uncertainty. There is a lack of systematic and comprehensive research on the environmental impact of dam breach in China. Considering such fuzziness of environment evaluation and the lack of precise data, this work chooses the method of multi-index comprehensive evaluation. Targeting to solve the uncertainty problem of weight calculation and evaluation model, this work introduces the statistic cloud theory to calculate the weight and variable fuzzy set theory to evaluate the environmental impact. We select seven environmental impact factors, including channel morphology, vegetation coverage, water and soil environment, biodiversity, human ecology, and industrial pollution, are selected to construct the evaluation index system and construct the value standard of them. The models are applied to the dam of Shaheji Reservoir in China. The results show that the environmental impact degree of the reservoir member an extremely serious grade mostly, reaching 0.589. Compared with the evaluation results already done, the result of the proposed models shows that the models are reasonable and scientific, which provides a new method for environmental impact assessment of dam breach considering the uncertainty feature of the environment.","Cloud theory; Dam breach; Environmental impact; Variable fuzzy set","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:34854ebd-489c-480c-aa74-8712f6ef6ec5","http://resolver.tudelft.nl/uuid:34854ebd-489c-480c-aa74-8712f6ef6ec5","Corrnet: Fine-grained emotion recognition for video watching using wearable physiological sensors","Zhang, T. (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); Ali, Abdallah El (Centrum Wiskunde & Informatica (CWI)); Chen, C. (Xinhua News Agency, Beijing); Hanjalic, A. (TU Delft Intelligent Systems); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","","2020","Recognizing user emotions while they watch short-form videos anytime and anywhere is essential for facilitating video content customization and personalization. However, most works either classify a single emotion per video stimuli, or are restricted to static, desktop environments. To address this, we propose a correlation-based emotion recognition algorithm (CorrNet) to recognize the valence and arousal (V-A) of each instance (fine-grained segment of signals) using only wearable, physiological signals (e.g., electrodermal activity, heart rate). CorrNet takes advantage of features both inside each instance (intra-modality features) and between different instances for the same video stimuli (correlation-based features). We first test our approach on an indoor-desktop affect dataset (CASE), and thereafter on an outdoor-mobile affect dataset (MERCA) which we collected using a smart wristband and wearable eyetracker. Results show that for subject-independent binary classification (high-low), CorrNet yields promising recognition accuracies: 76.37% and 74.03% for V-A on CASE, and 70.29% and 68.15% for V-A on MERCA. Our findings show: (1) instance segment lengths between 1–4 s result in highest recognition accuracies (2) accuracies between laboratory-grade and wearable sensors are comparable, even under low sampling rates (≤64 Hz) (3) large amounts of neu-tral V-A labels, an artifact of continuous affect annotation, result in varied recognition performance.","Emotion recognition; Machine learning; Physiological signals; Video","en","journal article","","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:57dbcb17-b25e-4417-a86f-d9fc43b48aa7","http://resolver.tudelft.nl/uuid:57dbcb17-b25e-4417-a86f-d9fc43b48aa7","From Si Towards SiC Technology for Harsh Environment Sensing","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","van Driel, Willem Dirk (editor); Pyper, Oliver (editor); Schumann, Cornelia (editor)","2020","In the coming decade, the development in the area of More than Moore will certainly take over from Moore’s Law. Sensor development and sensor integration will prevail above lower node development. New packaging solutions will be developed which will fuel the integration of sensors. These developments can still be silicon based but where harsh environments are involved wide-bandgap (WBG) materials, such as gallium nitride (GaN) or silicon carbide (SiC), will take over the development efforts spend. In this chapter, the use of WBG SiC material is discussed and reviewed towards possible applications for sensing under harsh environment exposure.","Sensor integration; More than Moore; Harsh environments; WBG; SiC","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:85e7884a-4ae1-4d83-9d90-c3dbb1b31b7e","http://resolver.tudelft.nl/uuid:85e7884a-4ae1-4d83-9d90-c3dbb1b31b7e","Pickup and delivery problem with transshipment for inland waterway transport","Zhang, Y. (TU Delft Transport Engineering and Logistics); Atasoy, B. (TU Delft Transport Engineering and Logistics); Souravlias, D. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","Lalla-Ruiz, Eduardo (editor); Mes, Martijn (editor); Voß, Stefan (editor)","2020","Inland waterway transport is becoming attractive due to its minimum environmental impact in comparison with other transportation modes. Fixed timetables and routes are adopted by most barge operators, avoiding the full utilization of the available resources. Therefore a flexible model is adopted to reduce the transportation cost and environmental impacts. This paper regards the route optimization of barges as a pickup and delivery problem (PDP). A Mixed Integer Programming (MIP) model is proposed to formulate the PDP with transshipment of barges, and an Adaptive Large Neighborhood Search (ALNS) is developed to solve the problem efficiently. The approach is evaluated based on a case study in the Rhine Alpine corridor and it is shown that ALNS is able to find good solutions in reasonable computation times. The results show that the cost is lower when there is more flexibility. Moreover, the cost comparison shows that transshipment terminals can reduce the cost for barge companies.","Adaptive large neighborhood search; Inland waterway transport; Pickup and delivery problem; Transshipment","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-22","","","Transport Engineering and Logistics","","",""
"uuid:fbf363b5-d541-45dd-9127-a11443f60d33","http://resolver.tudelft.nl/uuid:fbf363b5-d541-45dd-9127-a11443f60d33","Acoustic multiple reflection elimination in the image domain and in the data domain","Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","Slob, E.C. (promotor); Delft University of Technology (degree granting institution)","2019","One of the most crucial estimates retrieved from measured seismic reflection data is the subsurface image. The image provides detailed information of the subsurface of the Earth. Seismic reflection data consists of so-called primary and multiple reflections. Primary reflections are events that have been reflected a single time, while multiple reflections have been reflected multiple times before they are recorded by the receivers. Most current migration algorithms assume all reflections in the data are primary reflections. Hence, in order to retrieve an accurate image of the subsurface, multiple reflections need to be eliminated before migration. Keeping the multiple reflections in the measured seismic reflection data will lead to a sub-optimal image of the subsurface, because the multiple reflections will be imaged as if they were primary reflections. Such artefacts in the image can cause erroneous interpretation...","","en","doctoral thesis","","978-94-6384-094-1","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:0e481aea-697a-4db3-88cd-12ba595bd85d","http://resolver.tudelft.nl/uuid:0e481aea-697a-4db3-88cd-12ba595bd85d","Modeling and Analysis of a Direct Time-of-Flight Sensor Architecture for LiDAR Applications","Padmanabhan, P. (Swiss Federal Institute of Technology); Zhang, C. (TU Delft (OLD)Applied Quantum Architectures); Charbon-Iwasaki-Charbon, E. (Swiss Federal Institute of Technology)","","2019","Direct time-of-flight (DTOF) is a prominent depth sensing method in light detection and ranging (LiDAR) applications. Single-photon avalanche diode (SPAD) arrays integrated in DTOF sensors have demonstrated excellent ranging and 3D imaging capabilities, making them promising candidates for LiDARs. However, high background noise due to solar exposure limits their performance and degrades the signal-to-background noise ratio (SBR). Noise-filtering techniques based on coincidence detection and time-gating have been implemented to mitigate this challenge but 3D imaging of a wide dynamic range scene is an ongoing issue. In this paper, we propose a coincidence-based DTOF sensor architecture to address the aforementioned challenges. The architecture is analyzed using a probabilistic model and simulation. A flash LiDAR setup is simulated with typical operating conditions of a wide angle field-of-view (FOV = 40 ° ) in a 50 klux ambient light assumption. Single-point ranging simulations are obtained for distances up to 150 m using the DTOF model. An activity-dependent coincidence is proposed as a way to improve imaging of wide dynamic range targets. An example scene with targets ranging between 8-60% reflectivity is used to simulate the proposed method. The model predicts that a single threshold cannot yield an accurate reconstruction and a higher (lower) reflective target requires a higher (lower) coincidence threshold. Further, a pixel-clustering scheme is introduced, capable of providing multiple simultaneous timing information as a means to enhance throughput and reduce timing uncertainty. Example scenes are reconstructed to distinguish up to 4 distinct target peaks simulated with a resolution of 500 ps. Alternatively, a time-gating mode is simulated where in the DTOF sensor performs target-selective ranging. Simulation results show reconstruction of a 10% reflective target at 20 m in the presence of a retro-reflective equivalent with a 60% reflectivity at 5 m within the same FOV.","background noise; coincidence detection; direct time-of-flight (DTOF) sensor; flash LiDAR; time-gating; wide dynamic range targets","en","journal article","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:a6d85734-05ae-4808-ab45-51a4dbd424f0","http://resolver.tudelft.nl/uuid:a6d85734-05ae-4808-ab45-51a4dbd424f0","Suspended AlGaN/GaN HEMT NO2 Gas Sensor Integrated with Micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials; China Research Institute); Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; State Key Laboratory of Solid State Lighting); Iervolino, E. (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","We developed an AlGaN/GaN high electron mobility transistor (HEMT) sensor with a tungsten trioxide (WO3) nano-film modified gate for nitrogen dioxide (NO2) detection. The device has a suspended circular membrane structure and an integrated micro-heater. The thermal characteristic of the Platinum (Pt) micro-heater and the HEMT self-heating are studied and modeled. A significant detection is observed for exposure to a low concentration of 100 ppb NO2 /N2 at ∼300 °C. For a 1 ppm NO2 gas, a high sensitivity of 1.1% with a response (recovery) time of 88 second (132 second) is obtained. The effects of relative humidity and temperature on the gas sensor response properties in air are also studied. Based on the excellent sensing performance and inherent advantages of low power consumption, the investigated sensor provides a viable alternative high performance NO2 sensing applications. It is suitable for continuous environmental monitoring system or high temperature applications.","GaN; HEMT; micro-heater; NO sensor; WO","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:abb92aa0-b726-4991-9078-324d9725a972","http://resolver.tudelft.nl/uuid:abb92aa0-b726-4991-9078-324d9725a972","A 31-μ W, 148-fs Step, 9-bit Capacitor-DAC-Based Constant-Slope Digital-to-Time Converter in 28-nm CMOS","Chen, Peng (University College Dublin); Zhang, Feifei (University College Dublin); Zong, Z. (TU Delft Electronics; NXP Semiconductors); Hu, Suoping (University College Dublin); Siriburanon, Teerachot (University College Dublin); Staszewski, R.B. (University College Dublin)","","2019","This article proposes a power-efficient highly linear capacitor-array-based digital-to-time converter (DTC) using a charge redistribution constant-slope approach. A fringe-capacitor-based digital-to-analog converter (C-DAC) array is used to regulate the starting supply voltage of the constant discharging slope fed to a fixed-threshold comparator. The DTC operation mechanism is analyzed and design tradeoffs are investigated. The proposed DTC consumes merely 31 μW from a 1-V supply when clocked at 40 MHz, while achieving a fine resolution of 148 fs over a 9-bit range. The measured differential nonlinearity (DNL) and integral nonlinearity (INL) are 0.96/1.07 LSB.","Capacitor-based digital-to-analog converter (C-DAC); constant slope; digital-to-time converter (DTC); femtosecond resolution; integral nonlinearity (INL); phase-locked loop (PLL); power-efficient; ultra-low power (ULP)","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:7aab28bf-76cc-46ed-b305-b55d19723a5f","http://resolver.tudelft.nl/uuid:7aab28bf-76cc-46ed-b305-b55d19723a5f","On Probing Appearance: Testing material-lighting interactions in an image-based canonical approach","Zhang, F. (TU Delft Human Information Communication Design)","Pont, S.C. (promotor); de Ridder, H. (promotor); Delft University of Technology (degree granting institution)","2019","Materials are omnipresent. Recognizing materials helps us with inferring their physical and chemical properties, for instance if they are compressible, slippery, sweet and juicy. Yet in literature, much less attention has been paid to material perception than to object perception. This dissertation presents studies on a method to systematically measure human visual perception of opaque materials and test the influence of lighting and shape on material perception. In our studies, we applied multiple psychophysical methods such as matching, discriminating, and perceptual scaling to test the visual perception of materials for human observers. Beyond just matte and glossy material variations that were commonly tested in material perception literature, we included in total four canonical material modes to account for a wide range of materials, namely diffuse, asperity, forward, and mesofacet scattering for ""matte"", ""velvety"", ""specular"", and ""glittery"" material modes, respectively. For the lightings, we included three canonical lighting modes within a spherical harmonics and perception based framework, namely ""ambient"", ""focus"", and ""brilliance"" lighting. Based on the spherical harmonics analysis of the global lighting environment, we were able to quantify the “diffuseness” and “brilliance” of the light maps by using Xia’s diffuseness metric and a novel brilliance metric we proposed. Combining the four material modes and three lighting modes, we presented a canonical set that in combination with optical mixing supports a painterly approach in which key image features could be varied directly. With this method we were able to test and predict light-material interactions using both photographs of the real objects and computer rendered stimuli. We first introduced a new type of non-spherical appearance probe, implementing the painterly approach. Moreover, we developed an interactive interface that integrated the probe for an asymmetric matching task, where observers adjusted sliders to vary each material mode in the probe. The interface was found to be intuitive for inexperienced users and allowed purely visual quantitative measurements. Performances were generally well above chance and robust across experiments and observers, validating the approach. We further developed the material probe and expanded it to allow optical mixing of canonical lighting modes. In a light matching experiment and a 4-category discrimination experiment we found asymmetric perceptual confounds between judgments of material and lighting. Specifically, observers were found to be less sensitive to light changes than to material changes. Moreover, using this canonical approach, we were able to test and predict light-material interactions in two perceptual scaling experiments. To this aim a novel spherical harmonics based metric was introduced for quantifying the ""brilliance"". Lastly, we compared results from our probing method and results from other psychophysical experimental methods, namely perceptual scaling and discrimination, in which semantic information (for material attributes) was involved. Robust effects of light, shape, and light map orientation were found, in a material dependent way. To conclude, our research mainly contributed to 1) the development of a novel probing method that mixes image features of the proximal stimulus in a fluent manner instead of varying the distal physical properties of the stimuli, plus a validation that it works and that it allows quantitative measurements of material perception and material-lighting interactions; 2) understanding of visual perception of opaque materials and material-light-interactions in a wide ecological variety; 3) a validated model for predicting the material dependent lighting effects for matte, specular, velvet and glittery materials; and 4) the interpretations of the material perception results in a manner relating to shape and light. Our findings can be further applied to many subjects, such as industrial design, education, e-commerce, computer graphics, and future psychophysical studies.","Visual perception; Material perception; Lighting","en","doctoral thesis","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:9a74f4ee-62e9-4117-bcb0-26c5a1a52cb9","http://resolver.tudelft.nl/uuid:9a74f4ee-62e9-4117-bcb0-26c5a1a52cb9","Experimentally validated multi-scale fracture modelling scheme of cementitious materials","Zhang, H. (TU Delft Materials and Environment)","Schlangen, E. (promotor); Šavija, B. (copromotor); Delft University of Technology (degree granting institution)","2019","Cementitious materials are heterogeneous on mutliple length scales, from nanometres to metres. Consequently, their macroscopic mechanical properties are affected by material structures at all length scales. In pursuit of fundamental understanding the relationship between their multiscale heterogeneous material structure and mechanical properties, testing and modelling are required at all length scales. In this thesis, a series of experimental and modelling techniques for cementitious materials on multiple length scales (micrometre to millimetre) has been developed. This forms an experimentally validated modelling scheme in which experimental results are used to provide input and validation for numerical model at each length scale. The approach on micro-scale sized specimen preparation has been developed by combining thin-sectioning and micro-dicing techniques. Mechanical measurements on the prepared micro-scale sized specimens were performed using a nanoindenter under various test configurations. The micromechanical model has been developed by combining the micro X-ray computed tomography and discrete lattice fracture model. In terms of hardened cement paste (HCP), the micro-cube indentation splitting test technique offers experimental results for the calibration of the micromechanical model. The one-sided micro-cube splitting test was used to validate the calibrated model. Moreover, the one-sided splitting test can offer the nominal splitting strength of HCP. The micro-cube compression test was developed to validate the modelling results and to provide the compressive strength and Young’s modulus measurements of HCP at the micro-scale. The experimentally validated micromechanical model was further used to predict the uniaxial tensile fracture behaviour of HCP at the micro-scale. It is confirmed by both numerical modelling and experimental measurements that the micromechanical properties (such as compressive strength, tensile strength) of HCP are much higher than at the meso-scale properties. With respect to the interfacial transition zone (ITZ), micro-scale sized HCP-aggregate cantilever beams were fabricated and loaded by the nanoindenter. The measured load-displacement response was used to calibrate the microstructure informed lattice fracturemodel. This model was further used to predict the fracture behaviour of the ITZ under uniaxial tension. The volume averaging up-scaling approach has been adopted as a tool to pass the outcome from the micro-scale to the higher scale as input. The micro-beam three-point bending test has been developed to validate this modelling scheme on HCP. The good agreement between modelling and testing shows that this modelling approach can reproduce the experimental results in terms of fracture pattern, strength and elasticity well. This up-scaling approach was further validated by comparing the modelling and testing results of the 10 mm cubic mortar under uniaxial tension. As strength and fracture properties of cementitious materials are size dependent, a size effect study on HCP has been carried out using both one-sided splitting test configurations and the multiscale modelling approach. The size range of specimens that can be experimentally measured and numerically simulated are significantly improved by using these techniques. The experimentally validated multi-scalemodelling scheme developed in this thesis is fully quantitatively predictable at the meso-scale. This modelling scheme is generic. It can be used in the same or similar way for studying systems utilizing other binders or aggregates.","Cementitious materials; Cement paste; Mortar; Micromechanics; Multi-scale modelling; Lattice fracture model; X-ray computed tomography; Size effect; Nanoindenter","en","doctoral thesis","","978-94-6384-071-2","","","","","","","","","Materials and Environment","","",""
"uuid:1bf203c8-6dc1-4e8c-843c-8a9d0fe15ae7","http://resolver.tudelft.nl/uuid:1bf203c8-6dc1-4e8c-843c-8a9d0fe15ae7","A Roadmap Towards Airborne Wind Energy in the Utility Sector","Kruijff, Michiel (Ampyx Power B.V.); Breukelman, Pim (Ampyx Power B.V.); Williams, Paul (Ampyx Power B.V.); Zhang, Yannan (Ampyx Power B.V.); Bonnin, Vincent (Ampyx Power B.V.)","","2019","","","en","conference paper","","","","","","","","","","","","","",""
"uuid:aaccf26a-f6e1-40cb-8156-7a38efde57da","http://resolver.tudelft.nl/uuid:aaccf26a-f6e1-40cb-8156-7a38efde57da","Sensor selection and rate distribution based beamforming in wireless acoustic sensor networks","Zhang, J. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2019","Power usage is an important aspect of wireless acoustic sensor networks (WASNs) and reducing the amount of information that is to be transmitted is one effective way to save it. In previous contributions, we presented sensor selection as well as rate distribution methods to reduce the power usage of beamforming algorithms in WASNs. Taking only transmission power into account, it was shown that rate distribution is a generalization of sensor selection and that rate distribution is more efficient than sensor selection with respect to the power usage versus performance trade-off. However, this excludes the energy consumption that it takes to keep the WASN nodes activated. In this paper, we present a more detailed comparison between sensor selection and rate-allocation by taking also into account the power to keep sensors activated for centralized WASNs. The framework is formulated by minimizing the total power usage, while lower bounding the noise reduction performance. Numerical results show that whether rate distribution is more efficient than sensor selection depends on the actual power that is used to keep sensors activated.","Beamforming; Energy consumption; Rate distribution; Sensor selection; Wireless acoustic sensor networks","en","conference paper","European Signal Processing Conference, EUSIPCO","","","","","","","","","","Signal Processing Systems","","",""
"uuid:c79ac090-0cac-4881-8fc9-16cdd29ca6fd","http://resolver.tudelft.nl/uuid:c79ac090-0cac-4881-8fc9-16cdd29ca6fd","A GO/FO Tool for Analyzing Quasi-Optical Systems in Reception","Zhang, H. (TU Delft Tera-Hertz Sensing); Dabironezare, Shahab Oddin (TU Delft Tera-Hertz Sensing); Carluccio, G. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2019","In this work, a free accessible MATLAB interface is presented to analyze antenna-coupled Quasi-Optical (QO) systems in reception. This goal is achieved by using Fourier Optics (FO) and Geometrical Optics (GO) based methods. Specifically, the FO method represents the field focalized by a QO component on its focal plane as a plane wave spectrum when the component is illuminated by an incident field. This spectrum is related to the field scattered by the QO component which is calculated here using a GO method. By using this spectrum, the tool estimates the power received by an antenna placed at the focal plane of the QO component. Moreover, the performance in reception is evaluated.","","en","conference paper","IEEE","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:49ccc901-a128-424f-9e9d-e2f8c63ad31b","http://resolver.tudelft.nl/uuid:49ccc901-a128-424f-9e9d-e2f8c63ad31b","Investigation of Pressure Assisted Nanosilver Sintering Process for Application in Power Electronics","Zhang, H. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); van Driel, W.D. (promotor); Delft University of Technology (degree granting institution)","2019","High power electronics with wide band gap semiconductors are becoming the most promising devices in new energy power suppliers and converters. Highly reliable die attach materials, serving as one of interconnections, play critical roles in power electronic packages and modules. Among which, the nanosilver paste/film has become a promising die attach material with main advantages of a high thermal and electrical conductivity, as well as high temperature stability. Previous works are mostly focusing on the pressure free sintered silver nanoparticles, which has a low bonding strength and high porosity. Alternatively, pressure assisted sintering has exhibited great advantages in enhancing the bonding quality of nanosilver sintered joint. But the sintering properties of pressure sintered silver nanoparticles and the application of this technology in power electronics packaging are still lacking. In this thesis, a comprehensive research is performed on the pressure assisted sintering of silver nanoparticles. The results indicate that the sintering pressure demonstrates significant effect on enhancing the bonding strength of sintered silver nanoparticles. Furthermore, the increase of sintering pressure from 5 MPa to 30 MPa improves the resistance to plastic deformation and creep of nanosilver sintered joint. In addition, the designed nanosilver sintering technology is successfully employed in fabricating the double side sintered power package. Besides, the nanosilver sintering process is designed and employed in the ceramic packaging.","nanosilver sintering; pressure; Shear strength; Nanoindentation; stress distribution","en","doctoral thesis","","978-91-6366-176-8","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","http://resolver.tudelft.nl/uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","First principles study of gas molecules adsorption on monolayered β-SnSe","Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","For the purpose of exploring the application of two-dimensional (2D) material in the field of gas sensors, the adsorption properties of gas molecules, CO, CO2, CH2O, O2, NO2, and SO2 on the surface of monolayered tin selenium in β phase (β-SnSe) has been researched by first principles calculation based on density functional theory (DFT). The results indicate that β-SnSe sheet presents weak physisorption for CO and CO2 molecules with small adsorption energy and charge transfers, which show that a β-SnSe sheet is not suitable for sensing CO and CO2. The adsorption behavior of CH2O molecules adsorbed on a β-SnSe monolayer is stronger than that of CO and CO2, revealing that the β-SnSe layer can be applied to detect CH2O as physical sensor. Additionally, O2, NO2, and SO2 are chemically adsorbed on a β-SnSe monolayer with moderate adsorption energy and considerable charge transfers. All related calculations reveal that β-SnSe has a potential application in detecting and catalyzing O2, NO2, and SO2 molecules.","Adsorption behavior; First principles; Gas molecules; Gas sensor; β-SnSe","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f2e8ac06-33c0-423e-9617-6eaa87f7abd8","http://resolver.tudelft.nl/uuid:f2e8ac06-33c0-423e-9617-6eaa87f7abd8","CMOS SPAD Sensors for 3D Time-of-Flight Imaging, LiDAR and Ultra-High Speed Cameras","Zhang, C. (TU Delft (OLD)Applied Quantum Architectures)","Charbon-Iwasaki-Charbon, E. (promotor); Delft University of Technology (degree granting institution)","2019","In conventional applications, such as bio-imaging and microscopy, SPAD is typically used as a single-photon counter. However, this advantage has been challenged by other photon-counting technologies, especially from CMOS-based QIS. Comparatively, apart from single-photon counting capability, QIS is superior to SPAD in terms of intrinsic multi-photon counting capability, quantum efficiency, dark noise, pixel size and fill factor. All these features indicate a low cost and high resolution photon counting imager can be built with QIS, which can be a great competition to SPADs. Moreover, QIS has been demonstrated with 1Mjot array, 0.175e- rms read noise and 1000 fps at less than 20 mWpower consumption.","Single-photon avalanche diode; time-of-flight; LiDAR; image sensor; high-speed sensor","en","doctoral thesis","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:70778c5c-3f00-4854-a0fb-98b24c9ed1cb","http://resolver.tudelft.nl/uuid:70778c5c-3f00-4854-a0fb-98b24c9ed1cb","Database-driven online safe flight envelope prediction and protection for enhanced aircraft fault tolerance","Zhang, Y. (TU Delft Control & Simulation)","Mulder, Max (promotor); Chu, Q. P. (promotor); de Visser, C.C. (copromotor); Delft University of Technology (degree granting institution)","2019","Among all the contributors to fatal accidents, in-flight loss of control (LOC-I) remains one of the largest categories, as indicated by statistics of investigations into past civil aircraft accidents. In flight LOC generally refers to accidents in which the flight crew was unable to maintain control of the aircraft in flight, resulting in an unrecoverable deviation from the intended flight path. Compared with other accidents occurrence categories, LOC-I is more challenging to predict and prevent, since it is often the result of a highly complex combination of a wide range of contributing factors. Many in-depth researches into loss of control accidents have been conducted to find out how these events unfold, and to develop effective intervention strategies for preventing LOC.","flight envelope; loss-of-control; database; fault tolerance; machine learning","en","doctoral thesis","","978-94-028-1418-7","","","","","","","","","Control & Simulation","","",""
"uuid:0af59938-45cc-444d-bd62-289a662f854d","http://resolver.tudelft.nl/uuid:0af59938-45cc-444d-bd62-289a662f854d","Image analysis methods for dynamic hepatocyte-specific contrast enhanced MRI","Zhang, T. (TU Delft ImPhys/Quantitative Imaging)","van Vliet, L.J. (promotor); Stoker, Jaap (promotor); Vos, F.M. (promotor); Lavini, Cristina (promotor); Delft University of Technology (degree granting institution)","2019","Patients with colorectal cancer are frequently presented with liver metastases for which (partial) resection is often the best therapy. However, the future remnant liver, the remaining part of the liver after resection, should allow adequate liver function to avoid liver failure. This thesis presents novel methods for the accurate voxel-wise estimation of the future remnant liver’s function based on pharmacokinetic modeling of dynamic contractenhanced (DCE)MRI. The methods comprise a variety of novel techniques for DCE-MRI of the liver: 1) 4D registration of the DCE series; 2) delineation of the liver, the liver vasculature and the liver’s anatomical segments; 3) pharmacokinetic (PK) modeling of the perfusion based on the intra-cellular contrast agent Gd-EOB-DTPA (Primovist); 4) assessment of the relation between DCE-MRI and hepatobiliary scintigraphy (HBS). Spatial alignment of the voxels in the 4D DCE-MRI is an important requirement for PK modeling. We exploit the proximity of deformation fields to sequentially register images in an ordered fashion. The global liver displacement helps in predicting the deformation ‘tendency’ along the time axis. The deformation tendency allows us to obtain a better starting point for the registration. Such a method aims to start the registration optimization close to the optimum and avoid getting trapped in a local minimum. We apply a liver-specific contrast agent, due to which the liver shows","DCE-MRI; liver metastases; colorectal cancer","en","doctoral thesis","","978-94-6375-351-7","","","","","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:7d912f69-47d5-4f2e-9f8c-b6a6721adb55","http://resolver.tudelft.nl/uuid:7d912f69-47d5-4f2e-9f8c-b6a6721adb55","Indentation hardness, plasticity and initial creep properties of nanosilver sintered joint","Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Sun, Fenglian (Harbin University of Science and Technology); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","The nanoindentation test was conducted in this paper to investigate the indentation hardness, plasticity and initial creep properties of pressure sintered nanosilver joint at various test temperatures. The effects of strain rate on the indentation hardness were first investigated. Then yield stress of nanosilver sintered joint was studied in various pressures sintered joints and the corresponding plastic stress-strain constitutive equations were gained. The maximum indentation depth of nanosilver sintered joint was obviously affected by the test temperature and sintering pressure. The indentation hardness of nanosilver sintered joint decreased with increasing test temperature from 140 to 200°C, which can be attributed to the increased amount of thermal vacancies at high temperatures. However, the indentation modulus exhibited decrease trend as the temperature increased. It is suggested that the distance between adjacent atoms was enlarged at elevated temperatures and furtherly resulted in the decrease of indentation modulus. In addition, the increased sintering pressure from 5 to 30 MPa improved the indentation hardness and modulus of sintered joint. The initial creep was observed in nanosilver sintered joint at temperatures ranged from 140 to 200°C. The increase of sintering pressure improved the resistance to creep of nanosilver sintered joint.","Indentation hardness; Initial creep; Nanosilver; Plastic deformation; Sintering pressure; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:d95a29ff-8865-4484-864a-74fd75028292","http://resolver.tudelft.nl/uuid:d95a29ff-8865-4484-864a-74fd75028292","A theoretical basis for salinity intrusion in estuaries","Zhang, Z. (TU Delft Water Resources)","Savenije, Hubert (promotor); Wang, Zhengbing (promotor); Delft University of Technology (degree granting institution)","2019","Saltwater intrusion is a crucial issue in estuaries. The spread of salinity is described by the dispersion coefficient. A purely empirical equation which links the effective tidal average dispersion to the freshwater discharge was developed by Van der Burgh [1972]. Combining it with the salt balance equation, Savenije [1986] derived a one-dimensional model for salinity intrusion in estuaries. This Van der Burgh model has performed surprisingly well around the world. However, the physical basis of the empirical Van der Burgh coefficient (퐾) is still weak. This study provides a theoretical basis for the Van der Burgh method and presents alternative equations. MacCready [2004] presented a theoretical expression for the dispersion coefficient following a reductionist approach. Comparing the density-related parts of the equations of the dispersion coefficient developed by Savenije and MacCready, a predictive equation is obtained for the coefficient 퐾 using physical parameters. In addition, a new box-model has been developed considering the longitudinal densitydriven gravitational circulation and the lateral tide-driven horizontal circulation. The coefficient 퐾 (closely related to the Van der Burgh’s coefficient) is used as an index of the density-driven mixing mechanism while the tide-driven part is included by assuming that it is proportional to the longitudinal dispersion. This model is validated in sixteen alluvial estuaries worldwide by using calibrated 퐾 values (and the boundary conditions). These calibrated values correspond well with the predicted values from the theoretical derivation, revealing that 퐾 has smaller values when the tide is stronger. From a system perspective, alluvial estuaries are free to adjust dissipation processes to the energy sources that drive them. The potential energy of the river flow drives mixing by gravitational circulation. The maximum power concept assumes that the mixing takes place at the maximum power limit. To describe the complex mixing processes in estuaries holistically, different assumptions had to be made. The maximum power concept did not work satisfactorily when estuaries were assumed as isolated systems. However, by including the accelerating moment provided by the freshwater discharge, the open estuary system could be solved in analogy with Kleidon [2016] applying the maximum power concept. A new expression for the dispersion coefficient due to gravitational circulation has been derived and solved in combination with the advection-dispersion equation. This maximum power model works well in eighteen estuaries with a large convergence length, providing an alternative equation for the dispersion. These estuaries also have larger calibrated 퐾 values by the Van der Burgh method, revealing a relation between the empirical coefficient 퐾 and the geometry. All these models: the Van der Burgh model, the box-model, and the maximum power model, can describe the longitudinal salinity profiles. The comparison between these models implies that the empirical Van der Burgh coefficient is associated with the geometry and stratification conditions. Finally, new predictive equations have been obtained by regression with physical-based parameters which make the Van der Burgh salinity intrusion method predictive with a solid theoretical basis.","Alluvial estuary; salinity intrusion; empirical model; predictive equations; maximum power concept","en","doctoral thesis","","","","","","","","","","","Water Resources","","",""
"uuid:fea43ae4-8a83-40f5-b068-89f36764c7c4","http://resolver.tudelft.nl/uuid:fea43ae4-8a83-40f5-b068-89f36764c7c4","Deformation and fracture of 3D printed disordered lattice materials: Experiments and modeling","Xu, Y. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Chaves Figueiredo, S. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2019","A method is presented to model deformation and fracture behavior of 3D printed disordered lattice materials under uniaxial tensile load. A lattice model was used to predict crack pattern and load-displacement response of the printed lattice materials. To include the influence of typical layered structures of 3D printed materials in the simulation, two types of printed elements were considered: horizontally and vertically printed elements. Strengths of these elements were measured: 3 mm cubic units consist of lattice elements with two printing directions were printed and their strengths were tested in uniaxial tension. Afterwards, the measured element strengths and bulk material strength, respectively, were used as model input. Uniaxial tensile tests were also performed on the printed lattice materials to obtain their crack pattern and load-displacement curves. Simulations and experimental results were comparatively analyzed. For both levels of disorder considered, only when measured strengths were assigned to the elements with identical printing direction, are the predicted crack patterns and load-displacement curves in agreement with experimental results. The results emphasize the importance of considering printing direction when simulating mechanical performance of 3D printed structures. The influence of disorder on lattice material mechanical properties was discussed based on the experiments and simulations.","3D printing; Fracture; Lattice material; Lattice model; Uniaxial tensile test","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:2b36bb9f-b4e3-4585-8ef0-2631e7a4f74a","http://resolver.tudelft.nl/uuid:2b36bb9f-b4e3-4585-8ef0-2631e7a4f74a","Experimentally informed micromechanical modelling of cement paste: An approach coupling X-ray computed tomography and statistical nanoindentation","Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Schlangen, E. (TU Delft Materials and Environment)","","2019","This work proposes a method for numerically investigating the fracture mechanism of cement paste at the microscale based on X-ray computed tomography and nanoindentation. For this purpose, greyscale level based digital microstructure was generated by X-ray microcomputed tomography with a resolution of 2 μm/voxel length. In addition, statistics based micromechanical properties (i.e. Young's modulus and hardness) were derived from the grid nanoindentation test which was set to have an interaction volume the same as the resolution of the digital microstructure. A linear relationship between the two probability density functions of greyscale level and local Young's modulus was assumed and verified by the two-sample Kolmogorov-Smirnov (K–S) statistic. Based on this assumption, the fracture and deformation of a digital cubic volume with a dimension of 100 μm under uniaxial tension was simulated using a lattice fracture model. In addition, the influence of heterogeneity on fracture response was studied. Furthermore, the proposed method was compared with the results obtained from a traditional approach used previously by the authors in which discrete phases (capillary pore, anhydrous cement clinker, outer and inner hydration products) were considered. The two methods show similar crack patterns and stress-strain responses. The proposed method is regarded more promising as it captures also the gradient of material properties (within the discrete phases) in the cement paste.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-27","","","Materials and Environment","","",""
"uuid:a07096dc-9fa4-4f95-b7ad-3045dfe225c5","http://resolver.tudelft.nl/uuid:a07096dc-9fa4-4f95-b7ad-3045dfe225c5","Acoustic Emission based Crack Tracking for Concrete Structures","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures)","Hamstad, M.A. (editor); Manthei, G. (editor)","2019","Acoustic Emission (AE) monitoring is one of the possibilities to detect the crack distribution inexisting concrete structures. However, the conventional method requires further destruction likeopening of new cracks or propagation of the existing cracks. In this paper, a new strategy ofusing local cumulative AE activities during unloading to track the crack trajectory is proposed.With this strategy, a relatively low load level which does not cause further destruction to thestructure is needed. The possibility of this strategy is experimentally examined using a realscale beam of 10-ton damaged under cyclic loading. For calibration, the crack opening ismeasured by Digital Image Correlation (DIC). The crack patterns detected by the new strategyand DIC show good agreement.","concrete beam; cyclic loading; Acoustic Emission; source localization; crack tracking","en","conference paper","Acoustic Emission Group","","","","","","","","","","Concrete Structures","","",""
"uuid:08359b86-0a8b-483c-b1f0-968a4bcae119","http://resolver.tudelft.nl/uuid:08359b86-0a8b-483c-b1f0-968a4bcae119","Metabolic switches from quiescence to growth in synchronized Saccharomyces cerevisiae","Zhang, J. (TU Delft OLD BT/Cell Systems Engineering); Martinez-Gomez, Karla (Saarland University); Heinzle, Elmar (Saarland University); Wahl, S.A. (TU Delft OLD BT/Cell Systems Engineering)","","2019","Introduction: The switch from quiescence (G0) into G1 and cell cycle progression critically depends on specific nutrients and metabolic capabilities. Conversely, metabolic networks are regulated by enzyme–metabolite interaction and transcriptional regulation that lead to flux modifications to support cell growth. How cells process and integrate environmental information into coordinated responses is challenging to analyse and not yet described quantitatively. Objectives: To quantitatively monitor the central carbon metabolism during G0 exit and the first 2 h after reentering the cell cycle from synchronized Saccharomyces cerevisiae. Methods: Dynamic tailored 13C metabolic flux analysis was used to observe the intracellular metabolite flux changes, and the metabolome and proteome were observed to identify regulatory mechanisms. Results: G0 cells responded immediately to an extracellular increase of glucose. The intracellular metabolic flux changed in time and specific events were observed. High fluxes into trehalose and glycogen synthesis were observed during the G0 exit. Both fluxes then decreased, reaching a minimum at t = 65 min. Here, storage degradation contributed significantly (i.e. 21%) to the glycolytic flux. In contrast to these changes, the glucose uptake rate remained constant after the G0 exit. The flux into the oxidative pentose phosphate pathway was highest (29-fold increase, 36.4% of the glucose uptake) at t = 65 min, while it was very low at other time points. The maximum flux seems to correlate with a late G1 state preparing for the S phase transition. In the G1/S phase (t = 87 min), anaplerotic reactions such as glyoxylate shunt increased. Protein results show that during this transition, proteins belonging to clusters related with ribosome biogenesis and assembly, and initiation transcription factors clusters were continuously synthetised. Conclusion: The intracellular flux distribution changes dynamically and these major rearrangements highlight the coordinate reorganization of metabolic flux to meet requirements for growth during different cell state.","C flux analysis; G0; G1; Proteomics; S. cerevisiae","en","journal article","","","","","","","","","","","OLD BT/Cell Systems Engineering","","",""
"uuid:25d84a4a-b4b0-4070-9503-f05f1593dccc","http://resolver.tudelft.nl/uuid:25d84a4a-b4b0-4070-9503-f05f1593dccc","Pre-Treatments Of Mswi Bottom Ash For The Application As Supplementary Cementitious Material In Blended Cement Paste","Chen, B. (TU Delft Materials and Environment); Sun, Yubo (Student TU Delft); Jacquemin, Loic (Student TU Delft); Zhang, Shizhe (TU Delft Materials and Environment); Blom, Kees (Gemeente Rotterdam); Lukovic, M. (TU Delft Concrete Structures); Ye, G. (TU Delft Materials and Environment)","Serdar, Marijana (editor); Štirmer, Nina (editor); Provis, John (editor)","2019","At present, most municipal solid waste incineration (MSWI) bottom ash, as being disposed of as waste, is directly landfilled, raising concern about the environmental issue and potential loss of resources. Given that the natural raw materials used for cement production are being depleted, the recycling of MSWI bottom ash for the application as building materials is meaningful and promising. The feasibility of using MSWI bottom ash as mineral additives in concrete has been demonstrated in the literature. In this research, as-received MSWI bottom ash has high mineral content and shows stable leaching behaviour. But, when used as cement substitute, the residual metallic Al in bottom ash always causes matrix swelling and strength loss by reacting with Ca(OH)2 and releasing H2 gas. In this research, dry and wet pretreatment methods were performed to remove the metallic Al in as-received bottom ash (0- 2mm). The results show that both of these two methods are effective. When comparing these two methods, wet method is time-consuming but can remove the metallic Al completely; dry method is fast but always has limitation that it can only reduce the metallic Al content by 80%. Regarding compressive strength, the decrease introduced by 10% dry-treated bottom replacement in cement paste is less than that of wet-treated bottom ash. The lower strength development observed in wet-treated bottom ash blended cement may be due to the removal of soluble reactive phases during the treatment.","MSWI bottom ash; cement substitute; metallic Al; pre-treatment; compressive strength","en","conference paper","RILEM publications","","","","","","","","","","Materials and Environment","","",""
"uuid:f38d2e12-903e-4f73-9adc-633f4bef2c41","http://resolver.tudelft.nl/uuid:f38d2e12-903e-4f73-9adc-633f4bef2c41","The effect of wall and floor colouring on temperature and draught feeling of primary school children","Bluyssen, P.M. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Krooneman, A.; Freeke, A.","","2019","To investigate whether different colours of the indoor surfaces could have an effect on thermal comfort of children, 335 primary school children were invited to take part in a series of tests conducted in the thermal test chamber of the SenseLab. A three-way factorial randomized design was used to test the effect of three different colours of walls (white, red and blue) and floor (grey, green and blue) on the temperature and draught feeling in a winter situation (sunlight coming in: heat) and a summer situation (opening window: draught). A statistical relevant relationship between feeling of draught and feeling of temperature was found. Except for a significant difference in temperature feeling for different floor colours when the wall colour was red in the winter situation, no relevant effects (or interaction effects) were found for differences in wall or floor colours on the temperature or draught feeling.","","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:76709357-e030-48d3-87b3-465dcd2e86d2","http://resolver.tudelft.nl/uuid:76709357-e030-48d3-87b3-465dcd2e86d2","Numerical modelling of hydrodynamics of permeable pile groins using SWASH","Zhang, R. (TU Delft Coastal Engineering); Stive, M.J.F. (TU Delft Coastal Engineering)","","2019","This paper focuses on a specific form of groins, Permeable Pile Groins (PPGs), consisting of a single or double rows of wooden piles. With only few experiments and simulations available to study the hydraulic functioning of PPGs, the correlation between the effectiveness and the characteristics of the groin system has yet to be fully understood. This paper presents the application of SWASH, a non-hydrostatic wave-flow model to simulate flow fields affected by PPGs. The SWASH model was calibrated to correctly reproduce longshore current fields in the laboratory. Then, introducing PPGs in the model, the simulation results are compared with available experimental measurements to investigate current-PPG interaction. The simulation results, which generally agree well with the measurements, show that PPGs hardly attenuate wave energy but considerably retard longshore currents within the groin fields. Rip currents are predicted to develop at both flank sides of each pile groin, due to local positive water level gradients toward the pile groins.","Longshore currents; Numerical modelling; Pile groins; SWASH","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-03-18","","","Coastal Engineering","","",""
"uuid:33ced909-a5e4-45cc-be1d-c6ac09f0cc04","http://resolver.tudelft.nl/uuid:33ced909-a5e4-45cc-be1d-c6ac09f0cc04","Wave power extraction from multiple oscillating water columns along a straight coast","Zheng, Siming (Plymouth University); Antonini, A. (TU Delft Coastal Engineering); Zhang, Yongliang (Tsinghua University); Greaves, Deborah (Plymouth University); Miles, Jon (Plymouth University); Iglesias, Gregorio (Plymouth University; University College Cork)","","2019","The integration of oscillating water column (OWC) wave energy converters into a coastal structure (breakwater, jetty, pier, etc.) or, more generally, their installation along the coast is an effective way to increase the accessibility of wave power exploitation. In this paper, a theoretical model is developed based on the linear potential flow theory and eigenfunction matching method to evaluate the hydrodynamic performance of an array of OWCs installed along a vertical straight coast. The chamber of each OWC consists of a hollow vertical circular cylinder, which is half embedded in the wall. The OWC chambers in the theoretical model may have different sizes, i.e. different values of the radius, wall thickness and submergence. At the top of each chamber, a Wells turbine is installed to extract power. The effects of the Wells turbine together with the air compressibility are taken into account as a linear power take-off system. The hydrodynamic and wave power extraction performance of the multiple coast-integrated OWCs is compared with that of a single offshore/coast-integrated OWC and of multiple offshore OWCs. More specifically, we analyse the role of the incident wave direction, chamber size (i.e. radius, wall thickness and submergence), spacing between OWCs and number of OWCs by means of the present theoretical model. It is shown that wave power extraction from the coast-integrated OWCs for a certain range of wave conditions can be significantly enhanced due to both the constructive array effect and the constructive coast effect.","surface gravity waves; wave scattering; wave-structure interactions","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-13","","","Coastal Engineering","","",""
"uuid:ce909ef7-e052-4203-ac16-9e20992376f8","http://resolver.tudelft.nl/uuid:ce909ef7-e052-4203-ac16-9e20992376f8","Effect of Main Elements (Zn, Mg, and Cu) on Hot Tearing Susceptibility During Direct-Chill Casting of 7xxx Aluminum Alloys","Li, Y. (University of Science and Technology Beijing); Zhang, Z. R. (University of Science and Technology Beijing); Zhao, Z. Y. (University of Science and Technology Beijing); Li, H. X. (University of Science and Technology Beijing); Katgerman, L. (TU Delft (OLD) MSE-1); Zhang, J. S. (University of Science and Technology Beijing); Zhuang, L. Z. (University of Science and Technology Beijing)","","2019","New 7xxx aluminum alloys with high alloying contents are being designed, which could induce serious hot tearing defects during direct-chill (DC) casting. Among all factors affecting hot tearing of 7xxx alloys, undoubtedly alloying elements play a significant role. In this study, the effect of main alloying elements (Zn, Mg, and Cu) on hot tearing of grain-refined Al-xZn-yMg-zCu alloys was investigated by a dedicated hot tearing rating apparatus simulating the DC-casting process. It was found that the minimum and maximum hot tearing susceptibilities occur for 4 to 6 and 9 wt pct Zn, respectively, indicating the complicated effect of Zn content. The hot tearing resistance of grain-refined Al-9Zn-yMg-zCu alloys is enhanced with increasing Mg content but is deteriorated with increasing Cu content. This can be attributed to the interaction of the thermal stresses, melt feeding, and final eutectics. The observed tendencies of the main alloying elements on hot tearing were also confirmed for four commercial 7xxx alloys. In addition, both the load value at non-equilibrium solidus and the SKK criterion proposed by Suyitno et al. using measured load developments were found to be good indicators in predicting hot tearing susceptibility. This study can provide a beneficial guide in designing 7xxx alloys considering the potential occurrence of hot cracks beforehand.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-29","","","(OLD) MSE-1","","",""
"uuid:32b71fa3-169f-4938-8658-22c9f263b7d3","http://resolver.tudelft.nl/uuid:32b71fa3-169f-4938-8658-22c9f263b7d3","High-resolution imaging and inversion of 3D wavefield data for layered media","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Yang, B. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2019","","","en","poster","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e46b9167-bb39-4ddd-b237-ed76c13d5e4c","http://resolver.tudelft.nl/uuid:e46b9167-bb39-4ddd-b237-ed76c13d5e4c","Determinants of take-over time from automated driving: A meta-analysis of 129 studies","Zhang, Bo (University of Twente); de Winter, J.C.F. (TU Delft Human-Robot Interaction); Varotto, S.F. (TU Delft Transport and Planning; SWOV Institute for Road Safety Research); Happee, R. (TU Delft Intelligent Vehicles; TU Delft Transport and Planning); Martens, Marieke (University of Twente; TNO)","","2019","An important question in automated driving research is how quickly drivers take over control of the vehicle in response to a critical event or a take-over request. Although a large number of studies have been performed, results vary strongly. In this study, we investigated mean take-over times from 129 studies with SAE level 2 automation or higher. We used three complementary approaches: (1) a within-study analysis, in which differences in mean take-over time were assessed for pairs of experimental conditions, (2) a between-study analysis, in which correlations between experimental conditions and mean take-over times were assessed, and (3) a linear mixed-effects model combining between-study and within-study effects. The three methods showed that a shorter mean take-over time is associated with a higher urgency of the situation, not using a handheld device, not performing a visual non-driving task, having experienced another take-over scenario before in the experiment, and receiving an auditory or vibrotactile take-over request as compared to a visual-only or no take-over request. A consistent effect of age was not observed. We also found the mean and standard deviation of the take-over time were highly correlated, indicating that the mean is predictive of variability. Our findings point to directions for new research, in particular concerning the distinction between drivers’ ability and motivation to take over, and the roles of urgency and prior experience.","Automated driving; Literature review; Take-over time; Transition of control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-01","","Transport and Planning","Human-Robot Interaction","","",""
"uuid:467ab2d9-0072-41a3-8f9e-6fe2acdeb6c1","http://resolver.tudelft.nl/uuid:467ab2d9-0072-41a3-8f9e-6fe2acdeb6c1","Light-In-Flight Imaging by a Silicon Image Sensor: Toward the Theoretical Highest Frame Rate","Goji Etoh, Takeharu (Kindai University; Ritsumeikan University, Biwako-Kusatsu); Okinaka, Tomo (Kindai University); Takano, Yasuhide (Kindai University); Takehara, Kohsei (Kindai University); Nakano, Hitoshi (Kindai University); Shimonomura, Kazuhiro (Ritsumeikan University, Biwako-Kusatsu); Ando, Taeko (Ritsumeikan University, Biwako-Kusatsu); Ngo, Nguyen (Ritsumeikan University, Biwako-Kusatsu); Zhang, C. (TU Delft (OLD)Applied Quantum Architectures)","","2019","Light in flight was captured by a single shot of a newly developed backside-illuminated multi-collection-gate image sensor at a frame interval of 10 ns without high-speed gating devices such as a streak camera or post data processes. This paper reports the achievement and further evolution of the image sensor toward the theoretical temporal resolution limit of 11.1 ps derived by the authors. The theoretical analysis revealed the conditions to minimize the temporal resolution. Simulations show that the image sensor designed following the specified conditions and fabricated by existing technology will achieve a frame interval of 50 ps. The sensor, 200 times faster than our latest sensor will innovate advanced analytical apparatuses using time-of-flight or lifetime measurements, such as imaging TOF-MS, FLIM, pulse neutron tomography, PET, LIDAR, and more, beyond these known applications.","light-in-flight; theoretical temporal resolution limit; ultra-high-speed image sensor","en","journal article","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:6a219757-fec3-488e-8db6-aa91d8c549df","http://resolver.tudelft.nl/uuid:6a219757-fec3-488e-8db6-aa91d8c549df","Settlements of immersed tunnel on soft ground: A case study","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","Peila, Daniele (editor); Viggiani, Giulia (editor); Celestino, Tarcisio (editor)","2019","This paper focuses on the settlement analysis of immersed tunnel on soft ground. Even under careful design, immersed tunnel may have problems of excessive settlement and the resulting concrete cracking or structure leakage during operation period. Yongjiang Tunnel, the first immersed tunnel on soft ground in mainland China, is taken as a case study. The monitored settlement data after a 16-year service is first displayed and analyzed, then a 2D numerical model is built to simulate the ground settlement deformation from tunnel construction to long-term tunnel operation. The effects of back-silting on the stiffness of the foundation layer and further on the settlement is quantitatively analyzed, and the advices on settlement control for immersed tunnel on soft ground are provided.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-09","","","Geo-engineering","","",""
"uuid:c1d044e5-e7cd-4999-a2ed-88b0b11e13c1","http://resolver.tudelft.nl/uuid:c1d044e5-e7cd-4999-a2ed-88b0b11e13c1","Degradation of bisphenol-a-polycarbonate (BPA-PC) optical lenses under simulated harsh environment conditions","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","This paper investigates degradation and failure mechanisms of BPA-PC lenses in simulated harsh environment conditions. Exposure of secondary optics in Light Emitting Diode LED-based systems or any other similar applications to environmental stresses can adversely effect the performance and lifetime of products. This paper simulates a harsh environment condition, using a salt bath oven. Salt spray exposure/ageing tests at 45° C were carried out up to four months. Fourier transform infrared-attenuated total reflection FTIR-ATR spectrometer and Lambda 950 Ultraviolet-Visible (UV-VIS) spectrophotometer were used to study the optical and chemical characteristics of aged plates. Results showed that salt bath exposure test resulted in the severe deterioration of optical characteristics BPA-PC samples. Degradation of optical properties of BPA-PC plates is attributable to the oxidation of samples.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:fb1fb965-798a-4dd5-8e54-9bc6dc6e0488","http://resolver.tudelft.nl/uuid:fb1fb965-798a-4dd5-8e54-9bc6dc6e0488","Actions of primary school teachers to improve the indoor environmental quality of classrooms in the Netherlands","Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2019","Indoor environmental quality (IEQ) in classrooms can have an effect on school children’s comfort, health, and performance. In most classrooms, the teacher is the only one who can take actions to change the IEQ. The objective of this study was to identify what teachers usually do to improve IEQ in classrooms and how these actions relate to children’s comfort perceptions. A survey was carried out among 1145 school children (9–12 years) in 21 primary schools (54 classrooms) in the Netherlands. Every child filled out a questionnaire about their comfort perception and every teacher filled out a questionnaire about their IEQ-improving actions and school children’s requests to change the IEQ. The relations among children’s comfort perceptions, their requests, and teachers’ actions were analysed through t-tests and chi-squared tests. The most common action conducted by teachers was opening windows because of the ‘too warm’ complaints. Correspondingly, the most frequent request of the children was opening/closing windows because of thermal discomfort. However, the teachers’ actions did not have a significant impact on children’s comfort perceptions, which means that teachers could not fulfil every child’s needs in a classroom, even though teachers’ actions did relate to the child’s requests.","Children’s perceptions; children’s requests; indoor environmental quality; primary school classrooms; teachers’ actions","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:7da96064-c9fe-40b0-b97b-9e72f4707759","http://resolver.tudelft.nl/uuid:7da96064-c9fe-40b0-b97b-9e72f4707759","Photoenzymatic Hydroxylation of Ethylbenzene Catalyzed by Unspecific Peroxygenase: Origin of Enzyme Inactivation and the Impact of Light Intensity and Temperature","Burek, Bastien O. (Leibniz Universität; DECHEMA Research Institute); de Boer, Sabrina R. (DECHEMA Research Institute); Tieves, F. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Bormann, Sebastian (DECHEMA Research Institute); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Holtmann, Dirk (DECHEMA Research Institute); Hollmann, F. (TU Delft BT/Biocatalysis)","","2019","Photoenzymatic cascades can be used for selective oxygenation of C−H-Bonds under mild conditions circumventing the hydrogen peroxide mediated peroxygenase inactivation via in situ H2O2 generation. Here, we report the “on demand” production of hydrogen peroxide via methanol assisted reduction of molecular oxygen using UV-illuminated titanium dioxide (Aeroxide P25) combined with the enantioselective hydroxylation of ethylbenzene to (R)-1-phenylethanole catalyzed by the Unspecific Peroxygenase from Agrocybe Aegerita. For the application of the system it is important to understand the influence of the reaction parameters to be able to optimize the system. Therefore, we systematically investigated product formation and enzyme inactivation as well as ROS formation (H2O2, .OH and .O2−) applying different light intensities and temperatures. As a result, Turnover Numbers up to 220 000, photonic efficiencies up to 13.6 % and production rates up to 0.9 mM h−1 were achieved.","enzyme inactivation; hydrogen peroxide; light intensity; peroxygenases; photocatalysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-13","","","BT/Biocatalysis","","",""
"uuid:95463fbc-4040-4614-b3cf-70118771cdb3","http://resolver.tudelft.nl/uuid:95463fbc-4040-4614-b3cf-70118771cdb3","Use Of X-Ray Computated Tomogrphy As Input For Fracture Modelling Of Cement Paste-Aggregate Interface","Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","Pijaudier-Cabot, G. (editor); Grassl, P. (editor); La Borderie, C. (editor)","2019","This work aims to investigate the mechanical properties of the cement paste-aggregate interface by combining experimental and numerical approaches. The multi-phase interface microstructure was obtained by X-ray computed tomography (XCT) and submitted to a discrete fracture lattice model for fracture analysis. Apart from the modelling, a benchmark test at the micro-scale has been conducted for the purpose of calibration. With the approach proposed in this work, a framework to model and test the micromechanical properties of the cement paste-aggregate interface at the microscale has been formed.","X-ray computed tomography; Interface microstructure Lattice model; Mechanical properties","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:e9289d5c-490d-4b67-8543-b831ebb230b7","http://resolver.tudelft.nl/uuid:e9289d5c-490d-4b67-8543-b831ebb230b7","Landscape Approach South-Florida: Landscape architecture explorations in Miami, Biscayne National Park, Lake Okeechobee & Everglades City through seven MSc-graduation projects","Huang, Cai (Student TU Delft); Wang, Yilin (Student TU Delft); Zhang, Xudong; Seminario Thulin, Andres (Student TU Delft); van Driel, Iris (Student TU Delft); Liu, Danyan (Student TU Delft); Droge, Jean Pierre (Student TU Delft)","Nijhuis, S. (editor)","2019","The coastal landscape of South Florida faces many challenges connected to climate change and urbanization. Sea level rise, loss of ecosystems, land subsidence, aquifer depletion are some of the issues that need serious attention. Landscape Approach Florida is showcasing results of a Landscape Architecture Design Lab where seven MSc-graduates explored the possibilities of landscape-based approaches to address the challenges from an integral and multi-scale spatial design perspective. Students employed landscape-based systemic strategies and design interventions that facilitate the ecological restoration of wetlands, provide flood protection, and increase urban resilience through green-blue infrastructures.","Landscape architecture; Flowscapes; Miami; research-by-design; Graduation studio; Florida; Adaptive design; Resilience and robustness; Landscape-based approach","en","report","TU Deflt","","","","","","","","","","Landscape Architecture","","",""
"uuid:388be3a7-9c12-444f-bcc4-220bdcce1ad7","http://resolver.tudelft.nl/uuid:388be3a7-9c12-444f-bcc4-220bdcce1ad7","A Morphodynamic Modeling Study on the Formation of the Large‐Scale Radial Sand Ridges in the Southern Yellow Sea","Tao, Jianfeng (Hohai University; Student TU Delft); Wang, Zhengbing (TU Delft Coastal Engineering; Hohai University; Deltares); Zhou, Zeng (Hohai University; The University of Auckland); Xu, Fan (Hohai University; The University of Auckland; East China Normal University); Zhang, Changkuan (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering)","","2019","The radial sand ridges (denoted as “RSRs” hereafter) in the Southern Yellow Sea, China, are morphologically striking because of the remarkable size and radial planar orientation, standing out as a unique coastal geomorphology among the worldwide sand ridge systems. The formation of this giant fan‐shaped geomorphic feature requires delicate conditions and awaits in‐depth investigation. Using an idealized morphodynamic model, this study unravels the governing factors for the formation of the unique large‐scale RSRs, in comparison with other types of sand ridge systems over the world. The effects of the M2 tidal constituent, the Coriolisforcing, the bed resistance, and the initial water depths on the morphodynamic behavior of the RSRs are explored. Numerical results indicate that the tidal regime, characterized by rotational and progressive current action associated with the tidal bulge, is dependent on the eastern coastline of China as well as latitudinal effects. Through the comparison between the simulated and the measured morphology, this tidal regime is demonstrated to be the key driverin forming and maintaining the present‐day RSRs. The runs with different parameters further suggest that the asymmetric pattern of the RSRs, which shows larger northern sand ridges than the southern ones, results from both the asymmetric distribution of current activity caused by the tidal bulge and unequal sediment supply. Overall, this study highlights the delicate condition, predominantly represented by the particular currents set up by the tidal wave system and the sediment supply, required to shape the striking large‐scale RSRs in the Southern Yellow Sea.","Jiangsu Coast; morphodynamic modeling; radial sand ridges; Southern Yellow Sea; tidal wave systems","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-05","","","Coastal Engineering","","",""
"uuid:d97e4f61-4d09-4461-ace5-152744518a1b","http://resolver.tudelft.nl/uuid:d97e4f61-4d09-4461-ace5-152744518a1b","Centrifuge modelling of submarine landslides due to static liquefaction","Zhang, W. (TU Delft Geo-engineering); Askarinejad, A. (TU Delft Geo-engineering)","","2019","Sand erosion and scouring caused by waves and marine currents result in gradual increase of local seabed inclination and formation of slopes around hydraulic structures and offshore foundations. During this process, shear stresses in the soil body increase monotonically which may lead to static liquefaction and damage of the adjacent offshore infrastructure. This paper presents the details of a newly developed static liquefaction triggering actuator to be used at an enhanced gravity condition in a geotechnical centrifuge. This actuator simulates the steeping process of submarine sand layers due to scouring and enables the investigation of failure mechanisms in submerged slopes. The details of the centrifuge test set-up designed and constructed to simulate the process of triggering static liquefaction in loose sand layers are presented. Furthermore, the performance of the novel integrated model preparation facility using sand fluidization is explained. The set-up was used to conduct several centrifuge tests at four different slope steepening rates to investigate the slope steepening rate effects. Moreover, the effect of viscosity of the submerging pore fluid on the behaviour of the slopes at the onset of failure is investigated. The Coriolis effect on loose saturated sand samples during increase of g-level is examined as well. Results show that the built-up of pore pressure due to local shear deformations can be detected and considered as one of the triggering mechanisms of this kind of submarine slope instabilities.","Centrifuge modelling; Offshore foundations; Static liquefaction; Submarine landslides","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:55e71c06-ff95-47f9-8f3c-830a8149946d","http://resolver.tudelft.nl/uuid:55e71c06-ff95-47f9-8f3c-830a8149946d","Lattice Model For Numerical Analysis Of Fracture Process Of Concrete Material Under Various Loading Conditions","Chang, Z. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","Pijaudier-Cabot, G. (editor); Grassl, P. (editor); La Borderie, C. (editor)","2019","The aim of this work is to investigate the fracture process of concrete under various boundary conditions. Although numerous concrete fracture tests have been reported, showing the failure behavior of concrete, their evaluation is ambiguous due to the limitations of specimen size and experimental conditions. Therefore, it is necessary to use simulation models to better understand the fracture process. This is done herein by using a three-dimensional lattice model to simulate the failure behavior of concrete under different loading conditions ranging from uniaxial compression, tension, splitting, three point bending to shear by using a single set of input parameter. In addition, several influence factors including boundary condition and slenderness are also taken into consideration to give more detailed information about the fracture process of concrete.","Fracture process; 3D Lattice model; Boundary condition","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:52d55c39-7436-4ba6-9324-484732bdf8f8","http://resolver.tudelft.nl/uuid:52d55c39-7436-4ba6-9324-484732bdf8f8","Micro-Cantilever Testing Of Cementitious Materials Under Various Loading Conditions","Gan, Y. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment)","Pijaudier-Cabot, G. (editor); Grassl, P. (editor); La Borderie, C. (editor)","2019","Nanoindentation is usually used to investigate local elastic properties and hardness of materials. In this paper, the nanoindenter served as a loading tool to perform micro scale bending tests and measure the global response of micro-scale specimens. For testing, cement paste cantilever beams with a square cross-section of 300 μm × 300 μm were fabricated using a micro dicing saw. Monotonic, cyclic and sustained loading bending tests were conducted on the beams using the nanoindenter. The load-deflection behaviours of micro-cantilever beams subjected to various loading conditions are presented in this paper. The interpretation of experimental results regarding the measured deflection of beam is discussed. With this micro-scale test method, the fracture strength as well as time-dependent responses of cement paste at the micrometre level can be assessed in a straightforward manner.","Cement paste; Micro-scale test; Micromechanics","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:064f2a5b-a793-4926-ae18-5d03ddd94fbb","http://resolver.tudelft.nl/uuid:064f2a5b-a793-4926-ae18-5d03ddd94fbb","Dynamic toll pricing using Dynamic Traffic Assignment system with online calibration","Zhang, Yundi (Massachusetts Institute of Technology); Atasoy, B. (TU Delft Transport Engineering and Logistics); Akkinepally, Arun (Massachusetts Institute of Technology); Ben-Akiva, Moshe (Massachusetts Institute of Technology)","","2019","The paper presents a toll pricing methodology using a dynamic traffic assignment (DTA) system. This methodology relies on the DTA system’s capability to understand and predict traffic conditions, thus enhanced online calibration methodologies are applied to the DTA system, featuring a heuristic technique to calibrate supply parameters online. Improved offline calibration techniques are developed to apply toll pricing in a real network consisting of managed lanes and general purpose lanes. The online calibration methodologies are tested using real data from this network, and the results find the DTA system able to estimate and predict traffic flow and speed with satisfactory accuracy under congestion. Toll pricing is formulated as an optimization problem to maximize toll revenue, subject to network conditions and tolling regulations. Travelers are assumed to make route choice based on offline calibrated discrete choice models. Toll optimization is applied in a closed-loop evaluation framework where a microscopic simulator is used to mimic the real network. Online calibration of the DTA system is enabled to ensure good optimization performance. Toll optimization is tested under multiple experimental scenarios, and the methodology is found able to increase toll revenue compared with the condition when online calibration is not available. It should be noted that the toll rates and revenues presented in this paper are obtained in a simulation environment based on the calibration and optimization algorithms, and as the work is ongoing these results are far from being a recommendation to operators of managed lanes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-25","","","Transport Engineering and Logistics","","",""
"uuid:539e7e7a-c656-498c-8e30-94a70eac5d95","http://resolver.tudelft.nl/uuid:539e7e7a-c656-498c-8e30-94a70eac5d95","Effect of stone-on-stone contact on porous asphalt mixes: micromechanical analysis","Zhang, H. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Kasbergen, C. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2019","Within the pavement engineering community, porous asphalt (PA) mixes are regarded as mixes capable of reducing noise and improving wet skid resistance. However, these mixes are likely to have the distress of ravelling. In order to analyse the propensity of a given PA mix for ravelling, the homogenisation technique can be considered as an attractive method. Along the line of the homogenisation technique, micromechanical models have been used to predict the stiffness of asphalt mixes. However, it was found that the predicted results were not in good agreement with the experimental values due to the fact that the stiffness of interacted aggregates was not accurately accounted in the models. To deal with this issue, it is important for researchers to study the stiffness of the interacted aggregates network and its role in the behaviour of a given mix. Based on this realisation, this paper provided a methodology to estimate the stiffness of the stone-on-stone skeleton and its role in the overall response of PA mixes. The results showed that the predicted stiffness of the stone-on-stone skeleton is dependent on the loading frequency/temperature and the compaction effort. The frequency response of the stone-on-stone skeleton is similar to that of the mix.","micromechanical analysis; Porous asphalt mixes (open graded friction course mixture; permeable friction course mixture); stone-on-stone skeleton","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:0e6ba4f5-e85f-464d-bf42-4173ab8c45d5","http://resolver.tudelft.nl/uuid:0e6ba4f5-e85f-464d-bf42-4173ab8c45d5","A stable interface‐enriched formulation for immersed domains with strong enforcement of essential boundary conditions","van den Boom, S.J. (TU Delft Computational Design and Mechanics); Zhang, J. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2019","Generating matching meshes for finite element analysis is not always a convenient choice, for instance, in cases where the location of the boundary is not known a priori or when the boundary has a complex shape. In such cases, enriched finite element methods can be used to describe the geometric features independently from the mesh. The Discontinuity‐Enriched Finite Element Method (DE‐FEM) was recently proposed for solving problems with both weak and strong discontinuities within the computational domain. In this paper, we extend DE‐FEM to treat fictitious domain problems, where the mesh‐independent boundaries might either describe a discontinuity within the object, or the boundary of the object itself. These boundaries might be given by an explicit expression or an implicit level set. We demonstrate the main assets of DE‐FEM as an immersed method by means of a number of numerical examples; we show that the method is not only stable and optimally convergent but, most importantly, that essential boundary conditions can be prescribed strongly.","DE-FEM; enriched FEM; fictitious domain problems; immersed boundary problems; XFEM/GFEM","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:eaf12cc5-6b27-45ce-8ca8-eb2c1b9b6c54","http://resolver.tudelft.nl/uuid:eaf12cc5-6b27-45ce-8ca8-eb2c1b9b6c54","A comparison of data-driven internal multiple elimination strategies and their consequences for imaging","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2019","We compare two data-driven internal multiple reflection elimination schemes derived from regular Marchenko equations and Inverse Scattering Series (ISS). The scheme derived from regular Marchenko equations creates a new data set without internal multiple reflections. The scheme derived from ISS is equal to the result after the second iteration of the Marchenko-based scheme. It can attenuate internal multiple reflections with residuals. We evaluate the success of two schemes with a 2D complex numerical example. It is shown that Marchenko-based data-driven scheme is relatively more robust for internal multiple reflection elimination.","","en","conference paper","EAGE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-03","","","Applied Geophysics and Petrophysics","","",""
"uuid:b00dab79-8e12-48f9-9047-1be66350cbd0","http://resolver.tudelft.nl/uuid:b00dab79-8e12-48f9-9047-1be66350cbd0","Submicron patterns-on-a-chip: Fabrication of a microfluidic device incorporating 3D printed surface ornaments","Nouri Goushki, M. (TU Delft Biomaterials & Tissue Biomechanics); Sharma, Abhishek (Student TU Delft); Sasso, L. (TU Delft Micro and Nano Engineering); Zhang, Shuang (Erasmus MC); van der Eerden, B.C.J. (Erasmus MC); Staufer, U. (TU Delft Micro and Nano Engineering); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2019","Manufacturing high throughput in vitro models resembling the tissue microenvironment is highly demanded for studying bone regeneration. Tissues such as bone have complex multiscale architectures inside which cells reside. To this end, engineering a microfluidic platform incorporated with three-dimensional (3D) microscaffolds and submicron/nanoscale topographies can provide a promising model for 3D cell cultures. There are, however, certain challenges associated with this goal, such as the need to decorate large surfaces area with high-fidelity 3D submicron structures. Here, we succeeded in fabricating a microfluidic platform embedded with a large area (mm range) of reproducible submicron pillar-based topographies. Using the two-photon polymerization (2PP) as a 3D printing technique based on direct laser writing, uniform submicron patterns were created through optimization of the process parameters and writing strategy. To demonstrate the multiscale fabrication capabilities of this approach, submicron pillars of various heights were integrated onto the surfaces of a 3D microscaffold in a single-step 2PP process. The created submicron topography was also found to improve the hydrophilicity of the surface while being able to withstand flow rates of up to 8 mL/min. The material (IP-Dip resin) used for patterning did not have cytotoxic effects against human mesenchymal stromal cells after 3 days of dynamic culture in the microfluidic device. This proof-of-principle study, therefore, marks a significant step forward in manufacturing submicron structure-on-a-chip models for bone regeneration studies.","bone regeneration; microfluidics; submicron pillars; two-photon polymerization","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:343b9965-e8e8-46c3-95e4-f0b4930e8ce9","http://resolver.tudelft.nl/uuid:343b9965-e8e8-46c3-95e4-f0b4930e8ce9","Residents' willingness to participate in green infrastructure: Spatial differences and influence factors in Shanghai, China","Yu, Yang (Shanghai Normal University); Xu, Hui (Shanghai Normal University); Wang, Xiaohan (Shanghai Normal University); Wen, Jiahong (Shanghai Normal University); Du, Shiqiang (Shanghai Normal University); Zhang, Min (Shanghai Normal University; Shanghai Estuarine and Coastal Science Research Center); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk)","","2019","Green infrastructure (GI) plays a fundamental role in achieving urban pluvial flood management, mitigating urban heat island effect, and improving living suitability. Residents' participation is the main driving force of GI implementation. Based on semi-structured interviews, GIS spatial analysis, and multiple regression, we investigated residents' willingness to participate in the implementation of GI in public and private space and identified the influence factors in Shanghai, China. The results show that, compared with private space, residents prefer to implement GI in public space, where they have different preferences of GI measures. On urban scale, residents' willingness to participate in the implementation of GI in private space is characterized as ""high in the inner city, low in the suburban areas"", while the spatial difference is insignificant for public space. In addition, the factors affecting residents' willingness to participate in the implementation of GI are different in private and public space. The deterministic factors of GI participation are gender, education level, and floor for private space, while only include building age for public space, in addition to the common factors of free time, cognition of GI, perception of pluvial flood risk, supportive factors, and environment-improving factors that can influence both private and public space GI participation. Our analysis therefore provides valuable information for policymakers concerning nature-based solutions to climate change adaptation and urban sustainability.","Green infrastructure; Influence factor; Residents' willingness; Shanghai; Spatial difference","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c5707ca7-f7db-4596-bb04-2efb6fc05f3a","http://resolver.tudelft.nl/uuid:c5707ca7-f7db-4596-bb04-2efb6fc05f3a","First SenseLab studies with primary school children: exposure to different environmental configurations in the experience room","Bluyssen, P.M. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Kim, D.H. (TU Delft Indoor Environment); Eijkelenboom, A.M. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment)","","2019","To study the combined effect of different environmental factors on children in a classroom setting, 250 children from seven primary schools were exposed to 36 different environmental configurations (‘all’ and ‘fewer’ acoustical panels; ‘displacement’ and ‘mixing’ ventilation; sound type: ‘children talk’, ‘traffic’, and ‘none’; and ‘direct’, ‘indirect’ and ‘soft’ lighting). In a four-way factorial design, they assessed with 18 groups on eight different days temperature, draught, noise, light and smell. Correlation, three-way ANOVA, comparison tests and multi-regression analysis were used to analyse relationships, and main, cross-modal and interaction effects. The results show that more acoustical panels had a positive effect on the children’s assessment of sound. Sound type had a main effect on the assessment of sound. Statistical significant cross-modal effects were found for lighting and sound type on the assessment of smell. Significant three-way interactions between ‘Vent’, ‘Sound’, and ‘Light’ types were found for smell and light in the ‘fewer panels’ situations; and for light in the ‘all panels’ situations. Multiple-regression analysis also showed that perception of smell was significantly related with draught, sound and light perception in ‘fewer panels’ conditions. Further studies on these cross-modal interactions are recommended, specifically at individual level.","combined effect; different environmental configurations; lab study; perceived indoor environmental qualities; perception; Primary school children","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:b95603cc-be44-43d8-a5e4-fb111fe03bfa","http://resolver.tudelft.nl/uuid:b95603cc-be44-43d8-a5e4-fb111fe03bfa","Single-Mode Tapered Vertical SU-8 Waveguide Fabricated by E-Beam Lithography for Analyte Sensing","Xin, Y. (State Key Laboratory of NBC Protection for Civilian); Pandraud, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Y. (National University of Defense Technology); French, P.J. (TU Delft Bio-Electronics)","","2019","In this paper, we propose a novel vertical SU-8 waveguide for evanescent analyte sensing. The waveguide is designed to possess a vertical and narrow structure to generate evanescent waves on both sides of the waveguide's surface, aimed at increasing the sensitivity by enlarging the sensing areas. We performed simulations to monitor the influence of different parameters on the waveguide's performance, including its height and width. E-beam lithography was used to fabricate the structure, as this one-step direct writing process enables easy, fast, and high-resolution fabrication. Furthermore, it reduces the sidewall roughness and decreases the induced scattering loss, which is a major source of waveguide loss. Couplers were added to improve the coupling efficiency and alignment tolerance, and will contribute to the feasibility of a plug-and-play optical system. Optical measurements show that the transmission loss is 1.03 ± 0.19 dB/cm. The absorption sensitivity was measured to be 4.8 dB per refractive index unit (dB/RIU) for saline solutions with various concentrations.","E-beam lithography; evanescent sensing; single-mode; SU-8 photoresist; taper; vertical waveguide","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:25159316-44b9-41fb-9858-ed5ff53fdb04","http://resolver.tudelft.nl/uuid:25159316-44b9-41fb-9858-ed5ff53fdb04","Compliance with Monitoring Requests, Biomechanical Readiness, and Take-Over Performance: Video Analysis from a Simulator Study","Zhang, Bo (University of Twente); Lu, Z. (TU Delft Intelligent Vehicles); Happee, R. (TU Delft Intelligent Vehicles); de Winter, J.C.F. (TU Delft Human-Robot Interaction); Martens, Marieke (University of Twente; TNO)","","2019","In the context of automated driving, a monitoring request (MR) is a means to prepare drivers for a take-over event. However, driver compliance may be an issue because not all MRs require a take-over. In this study, we investigated how drivers’ compliance with MRs was associated with previously experienced scenarios. The compliance level was measured based on drivers’ eye, hand, and foot preparatory behaviours retrieved from manual video observation. Although drivers showed good overall compliance by looking up to the road in response to MRs in all cases, hand and foot preparatory behaviour appeared to deteriorate after experiencing an MR without a critical event, and increased after a take-over event. Results further showed a positive association between preparatory behaviour and take-over performance.","Automated driving; monitoring request; compliance","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-01","","","Intelligent Vehicles","","",""
"uuid:d75c9dad-a536-453d-81a6-4888a325f851","http://resolver.tudelft.nl/uuid:d75c9dad-a536-453d-81a6-4888a325f851","Characterization of an Acetone Detector based on a Suspended WO3-Gate AlGaN/GaN HEMT Integrated with Micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials; Chinese Research Institute); Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Iervolino, E. (USound Shenzhen Office); Santagata, F. (Shenzhen 3NOD Acousticlink Company,); Liu, Zewen (Tsinghua University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","A suspended AlGaN/GaN high electron mobility transistor (HEMT) sensor with a tungsten trioxide (WO 3 ) nanofilm modified gate was microfabricated and characterized for ppm-level acetone gas detection. The sensor featured a suspended circular membrane structure and an integrated microheater to select the optimum working temperature. High working temperature (300°C) increased the sensitivity to up to 25.7% and drain current change I DS to 0.31 mA for 1000-ppm acetone in dry air. The transient characteristics of the sensor exhibited stable operation and good repeatability at different temperatures. For 1000-ppm acetone concentration, the measured response and recovery times reduced from 148 and 656 to 48 and 320 s as the temperature increased from 210 °C to 300 °C. The sensitivity to 1000-ppm acetone gas was significantly greater than the sensitivity to ethanol, ammonia, and CO gases, showing low cross-sensitivity. These results demonstrate a promising step toward the realization of an acetone sensor based on the suspended AlGaN/GaN HEMTs.","Acetone sensor; AlGaN/GaN; WO₃; gas sensor; high electron mobility transistor (HEMT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:2e9323c3-4184-4db7-a172-fdd395f86481","http://resolver.tudelft.nl/uuid:2e9323c3-4184-4db7-a172-fdd395f86481","Perceived Air Quality of different sources of smell evaluated by primary school children","Armijos Moya, T.E. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2019","Our sensory system (nose) could predict the perceived odour intensity of various materials relatively well and in many cases the nose seems to be a better receptor of pollutants than some equipment. To test this ability with children, odour tests were performed as part of a study performed with 335 primary school children in the air quality test chamber of the SenseLab. Two similar experiments were conducted to assess the identification of potentially recognizable odours for children. The different sources of odour were placed in different containers and the children were asked how they feel about the smell and to identify the source of smell. There were statistically significant differences among children’s evaluations of different smells and it was difficult for them to identify certain sources of smell.","","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:1a267070-4a30-469a-99cf-c045d94198a3","http://resolver.tudelft.nl/uuid:1a267070-4a30-469a-99cf-c045d94198a3","Comparison between dynamic gadoxetate-enhanced MRI and 99m Tc-mebrofenin hepatobiliary scintigraphy with SPECT for quantitative assessment of liver function","Rassam, F. (Universiteit van Amsterdam); Zhang, T. (TU Delft ImPhys/Quantitative Imaging); Cieslak, K. P. (Universiteit van Amsterdam); Lavini, C. (Universiteit van Amsterdam); Stoker, J. (Universiteit van Amsterdam); Bennink, R. J. (Universiteit van Amsterdam); van Gulik, T. M. (Universiteit van Amsterdam); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging; TU Delft Applied Sciences); Runge, J. H. (Universiteit van Amsterdam); Vos, F.M. (TU Delft ImPhys/Quantitative Imaging; Universiteit van Amsterdam)","","2019","Objectives: To compare Gd-EOB-DTPA dynamic hepatocyte-specific contrast-enhanced MRI (DHCE-MRI) with 99m Tc-mebrofenin hepatobiliary scintigraphy (HBS) as quantitative liver function tests for the preoperative assessment of patients undergoing liver resection. Methods: Patients undergoing liver surgery and preoperative assessment of future remnant liver (FRL) function using 99m Tc-mebrofenin HBS were included. Patients underwent DHCE-MRI. Total liver uptake function was calculated for both modalities: mebrofenin uptake rate (MUR) and Ki respectively. The FRL was delineated with both SPECT-CT and MRI to calculate the functional share. Blood samples were taken to assess biochemical liver parameters. Results: A total of 20 patients were included. The HBS-derived MUR and the DHCE-MRI-derived mean Ki correlated strongly for both total and FRL function (Pearson r = 0.70, p = 0.001 and r = 0.89, p < 0.001 respectively). There was a strong agreement between the functional share determined with both modalities (ICC = 0.944, 95% CI 0.863–0.978, n = 20). There was a significant negative correlation between liver aminotransferases and bilirubin for both MUR and Ki. Conclusions: Assessment of liver function with DHCE-MRI is comparable with that of 99m Tc-mebrofenin HBS and has the potential to be combined with diagnostic MRI imaging. This can therefore provide a one-stop-shop modality for the preoperative assessment of patients undergoing liver surgery. Key Points: • Quantitative assessment of liver function using hepatobiliary scintigraphy is performed in the preoperative assessment of patients undergoing liver surgery in order to prevent posthepatectomy liver failure. • Gd-EOB-DTPA dynamic hepatocyte-specific contrast-enhanced MRI (DHCE-MRI) is an emerging method to quantify liver function and can serve as a potential alternative to hepatobiliary scintigraphy. • Assessment of liver function with dynamic gadoxetate-enhanced MRI is comparable with that of hepatobiliary scintigraphy and has the potential to be combined with diagnostic MRI imaging.","Gadolinium ethoxybenzyl DTPA; Liver function tests; Magnetic resonance imaging; Technetium Tc 99m mebrofenin","en","journal article","","","","","","","","","Applied Sciences","","ImPhys/Quantitative Imaging","","",""
"uuid:3bf1505f-d399-43b7-8ca5-d5f8d6616bff","http://resolver.tudelft.nl/uuid:3bf1505f-d399-43b7-8ca5-d5f8d6616bff","Adaptive stabilization of impulsive switched linear time-delay systems: A piecewise dynamic gain approach","Yuan, S. (Harbin Institute of Technology); Zhang, Lixian (Harbin Institute of Technology); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2019","In the presence of discontinuous time-varying delays, neither Krasovskii nor Razumikhin techniques can be successfully applied to adaptive stabilization of uncertain switched time-delay systems. This paper develops a new adaptive control scheme for switched time-delay systems that can handle impulsive behavior in both states and time-varying delays. At the core of the proposed scheme is a Lyapunov function with a dynamically time-varying coefficient, which allows the Lyapunov function to be non-increasing at the switching instants. The control scheme, guaranteeing global uniformly ultimate boundedness of the closed-loop system, substantially enlarges the class of uncertain switched systems for which the adaptive stabilization problem can be solved. A two-tank system is used to illustrate the effectiveness of the method.","Adaptive control; Impulsive switched linear systems; Mode-dependent dwell time; Time-varying delays","en","journal article","","","","","","Accepted Author Manuscript","","2021-02-23","","","Team Bart De Schutter","","",""
"uuid:e4c912e5-aa9e-45ee-9e39-2c205be44177","http://resolver.tudelft.nl/uuid:e4c912e5-aa9e-45ee-9e39-2c205be44177","Data-driven internal multiple elimination and its consequences for imaging: A comparison of strategies","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2019","We have compared three data-driven internal multiple reflection elimination schemes derived from the Marchenko equations and inverse scattering series (ISS). The two schemes derived from Marchenko equations are similar but use different truncation operators. The first scheme creates a new data set without internal multiple reflections. The second scheme does the same and compensates for transmission losses in the primary reflections. The scheme derived from ISS is equal to the result after the first iteration of the first Marchenko-based scheme. It can attenuate internal multiple reflections with residuals. We evaluate the success of these schemes with 2D numerical examples. It is shown that Marchenko-based data-driven schemes are relatively more robust for internal multiple reflection elimination at a higher computational cost.","acoustic; imaging; multiples","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-21","","","Applied Geophysics and Petrophysics","","",""
"uuid:db3a5347-1078-4914-b8cb-df1ee87b1696","http://resolver.tudelft.nl/uuid:db3a5347-1078-4914-b8cb-df1ee87b1696","Elimination of multiples from acoustic reflection data","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2019","Elimination of multiples from acoustic reflection data is important to reduce the effect of their presence in velocity model building and subsequent imaging. Many processing schemes assume only primary reflection events are present in the data. Free-surface multiple elimination is an established technology, but internal multiple elimination is under development. We show that new data-driven processing methods have led to a robust multiple elimination scheme. This scheme removes free-surface and internal multiples contemporarily but can also eliminate internal multiples after free-surface multiples elimination. For each recording time instant, the method computes two filters using only the measured reflection response and an estimate of the source time signature. Once the filters are computed, they are used to filter the data up to that time instant. The result is that multiples related to reflectors with a two-way travel time less than the chosen time instant are removed from the data. This removes possible overlap with the primary reflection from the first deeper reflector. This event can be taken and stored in a new dataset. Repeating the procedure for all recording times produces the desired primaries only dataset. A numerical and a field data example show the effectiveness of the method.","multiple elimination; processing; acoustic","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-04-09","","","Applied Geophysics and Petrophysics","","",""
"uuid:11918e14-c354-4090-89cf-18988b1dd398","http://resolver.tudelft.nl/uuid:11918e14-c354-4090-89cf-18988b1dd398","A field data example of Marchenko multiple elimination","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2019","Multiple reflections are often considered as noise in seismic data. Dealing with them has attracted much attention from industry and academia. A variety of schemes have been developed for removal of free-surface multiple reflections and success has been achieved in numerical and field datasets. However, less attention has been directed toward internal multiple reflection removal. The Marchenko multiple elimination scheme is capable to eliminate internal multiple reflections without model information or adaptive subtraction. This scheme is derived from coupled Marchenko-type equations by projecting the focusing functions from the focusing level back to the acquisition surface. Primary reflections are filtered from acquired seismic data. We apply the Marchenko multiple elimination scheme to a deep water 2D field dataset from the Norwegian North Sea to test the performance. We show that the Marchenko multiple elimination scheme is an appropriate method for removing internal multiple reflections when high-quality pre-processing is performed.","2D; multiples; field experiments","en","conference paper","SEG","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:3d28da92-2b50-4fab-a31c-b3576568bd99","http://resolver.tudelft.nl/uuid:3d28da92-2b50-4fab-a31c-b3576568bd99","Natural Deep Eutectic Solvents as Performance Additives for Peroxygenase Catalysis","Ma, Yunjian (South China University of Technology); Li, Yongru (South China University of Technology); Ali, Shahid (South China University of Technology); Li, Peilin (South China University of Technology); Zhang, W. (TU Delft BT/Biocatalysis); Rauch, M.C.R. (TU Delft BT/Biocatalysis); Willot, S.J. (TU Delft BT/Biocatalysis); Ribitsch, Doris (acib GmbH); Hollmann, F. (TU Delft BT/Biocatalysis)","","2019","Natural deep eutectic solvents (NADES) are proposed as alternative solvents for peroxygenase-catalysed oxyfunctionalization reactions. Choline chloride-based NADES are of particular interest as they can serve as solvent, enzyme-stabiliser and sacrificial electron donor for the in situ H2O2 generation. This report provides the first proof-of-concept and basic characterisation of this new reaction system. Highly promising turnover numbers for the biocatalysts of up to 200,000 have been achieved.","Choline oxidase; Deep Eutectic Solvents; Oxyfunctionalisation reactions; Peroxygenases","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:d7056512-7fa9-407b-8294-f410760f6725","http://resolver.tudelft.nl/uuid:d7056512-7fa9-407b-8294-f410760f6725","Isothermal calorimetric study on the heat evolution and the apparent activation energy of alkali-activated slag/fly ash pastes","Zhang, Shizhe (TU Delft Materials and Environment); Zuo, Y. (TU Delft Materials and Environment); Li, Z. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2019","Alkali-activated slag/fly ash (AASF) as an environmental-friendly binder system for construction materials has recently attracted great attention from both academic and industrial communities. Towards its wider engineering application, it is crucial to have a better understanding of the temperature induced effects by different curing regimes and the temperature sensitivity on the thermal properties of this system, for instance the apparent activation energy (Ea). However, the available information on Ea of AASF system is still quite limited.
The present study is aimed at investigating the role of alkaline activator chemistry on the reaction kinetics of AASF at early age. The binder is made of 50 wt.% blast furnace slag and 50 wt.% fly ash. Four alkaline activator silicate moduli (SiO2/Na2O ratio = 0.8, 1.0, 1.2 and 1.5) were used for the mixture preparation. The effect of activator modulus on the heat evolution was studied by performing isothermal calorimetry test up to 160 h at both 20°C and 40 °C. The cumulative heat release and ultimate total heat were studied through curve fitting using exponential model. Furthermore, the Ea of AASF pastes was determined using incremental methods and its variation over wide range of early age reaction was studied. It was found that the activator modulus evidently influences the heat evolution of AASF. The cumulative heat release reached the maximum value at activator modulus of 1.0, followed by at 0.8, 1.2 and 1.5. This trend is inversely related to the changes of Ea of AASF mixtures. In addition, it was confirmed that the Ea of AASF was not only related to the chemistry of reactants but also reaction-stage dependent. Particularly it varied significantly at the very early age of reaction.
Within this framework, the chemical compounds and their reaction products generated under various conditions were studied to reveal the time dependency of molecular microstructures of modified binders. Special attention was given to the evaluation of physiochemical characteristics and the mechanical properties of epoxy-bituminous binders, concluding that the degree of CH and OH was dependent on the level of epoxy modification in bitumen. It was observed that the sulfoxide compounds are the most representative index for assessing the age hardening of epoxy-modified bitumens. Finally, the enhanced resistance against environmental aging in combination with the superior mechanical characteristics (i.e., higher tensile strength, flexibility and enhanced longevity) when the epoxy modification is implemented in bitumen promises a very effective technology for developing long-lasting pavement materials.
6 PS 5 Br, which is higher than 1 mS cm -1 at room temperature, makes it an attractive candidate electrolyte for the all-solid-state Li-S battery. A simple synthesis route with an easy scale up process is critical for practical applications. In this work, the highest room temperature ionic conductivity (2.58 × 10 -3 S cm -1 ) of Li 6 PS 5 Br is obtained by an optimal annealing temperature in a simple solid-state reaction method. Neutron diffraction and XRD show that the origin of the highest ionic conductivity is due to the higher purity, smaller mean lithium ion jumps and the optimal Br ordering over 4a and 4c sites. All-solid-state Li-S batteries using a S-C composite cathode in combination with the optimized Li 6 PS 5 Br electrolyte and Li-In anode show high (dis)charge capacities. Different cycling modes (charge-discharge and discharge-charge) reveal that the capacity of the S-C-Li 6 PS 5 Br/Li 6 PS 5 Br/Li-In battery arises from both the active S-C composite and the Li 6 PS 5 Br in the cathode mixture. The contribution of the latter is verified from all-solid-state batteries using Li 6 PS 5 Br and its analogues as active materials. Ex situ XRD and electrochemical performance results show that the contribution of capacity from Li 6 PS 5 Br in the cathode mixture may be associated with the decomposition product Li 2 S, while the Li 6 PS 5 Br in the bulk solid electrolyte layer is stable during cycling.","","en","journal article","","","","","","Accepted Author Manuscript","","2020-04-05","","","RST/Storage of Electrochemical Energy","","",""
"uuid:49b3a6a8-40cf-48df-8f69-b139400d1ef7","http://resolver.tudelft.nl/uuid:49b3a6a8-40cf-48df-8f69-b139400d1ef7","A systematic approach to testing and predicting light-material interactions","Zhang, F. (TU Delft Human Information Communication Design); de Ridder, H. (TU Delft Human Information Communication Design); Barla, Pascal (Université de Bordeaux; Institut National de Recherche en Informatique et en Automatique (INRIA)); Pont, S.C. (TU Delft Human Information Communication Design)","","2019","Photographers and lighting designers set up lighting environments that best depict objects and human figures to convey key aspects of the visual appearance of various materials, following rules drawn from experience. Understanding which lighting environment is best adapted to convey which key aspects of materials is an important question in the field of human vision. The endless range of natural materials and lighting environments poses a major problem in this respect. Here we present a systematic approach to make this problem tractable for lighting–material interactions, using optics-based models composed of canonical lighting and material modes. In two psychophysical experiments, different groups of inexperienced observers judged the material qualities of the objects depicted in the stimulus images. In the first experiment, we took photographs of real objects as stimuli under canonical lightings. In a second experiment, we selected three generic natural lighting environments on the basis of their predicted lighting effects and made computer renderings of the objects. The selected natural lighting environments have characteristics similar to the canonical lightings, as computed using a spherical harmonic analysis. Results from the two experiments correlate strongly, showing (a) how canonical material and lighting modes associate with perceived material qualities; and (b) which lighting is best adapted to evoke perceived material qualities, such as softness, smoothness, and glossiness. Our results demonstrate that a system of canonical modes spanning the natural range of lighting and materials provides a good basis to study lighting–material interactions in their full natural ecology.
2 O 2 to promote the epoxidation reaction. The proof-of-concept for this multifunctional use was provided, and the scope and current limitations of the concept were outlined.","chemoenzymatic cascades; choline oxidase; limonene; lipase; natural deep eutectic solvents","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:1a5e3f11-3fc1-4f9a-aaa9-a424b6a01930","http://resolver.tudelft.nl/uuid:1a5e3f11-3fc1-4f9a-aaa9-a424b6a01930","Protocol for Reading Out Majorana Vortex Qubits and Testing Non-Abelian Statistics","Liu, C. (TU Delft ChemE/Delft Ingenious Design; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; University of Chinese Academy of Sciences; University of Maryland); Liu, Dong E. (Tsinghua University); Zhang, Fu Chun (University of Chinese Academy of Sciences; Chinese Academy of Sciences; Nanjing University); Chiu, Ching Kai (University of Chinese Academy of Sciences; University of Maryland)","","2019","The successful testing of non-Abelian statistics not only serves as a milestone in fundamental physics but also provides a quantum-gate operation in topological quantum computation. An accurate and efficient readout scheme of a topological qubit is an essential step toward the experimental confirmation of non-Abelian statistics. In the current work, we propose a protocol to read out the quantum state of a Majorana vortex qubit on a topological superconductor island. The protocol consists of four Majorana zero modes trapped in spatially well-separated vortex cores on the two-dimensional surface of a Coulomb blockaded topological superconductor. Our proposed measurement is implemented by a pair of weakly coupled Majorana modes separately in touch with two normal-metal leads and the readout is realized by observing the conductance-peak location in terms of the gate voltage. Using this protocol, we can further test the non-Abelian statistics of Majorana zero modes in the two-dimensional platform. A successful readout of a Majorana qubit is a crucial step toward the future application of topological quantum computation. In addition, this Coulomb-blockaded setup can distinguish Majorana zero modes from Caroli-de Gennes-Matricon modes in vortex cores.","","en","journal article","","","","","","","","","","","ChemE/Delft Ingenious Design","","",""
"uuid:e5f11575-fd8f-4a5d-b21d-17db4eb4f04a","http://resolver.tudelft.nl/uuid:e5f11575-fd8f-4a5d-b21d-17db4eb4f04a","Reactive ion etching for fabrication of biofunctional titanium nanostructures","Ganjian, M. (TU Delft Biomaterials & Tissue Biomechanics); Modaresifar, K. (TU Delft Biomaterials & Tissue Biomechanics); Zhang, H. (TU Delft Materials and Environment); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2019","One of the major problems with the bone implant surfaces after surgery is the competition of host and bacterial cells to adhere to the implant surfaces. To keep the implants safe against implant-associated infections, the implant surface may be decorated with bactericidal nanostructures. Therefore, fabrication of nanostructures on biomaterials is of growing interest. Here, we systematically studied the effects of different processing parameters of inductively coupled plasma reactive ion etching (ICP RIE) on the Ti nanostructures. The resultant Ti surfaces were characterized by using scanning electron microscopy and contact angle measurements. The specimens etched using different chamber pressures were chosen for measurement of the mechanical properties using nanoindentation. The etched surfaces revealed various morphologies, from flat porous structures to relatively rough surfaces consisting of nanopillars with diameters between 26.4 ± 7.0 nm and 76.0 ± 24.4 nm and lengths between 0.5 ± 0.1 μm and 5.2 ± 0.3 μm. The wettability of the surfaces widely varied in the entire range of hydrophilicity. The structures obtained at higher chamber pressure showed enhanced mechanical properties. The bactericidal behavior of selected surfaces was assessed against Staphylococcus aureus and Escherichia coli bacteria while their cytocompatibility was evaluated with murine preosteoblasts. The findings indicated the potential of such ICP RIE Ti structures to incorporate both bactericidal and osteogenic activity, and pointed out that optimization of the process conditions is essential to maximize these biofunctionalities.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:06b3515e-fd08-4bd9-ad6c-7eb8c72a4339","http://resolver.tudelft.nl/uuid:06b3515e-fd08-4bd9-ad6c-7eb8c72a4339","Compressive response of pristine and superconductor coated MWCNT pillars","Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","","","en","poster","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:72197f77-3532-46e0-917d-8ef8999abdc4","http://resolver.tudelft.nl/uuid:72197f77-3532-46e0-917d-8ef8999abdc4","High-resolution MEMS inertial sensor combining large-displacement buckling behaviour with integrated capacitive readout","el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Wei, J. (TU Delft EKL Processing); Jiang, H. (TU Delft Electronic Instrumentation); Vogel, J.G. (TU Delft Electronic Instrumentation); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify)","","2019","Commercially available gravimeters and seismometers can be used for measuring Earth’s acceleration at resolution levels in the order of ng∕Hz (where g represents earth’s gravity) but they are typically high-cost and bulky. In this work the design of a bulk micromachined MEMS device exploiting non-linear buckling behaviour is described, aiming for ng∕Hz resolution by maximising mechanical and capacitive sensitivity. High mechanical sensitivity is obtained through low structural stiffness. Near-zero stiffness is achieved through geometric design and large deformation into a region where the mechanism is statically balanced or neutrally stable. Moreover, the device has an integrated capacitive comb transducer and makes use of a high-resolution impedance readout ASIC. The sensitivity from displacement to a change in capacitance was maximised within the design and process boundaries given, by making use of a trench isolation technique and exploiting the large-displacement behaviour of the device. The measurement results demonstrate that the resonance frequency can be tuned from 8.7 Hz–18.7 Hz, depending on the process parameters and the tilt of the device. In this system, which combines an integrated capacitive transducer with a sensitivity of 2.55 aF/nm and an impedance readout chip, the theoretically achievable system resolution equals 17.02 ng∕Hz. The small size of the device and the use of integrated readout electronics allow for a wide range of practical applications for data collection aimed at the internet of things.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:2a5d8818-2d52-4a25-810b-c14d108baa2c","http://resolver.tudelft.nl/uuid:2a5d8818-2d52-4a25-810b-c14d108baa2c","Fully controlled photonic spin in highly confined optical field","Zhang, Shuoshuo (Shandong University of Technology); Fu, Shenggui (Shandong University of Technology); Zhang, Huanian (Shandong University of Technology); Ge, Xiaolu (Shandong University of Technology); Bai, Zhidong (Shandong University of Technology); Lyu, Yudong (Shandong University of Technology); Zhao, Rui (Shandong University of Technology); Man, Z. (TU Delft ImPhys/Optics; Shandong University of Technology)","","2019","As an intrinsic attribute of light, the spin angular momentum (SAM) of photons has aroused considerable attention because of the fascinating properties emerging from light–matter interactions. We show that a diffraction-limited focal field with a steerable photonic spin structure in three dimensions can be produced under a 4π microscopic system. This is achieved by focusing two counter-propagating configurable vector beams produced in the coherent superposition of three different beams with x-polarization, y-polarization, and radial-polarization. By altering the amplitude factors of these resultant beams, the ratios between the three mutually orthogonal polarized components can be freely tuned within the focal plane, thereby allowing dynamic control over the spin orientation and ellipticity of the tightly focused optical field. The results demonstrated in this paper may find applications in spin-controlled nanophotonics.","Responsible Research & Innovation; framework development; literature study; implementation; document analysis","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:8eb300dd-430a-4970-aa05-c3b6338431ee","http://resolver.tudelft.nl/uuid:8eb300dd-430a-4970-aa05-c3b6338431ee","Spatial variation pattern analysis of hydrologic processes and water quality in three gorges reservoir area","Chen, Xiaomin (Wuhan University); Xu, Gaohong (Changjiang Water Resources Commission); Zhang, Wanshun (Wuhan University); Peng, Hong (Wuhan University); Xia, Han (Wuhan University); Zhang, Xiao (Wuhan University); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Wan, Jing (Wuhan University)","","2019","The Three Gorges Project (TGP) has greatly enhanced the heterogeneity of the underlying surface in the Three Gorges Reservoir Area (TGRA), thereby affecting the hydrologic processes and water quality. However, the influence of the differences of underlying surfaces on the hydrologic processes and water quality in the TGRA has not been studied thoroughly. In this research, the influence of the heterogeneity of landscape pattern and geographical characteristics on the spatial distribution difference of hydrologic processes and water quality in the different tributary basins of the TGRA was identified. The TGRA was divided into 23 tributary basins with 1840 sub-basins. The spatial differentiation of the hydrologic processes and water quality of the 23 tributary basins was examined by the Soil and Water Assessment Tool (SWAT). The observed data between 1 January 2010 and 31 December 2013 were used to calibrate and validate the model, after which the SWAT model was applied to further predict the runoffand water quality in the TGRA. There are 25 main model parameters, including CN2, CH_K2 and SOL_AWC, which were calibrated and validated with SWAT-Calibration and Uncertainty Procedures (SWAT-CUP). The landscape patterns and geomorphologic characteristics in 23 tributary basins were investigated and spatially visualized to correlate with surface runoffand nutrient losses. Due to geographical difference, the average total runoffdepth (2010-2013) in the left bank area (538.6 mm) was 1.4 times higher than that in the right bank area (384.5 mm), total nitrogen (TN) loads in the left bank area (6.23 kg/ha) were 1.9 times higher than in the right bank area (3.27 kg/ha), and total phosphorus (TP) loads in the left bank area (1.27 kg/ha) were 2.2 times higher than in the right bank area (0.58 kg/ha). The total runoffdepth decreased from the head region (553.3 mm) to the tail region (383.2 mm), while the loads of TN and TP were the highest in the middle region (5.51 kg/ha for TN, 1.15 kg/ha for TP), followed by the tail region (5.15 kg/ha for TN, 1.12 kg/ha for TP) and head region (3.92 kg/ha for TN, 0.56 kg/ha for TP). Owing to the different spatial distributions of land use, soil and geographical features in the TGRA, correlations between elevation, slope gradient, slope length and total runoffdepth, TN and TP, were not clear and no consistency was observed in each tributary basin. Therefore, the management and control schemes of the water security of the TGRA should be adapted to local conditions.","Geomorphologic characteristics; Hydrologic processes and water quality; Landscape pattern; Spatial variation; SWAT model; Three Gorges Reservoir Area","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:e077325a-2a09-41f4-b2f1-4cd43bc66dc6","http://resolver.tudelft.nl/uuid:e077325a-2a09-41f4-b2f1-4cd43bc66dc6","Suppression of persistent photoconductivity AlGaN/GaN heterostructure photodetectors using pulsed heating","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhan, Teng (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Yi, Xiaoyan (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting)","","2019","This paper demonstrates a method to reduce the decay time in AlGaN/GaN photodetectors by a pulsed heating mode. A suspended AlGaN/GaN heterostructure photodetector integrated with a micro-heater is fabricated and characterized under ultraviolet illumination. We have observed that the course of persistent photoconductivity was effectively accelerated by applying pulsed heating. The decay time is significantly reduced from 175 s by DC heating to 116 s by 50 Hz pulsed heating at the same power (280 mW). With the same pulse duty cycle and a 50 Hz pulsed heating frequency, a reduction of 30%-45% in decay time is measured compared to DC heating.","pulsed heating; AlGaN; GaN; UV detector","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:c5867c9f-c8e3-4733-b7a9-5c8cf6fd8595","http://resolver.tudelft.nl/uuid:c5867c9f-c8e3-4733-b7a9-5c8cf6fd8595","The microscopic origin of magnon-photon level attraction by traveling waves: Theory and experiment","Yao, Bimu (Shanghai Institute of Technical Physics Chinese Academy of Sciences); Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft); Lu, Wei (Shanghai Institute of Technical Physics Chinese Academy of Sciences); Gui, Yongsheng (University of Manitoba); Hu, Can Ming (University of Manitoba); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2019","The dissipative light-matter coupling can cause the attraction of two energy levels, i.e., level attraction, when competing with the coherent coupling that induces usual Rabi-level splitting. The level attraction shows attractive potential for topological information processing. However, the underlying microscopic quantum mechanism of dissipative coupling still remains unclear although the behavior has been understood to root in the non-Hermitian physics, which brings difficulties in quantifying and manipulating the competition between coherence and dissipation and thereby the flexible control of level attraction. Here, by coupling a magnon mode to a cavity supporting both standing and traveling waves, we identify the traveling-wave state to be responsible for magnon-photon dissipative coupling. By characterizing the radiative broadening of a magnon linewidth, we quantify the coherent and dissipative coupling strengths and their competition. The effective magnon-photon coupling strength, as a net result of competition, is analytically presented using quantum theory to show good agreement with measurements. In this manner, we extend the control dimension of level attraction by tuning field torque on magnetization or global cavity geometry. Our findings provide insights on engineered coupled harmonic oscillator systems.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:d4cecfe5-028b-4adf-b40a-26145a1292cc","http://resolver.tudelft.nl/uuid:d4cecfe5-028b-4adf-b40a-26145a1292cc","Identifying Urban structure based on transit-oriented development","Zhang, Yingqun (Beijing Jiaotong University); Song, Rui (Beijing Jiaotong University); van Nes, R. (TU Delft Transport and Planning); He, Shiwei (Beijing Jiaotong University); Yin, Weichuan (Tsinghua University)","","2019","The fast development of urbanization has led to imbalances in cities, causing congestion, pollution, and urban sprawl. In response to the growing concern over the distribution of demand and supply, a more coordinated urban structure is addressed in comprehensive planning processes. In this study, we attempt to identify urban structure using a Network-Activity-Human model under the Transit-Oriented Development (TOD) concept, since TOD is usually regarded as an urban spatial planning tool. In order to explore the strengths and weaknesses of the urban structure, we define the TOD index and unbalance degree and then classify the urban areas accordingly. We take the city of Beijing as a case study and identify nine urban types. The results show a hierarchical urban structure: the city center covers most of the hotspots which display higher imbalances, the surroundings of the city center are less developed, and the city edges show higher potentials in both exploitation and transportation development. Moreover, we discuss the extent to which the spatial scale influences the unbalance degree and apply a sensitivity analysis based on the goals of different stakeholders. This methodology could be utilized at any study scale and in any situation, and the results could offer suggestions for more accurate urban planning, strengthening the relationship between TOD and spatial organization.","Activity; Human; Imbalance; TOD; Transportation network; Urban structure","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:9ed0c162-0ee8-48ee-8ed6-1b3e3be30ee9","http://resolver.tudelft.nl/uuid:9ed0c162-0ee8-48ee-8ed6-1b3e3be30ee9","Persistent scatterer densification through the application of capon- And APES-Based SAR reprocessing algorithms","Zhang, H. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning)","","2019","Capon's minimum-variance method (MVM) and amplitude and phase estimation (APES) spectral estimation algorithms can be applied to synthetic aperture radar (SAR) processing to improve the resolution and suppress sidelobe levels. In this paper, we use Capon-/APES-based SAR reprocessing algorithms to increase the persistent scatterer (PS) density in PS interferometry (PSI). We propose a PS candidate (PSC) selection algorithm applicable to the superresolution reprocessed images and the corresponding processing chain. The performance of the proposed algorithm is evaluated by a number of simulations and a stack of TerraSAR-X data. The results show that the Capon algorithm outperforms others in PSC selection. We present a full PSI time-series analysis on the PSCs extracted from the Capon-reprocessed stacks. The results show that the PS density is increased between 50% and 60%, while their interferometric quality is maintained.","Amplitude and phase estimation (APES); Capon; persistent scatterer (PS) density; PS interferometry (PSI); superresolution (SR)","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:1268b5aa-2f7e-4a91-9760-840ae5629209","http://resolver.tudelft.nl/uuid:1268b5aa-2f7e-4a91-9760-840ae5629209","Suspended tungsten trioxide (WO3) gate AlGaN/GaN heterostructure deep ultraviolet detectors with integrated micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhan, Teng (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Yi, Xiaoyan (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting)","","2019","A suspended WO3-gate AlGaN/GaN heterostructure photodetector integrated with a micro-heater is micro-fabricated and characterized for ultraviolet photo detection. The transient optical characteristics of the photodetector at different temperatures are studied. The 2DEG-based photodetector shows a recovery (170 s) time under 240 nm illumination at 150 ℃. The measured spectral response of WO3-gate AlGaN/GaN heterostructure shows a high response in deep ultraviolet range. Responsivity at 240 nm wavelength is 4600 A/W at 0.5 V bias. These characteristics support the feasibility of a high accuracy deep UV detector based on the suspended AlGaN/GaN heterostructure integrated with a micro-heater.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f69dcab2-9a72-4ed7-aeb2-f3d4f8609e96","http://resolver.tudelft.nl/uuid:f69dcab2-9a72-4ed7-aeb2-f3d4f8609e96","A method for fast evaluation of potential consequences of dam breach","Ge, W. (TU Delft Safety and Security Science; Zhengzhou University); Jiao, Yutie (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Li, Zongkun (Zhengzhou University); Zhang, Hexiang (Zhengzhou University); Zheng, Yan (Zhengzhou University); Guo, Xinyan (Zhengzhou University); Zhang, Zhaosheng (Henan Qianping Reservoir Construction Administration); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2019","Dam breach has catastrophic consequences for human lives and economy. In previous studies, empirical models are often, to a limited extent, due to the inadequacy of historical dam breach events. Physical models, which focus on simulating human behavior during floods, are not suitable for fast analysis of a large number of dams due to the complexities of many key parameters. Therefore, this paper proposes a method for fast evaluation of potential consequences of dam breach. Eight main indices, i.e., capacity of reservoir (CR), dam height (HD), population at risk (PR), economy at risk (ER), understanding of dam breach (UB), industry type (TI), warning time (TW), and building vulnerability (VB), are selected to establish an evaluation index system. A catastrophe evaluation method is introduced to establish an evaluation model for potential consequences of dam breach based on the indices which are divided into five grades according to the relevant standards and guidelines. Validation of the method by twelve historical dam breach events shows a good accuracy. The method is applied to evaluate potential consequences of dam breach of Jiangang Reservoir in Henan Province, China. It is estimated that loss of life in the worst scenario is between that of Hengjiang Reservoir and that of Shimantan Reservoir dam breach, of which fatalities are 941 and 2717, respectively, showing that risk management measures should be taken to reduce the risk of potential loss of life.","Catastrophe evaluation; Consequences; Dam breach; Economy; Life","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:af3d9068-4a16-4219-9737-414864aeaee1","http://resolver.tudelft.nl/uuid:af3d9068-4a16-4219-9737-414864aeaee1","Combined experimental and numerical study of uniaxial compression failure of hardened cement paste at micrometre length scale","Zhang, H. (TU Delft Materials and Environment; Shandong University); Xu, Y. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2019","The aim of this work is to investigate the mechanical performance of hardened cement paste (HCP) under compression at the micrometre length scale. In order to achieve this, both experimental and numerical approaches were applied. In the experimental part, micrometre sized HCP specimens were fabricated and subjected to uniaxial compression by a flat end tip using nanoindenter. During the test, the load-displacement curves can be obtained. In the modelling part, virtual micrometre sized specimens were created from digital material structures obtained by X-ray computed tomography. A computational compression test was then performed on these virtual specimens by a discrete lattice fracture model using the local mechanical properties calibrated in the authors' previous work. A good agreement is found between the experimental and numerical results. The approach proposed in this work forms a general framework for testing and modelling the compression behaviour of cementitious material at the micrometre length scale.","Compressive strength; Hardened cement paste; Lattice modelling; Micromechanics; Nanoindenter","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-04-25","","","Materials and Environment","","",""
"uuid:0dfda65f-88e6-4186-9074-5a1d6e36af19","http://resolver.tudelft.nl/uuid:0dfda65f-88e6-4186-9074-5a1d6e36af19","Uncertainties in Long-Term Twenty-First Century Process-Based Coastal Sea-Level Projections","van de Wal, R. S.W. (Universiteit Utrecht); Zhang, X. (Centre for Southern Hemisphere Oceans Research (CSHOR)); Minobe, S. (Hokkaido University); Jevrejeva, S. (National Oceanography Center; Centre for Climate Research Singapore); Riva, R.E.M. (TU Delft Physical and Space Geodesy); Little, C. (Atmospheric and Environmental Research, Inc.); Richter, K. (University of Innsbruck); Palmer, M. D. (Met Office)","","2019","Many processes affect sea level near the coast. In this paper, we discuss the major uncertainties in coastal sea-level projections from a process-based perspective, at different spatial and temporal scales, and provide an outlook on how these uncertainties may be reduced. Uncertainty in centennial global sea-level rise is dominated by the ice sheet contributions. Geographical variations in projected sea-level change arise mainly from dynamical patterns in the ocean response and other geophysical processes. Finally, the uncertainties in the short-duration extreme sea-level events are controlled by near coastal processes, storms and tides.","Coastal sea level; Uncertainties","en","review","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:342706f1-eb8a-4a83-89a5-68a9eeb2ec26","http://resolver.tudelft.nl/uuid:342706f1-eb8a-4a83-89a5-68a9eeb2ec26","High moisture accelerated mechanical behavior degradation of phosphor/silicone composites used in white light-emitting diodes","Fan, J. (TU Delft Electronic Components, Technology and Materials; Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Wang, Zhen (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Xunwei (Hohai University); Deng, Zentao (Lamar University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","In a high-power white light emitting diode (LED) package, the phosphor/silicone composite is typically used for photometric and colorimetric conversions, ultimately producing the white light. However, the phosphor/silicone composite is always exposed under harsh environments with high temperature, high blue light irradiation and high moisture when the LED operates. Therefore, its reliability issue has become one of the critical bottlenecks to improve the lifetime of a high-power white LED package. As the curing process and mechanical behavior of phosphor/silicone composite essentially determine its reliability, this paper firstly uses an in situ viscosity monitoring approach combined with Differential Scanning Calorimetry (DSC) and Fourier Transform Infrared Spectroscopy (FTIR) analysis to explain the curing mechanism of a phosphor/silicone composite by taking the effects of temperature and phosphor mass fraction into consideration. Then, the mechanical properties of phosphor/silicone composites aged under a long-term high moisture condition are evaluated by using the tensile test. Meanwhile, the finite element (FE) simulations, the Mori-Tanaka theoretical estimations and the microstructure analysis are applied to investigate the high moisture induced degradation mechanisms. The results show that: (1) the in situ measured isothermal viscosity curves of both pristine silicone and phosphor/silicone composites follow the Arrhenius empirical model, and high temperature and high phosphor mass fraction can increase the curing rate; (2) the hydrosilylation reaction between silicones determines the curing mechanism of phosphor/silicone composite; (3) the tensile test, FE simulation and Mori-Tanaka theoretical prediction results confirm that the Young's modulus of phosphor/silicone composite increases by gradually adding phosphors; and (4) the Young's modulus of phosphor/silicone composite increases after the high moisture ageing test, which can be attributed to the oxidation and cross-linking reaction of silicone and the hydrolysis of phosphor powders.","Curing mechanism; Light-emitting diode; Mechanical properties; Moisture; Phosphor/silicone composite","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:42cf101f-a336-4257-830b-b5b4fd5ad689","http://resolver.tudelft.nl/uuid:42cf101f-a336-4257-830b-b5b4fd5ad689","Data driven discovery of cyber physical systems","Yuan, Ye (Huazhong University of Science and Technology); Tang, Xiuchuan (Huazhong University of Science and Technology); Zhou, Wei (Huazhong University of Science and Technology); Pan, W. (TU Delft Robot Dynamics); Li, Xiuting (Huazhong University of Science and Technology); Zhang, Hai Tao (Huazhong University of Science and Technology); Ding, Han (Huazhong University of Science and Technology); Goncalves, Jorge (Huazhong University of Science and Technology; Cavendish Laboratory; University of Luxembourg)","","2019","Cyber-physical systems embed software into the physical world. They appear in a wide range of applications such as smart grids, robotics, and intelligent manufacturing. Cyber-physical systems have proved resistant to modeling due to their intrinsic complexity arising from the combination of physical and cyber components and the interaction between them. This study proposes a general framework for discovering cyber-physical systems directly from data. The framework involves the identification of physical systems as well as the inference of transition logics. It has been applied successfully to a number of real-world examples. The novel framework seeks to understand the underlying mechanism of cyber-physical systems as well as make predictions concerning their state trajectories based on the discovered models. Such information has been proven essential for the assessment of the performance of cyber-physical systems; it can potentially help debug in the implementation procedure and guide the redesign to achieve the required performance.","","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:9633e9bd-72c2-4508-96af-7b301c4928ce","http://resolver.tudelft.nl/uuid:9633e9bd-72c2-4508-96af-7b301c4928ce","Optimized fast GPU implementation of robust artificial-neural-networks for k-space interpolation (RAKI) reconstruction","Zhang, Chi (University of Minnesota Twin Cities); Hosseini, Seyed Amir Hossein (University of Minnesota Twin Cities); Weingärtner, S.D. (TU Delft ImPhys/Quantitative Imaging; University of Minnesota Twin Cities); Uǧurbil, Kâmil (University of Minnesota Twin Cities); Moeller, Steen (University of Minnesota Twin Cities); Akçakaya, Mehmet (University of Minnesota Twin Cities)","","2019","Background Robust Artificial-neural-networks for k-space Interpolation (RAKI) is a recently proposed deep-learning-based reconstruction algorithm for parallel imaging. Its main premise is to perform k-space interpolation using convolutional neural networks (CNNs) trained on subject-specific autocalibration signal (ACS) data. Since training is performed individually for each subject, the reconstruction time is longer than approaches that pre-train on databases. In this study, we sought to reduce the computational time of RAKI. Methods RAKI was implemented using CPU multi-processing and process pooling to maximize the utility of GPU resources. We also proposed an alternative CNN architecture that interpolates all output channels jointly for specific skipped k-space lines. This new architecture was compared to the original CNN architecture in RAKI, as well as to GRAPPA in phantom, brain and knee MRI datasets, both qualitatively and quantitatively. Results The optimized GPU implementations were approximately 2-to-5-fold faster than a simple GPU implementation. The new CNN architecture further improved the computational time by 4-to-5-fold compared to the optimized GPU implementation using the original RAKI CNN architecture. It also provided significant improvement over GRAPPA both visually and quantitatively, although it performed slightly worse than the original RAKI CNN architecture. Conclusions The proposed implementations of RAKI bring the computational time towards clinically acceptable ranges. The new CNN architecture yields faster training, albeit at a slight performance loss, which may be acceptable for faster visualization in some settings.","","en","journal article","","","","","","","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:94c687d1-76b9-4cb5-bf8c-2d94d69ca58c","http://resolver.tudelft.nl/uuid:94c687d1-76b9-4cb5-bf8c-2d94d69ca58c","A study on the effect of ceramic polishing powder on the nucleation and growth of hydrates in cement paste","Wang, Liquan (Guangzhou University); Liu, Ziyang (Guangzhou University); Xu, Shida (Guangzhou University); Ouyang, Xiaowei (Guangzhou University); Ouyang, Dong (Jinan University); Jiao, Chujie (Guangzhou University); Zhang, Y. (TU Delft Materials and Environment)","","2019","The production of cement leads to a large amount of CO2 emission. Using industrial waste slag, such as ceramic polishing powder (PP), to replace part of Portland cement can reduce the pollution caused by the cement industry and solid waste disposal. In order to use PP as a replacement for cement, its effects on the properties of cement paste need to be clarified. In this study, the effect of PP on the nucleation and growth of hydrates in cement paste at very early ages was investigated. Quartz was used as a reference. The interactions of their surface with various ions in cement paste solution, which has an important effect on the nucleation and growth of hydrates, were studied by using the zeta potential test. The morphology of the nucleus and crystal of hydrates was investigated by using SEM. The zeta potential measurements showed that the affinity of the surface of PP and quartz to ions in the pore solution of cement paste is similar. The image of SEM indicated that there is also not much difference in the crystallization of hydrates on the surfaces of PP and quartz. These evidences suggested that PP has similar surface charge properties as quartz, and its effects on the properties of cement paste are the same as that of quartz. From the viewpoint of the effect on very early hydration, PP can be used in cement paste, similar to quartz power.","C-S-H; Cement paste; Ceramic polishing powder; Crystal; Ions interaction; Nucleation; Quartz; Zeta potential","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:2894d012-d561-4e2b-88cc-ff54dacf3914","http://resolver.tudelft.nl/uuid:2894d012-d561-4e2b-88cc-ff54dacf3914","Bias-Free in Situ H2O2 Generation in a Photovoltaic-Photoelectrochemical Tandem Cell for Biocatalytic Oxyfunctionalization","Choi, Da Som (Korea Advanced Institute of Science and Technology); Lee, Hojin (Korea Advanced Institute of Science and Technology); Tieves, F. (TU Delft BT/Biocatalysis); Lee, Yang Woo (Korea Advanced Institute of Science and Technology); Son, Eun Jin (Korea Advanced Institute of Science and Technology); Zhang, W. (TU Delft BT/Biocatalysis); Shin, Byungha (Korea Advanced Institute of Science and Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Park, Chan Beum (Korea Advanced Institute of Science and Technology)","","2019","Peroxygenases catalyze selective oxyfunctionalization of hydrocarbons with high conversion efficiencies using H2O2 as a key cosubstrate. Here, we report an unbiased photoelectrochemical (PEC) tandem structure consisting of a FeOOH/BiVO4 photoanode, a Cu(In,Ga)Se2 solar absorber, and a graphitic carbon nitride/reduced graphene oxide hybrid cathode for light-driven peroxygenase catalysis. Powered by sufficient photovoltage generated by the solar absorber, the PEC platform generates H2O2 in situ through reductive activation of molecular oxygen using water as an electron donor in the absence of external bias. The peroxygenase from Agrocybe aegerita catalyzed the stereoselective hydroxylation of ethylbenzene to (R)-1-phenylethanol with total turnover numbers over 43 300 and high enantioselectivity (ee > 99%) in the unbiased PEC tandem system.","biocatalysis; oxyfunctionalization; peroxygenases; photoelectrochemical cells; photovoltaics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-04-15","","","BT/Biocatalysis","","",""
"uuid:b92a21cc-a746-4dda-89b7-84aa4174c445","http://resolver.tudelft.nl/uuid:b92a21cc-a746-4dda-89b7-84aa4174c445","Sustainable port development based on the blue economy framework in china: The example of qingdao port","Zhang, Yan (Harbin Normal University); Ravesteijn, W. (TU Delft Ethics & Philosophy of Technology)","Passerini, G. (editor); Ricci, S. (editor)","2019","This research is focusing on China’s new concept of “blue economy” and the integration of it into the country’s sustainable development efforts. Under the Blue Economy framework, Chinese ocean-based economic development has experienced an obvious acceleration over the last three decades, which has increased sustainable development capabilities. Meanwhile, national plans are being implemented in order to promote future oceanic sustainable development. The Qingdao Port is the iconic example of the Blue Economy practices resulting from the Chinese oceanic development strategy and it has indeed been quite successful in its development until now since the introduction of the Blue Economic Zone and the Belt and Road Initiative (BRI) programmes. Moreover, the sustainable experience of the Qingdao port shows an optional reference of the blue economic plan to other ports expecting an optimal ocean development. Finally, some challenges and opportunities will be discussed for further research and practical improvements.","Blue economy; BRI; Ocean economy; Qingdao port; Sustainable development","en","conference paper","WITPress","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:a9176dcb-6d5d-4969-b93d-79169a3195cc","http://resolver.tudelft.nl/uuid:a9176dcb-6d5d-4969-b93d-79169a3195cc","A Novel Disturbance Observer Design for a Larger Class of Nonlinear Strict-Feedback Systems via Improved DSC Technique","Zhang, Wenqian (Air Force Engineering University China); Dong, Wenhan (Air Force Engineering University China); Dong, Shuangyu (SMZ Telecom Pty Ltd.); Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University China); Liu, Zongcheng (Air Force Engineering University China)","","2019","A novel scheme for disturbance observer is designed for an extended class of strict-feedback nonlinear systems with possibly unbounded, non-smooth, and state-independent compounded disturbance. To overcome these problems in disturbance observer design, the typical slide mode differentiators are improved by introducing hyperbolic tangent function to make the signals smooth, and then the improved slide mode differentiators are constructively used to estimate the errors of variables in the presence of disturbances. The unbounded, non-smooth or state-independent disturbances are therefore able to be eliminated by using the estimated variable errors. Thus, the bounded or differentiable conditions for disturbance observer design are removed. Furthermore, the convergence of the new disturbance observer is rigorously proved based on Lyapunov stability theorem, and the tracking error can be arbitrarily small. Finally, the simulation results are given to validate the feasibility and superiority of the proposed approach.","Disturbance observer; dynamic surface control; sliding mode differentiator","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:fe624410-022f-45f6-bd54-6c8fe624f96a","http://resolver.tudelft.nl/uuid:fe624410-022f-45f6-bd54-6c8fe624f96a","Microstructure-based relative humidity in cementitious system due to self-desiccation","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ouyang, Xiaowei (Guangzhou University); Yang, Zhengxian (Fuzhou University)","","2019","The internal relative humidity (RH) plays a crucial role in most of the concrete properties. Self-desiccation caused by continuous cement hydration is a major factor affecting the RH of concrete. This paper investigates the relationship between RH and microstructure for cementitious systems in the case of self-desiccation. A series of paste specimens prepared with different binder and water-binder-ratio (w/b) were cured under sealed conditions from 1 day to 1.5 years. The RH and microstructure of the paste specimens were measured. The microstructure characteristics under study include porosity, pore size, evaporable and non-evaporable water content. The results reveal that the RH of cementitious system drops to a great extent in the first 105 days' hydration and decreases slowly afterwards. The blended materials such as fly ash, slag or limestone powder have different influences on the RH. A mathematical model between RH and the average pore diameter is proposed for cementitious systems under self-desiccation, regardless of age, w/b or cement type.","Average pore diameter; Cementitious system; Microstructure; Relative humidity; Self-desiccation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6d90d69a-714c-43f5-9d58-c5966f18bf30","http://resolver.tudelft.nl/uuid:6d90d69a-714c-43f5-9d58-c5966f18bf30","First-principles investigation of the adsorption behaviors of CH 2 O on BN, AlN, GaN, InN, BP, and P monolayers","Feng, Chuang (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","CH 2 O is a common toxic gas molecule that can cause asthma and dermatitis in humans. In this study the adsorption behaviors of the CH 2 O adsorbed on the boron nitride (BN), aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), boron phosphide (BP), and phosphorus (P) monolayers were investigated using the first-principles method, and potential materials that could be used for detecting CH 2 O were identified. The gas adsorption energies, charge transfers and electronic properties of the gas adsorption systems have been calculated to study the gas adsorption behaviors of CH 2 O on these single-layer materials. The electronic characteristics of these materials, except for the BP monolayer, were observed to change after CH 2 O adsorption. For CH 2 O on the BN, GaN, BP, and P surfaces, the gas adsorption behaviors were considered to follow a physical trend, whereas CH 2 O was chemically adsorbed on the AlN and InN monolayers. Given their large gas adsorption energies and high charge transfers, the AlN, GaN, and InN monolayers are potential materials for CH 2 O detection using the charge transfer mechanism.","CH O; First-principles calculation; Gas sensor; Monolayer materials","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:76847a92-d407-4a8e-b26d-4660282134a5","http://resolver.tudelft.nl/uuid:76847a92-d407-4a8e-b26d-4660282134a5","A Reliability Prediction Methodology for LED Arrays","Sun, B. (Guangdong University of Technology); Fan, J. (Hohai University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Guohao (Guangdong University of Technology)","","2019","In this paper, a physics of failure-based prediction method is combined with statistical models to consider the impact of current crowding and current droop effects on the reliability of LED arrays. Electronic-thermal models of LEDs are utilized to obtain the operation conditions under the influences of current crowding and current droop. A Markov chain-based model is used to calculate the probability distribution of each failure mode, including the lumen decay and catastrophic failure. Two types of LEDs were selected for a numerical study. The proposed prediction method provides the realistic reliability prediction results. It is found that the properties of LEDs have a great impact on their hazard rates of LED arrays. The equivalent resistance, third-order non-radiative coefficient, and radiative coefficient of LEDs are critical to the reliability of an LED array.","Catastrophic failure; electronic-thermal model; LED array; Markov chain; reliability prediction","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7cab5551-97fc-4dfd-89bd-9521b7af7c5c","http://resolver.tudelft.nl/uuid:7cab5551-97fc-4dfd-89bd-9521b7af7c5c","Formate Oxidase (FOx) from Aspergillus oryzae: One Catalyst Enables Diverse H 2 O 2 -Dependent Biocatalytic Oxidation Reactions","Tieves, F. (TU Delft BT/Biocatalysis); Willot, S.J. (TU Delft BT/Biocatalysis); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Rauch, M.C.R. (TU Delft BT/Biocatalysis); Younes, S.H.H. (TU Delft BT/Biocatalysis; Sohag University); Zhang, W. (TU Delft BT/Biocatalysis); Dong, J. (TU Delft BT/Biocatalysis); Gomez de Santos, P. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2019","An increasing number of biocatalytic oxidation reactions rely on H 2 O 2 as a clean oxidant. The poor robustness of most enzymes towards H 2 O 2 , however, necessitates more efficient systems for in situ H 2 O 2 generation. In analogy to the well-known formate dehydrogenase to promote NADH-dependent reactions, we here propose employing formate oxidase (FOx) to promote H 2 O 2 -dependent enzymatic oxidation reactions. Even under non-optimised conditions, high turnover numbers for coupled FOx/peroxygenase catalysis were achieved.","biocatalysis; formate oxidase; hydrogen peroxide; oxidation; oxyfunctionalisation","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:24739610-fc02-4113-9379-5a9647a9675e","http://resolver.tudelft.nl/uuid:24739610-fc02-4113-9379-5a9647a9675e","Self-orienting hydrogel micro-buckets as novel cell carriers","Liu, Q. (TU Delft ChemE/Advanced Soft Matter; Beijing Normal University); Zhao, M. (TU Delft (OLD) MSE-1); Mytnyk, S. (TU Delft ChemE/Product and Process Engineering); Klemm, B. (TU Delft ChemE/Advanced Soft Matter); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Wang, Y. (TU Delft ChemE/Advanced Soft Matter); Yan, Dadong (Beijing Normal University); Mendes, E. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2019","Hydrogel microparticles are important in materials engineering, but their applications remain limited owing to the difficulties associated with their manipulation. Herein, we report the self-orientation of crescent-shaped hydrogel microparticles and elucidate its mechanism. Additionally, the microparticles were used, for the first time, as micro-buckets to carry living cells. In aqueous solution, the microparticles spontaneously rotated to a preferred orientation with the cavity facing up. We developed a geometric model that explains the self-orienting behavior of crescent-shaped particles by minimizing the potential energy of this specific morphology. Finally, we selectively modified the particles’ cavities with RGD peptide and exploited their preferred orientation to load them with living cells. Cells could adhere, proliferate, and be transported and released in vitro. These micro-buckets hold a great potential for applications in smart materials, cell therapy, and biological engineering.","cell carriers; hydrogels; microfluidics; self-orientation; soft matter","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:ec86673b-5e0f-4fa6-b3b8-12d3105dd682","http://resolver.tudelft.nl/uuid:ec86673b-5e0f-4fa6-b3b8-12d3105dd682","Chemical and Rheological Evaluation of Aged Lignin-Modified Bitumen","Zhang, Yi (Chang'an University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Gard, W.F. (TU Delft Bio-based Structures & Materials); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering)","","2019","As bitumen oxidizes, material stiffening and embrittlement occur, and bitumen eventually cracks. The use of anti-oxidants, such as lignin, could be used to delay oxidative aging and to extend the lifetime of asphalt pavements. In this study, the chemical and rheological effect of lignin on bitumen was evaluated by using a single dosage organsolv lignin (10 wt.% dosage). A pressure aging vessel (PAV) was used to simulate the long-term aging process after performing the standard short-term aging procedure, and the lignin-modified bituminous binders were characterized by an environmental scanning electron microscope (ESEM), Fourier-transform infrared (FTIR) spectroscopy, and a dynamic shear rheometer (DSR). From the ESEM results, the uniform microstructure was observed, indicating that the addition of lignin did not affect the worm structure of bitumen. Based on the FTIR test results, lignin-modified bitumen showed that a lower number of carbonyl and sulfoxide compounds were generated after aging than for neat bitumen. Based on the linear amplitude sweep (LAS) results, the addition of lignin slightly reduced the fatigue life of bitumen. From the frequency sweep results, it showed that lignin in bitumen acts as a modifier since the physical interaction between lignin and bitumen predominantly affects the material rheology. Overall, lignin could be a promising anti-oxidant due to its economic and environmental benefits.","rheology; lignin; bitumen; aging; microstructure; chemistry","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:36b8c71a-b2f6-44dd-8a21-44fdef6a988b","http://resolver.tudelft.nl/uuid:36b8c71a-b2f6-44dd-8a21-44fdef6a988b","Next steps of quantum transport in Majorana nanowire devices","Zhang, Hao (Tsinghua University; Beijing Academy of Quantum Information Sciences, Beijing); Liu, Dong E. (Tsinghua University); Wimmer, M.T. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Microsoft Quantum Lab Delft; Kavli institute of nanoscience Delft)","","2019","Majorana zero modes are localized quasiparticles that obey non-Abelian exchange statistics. Braiding Majorana zero modes forms the basis of topologically protected quantum operations which could, in principle, significantly reduce qubit decoherence and gate control errors at the device level. Therefore, searching for Majorana zero modes in various solid state systems is a major topic in condensed matter physics and quantum computer science. Since the first experimental signature observed in hybrid superconductor-semiconductor nanowire devices, this field has witnessed a dramatic expansion in material science, transport experiments and theory. While making the first topological qubit based on these Majorana nanowires is currently an ongoing effort, several related important transport experiments are still being pursued in the near term. These will not only serve as intermediate steps but also show Majorana physics in a more fundamental aspect. In this perspective, we summarize these key Majorana experiments and the potential challenges.","","en","review","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:6dd5646c-f2bc-455e-bd06-05a7a6607ca6","http://resolver.tudelft.nl/uuid:6dd5646c-f2bc-455e-bd06-05a7a6607ca6","Identifying protein complexes from dynamic temporal interval protein-protein interaction networks","Zhang, Jinxiong (South China University of Technology; Guangxi University); Zhong, Cheng (Guangxi University); Lin, H.X. (TU Delft Mathematical Physics); Wang, Mian (Guangxi University)","","2019","Identification of protein complex is very important for revealing the underlying mechanism of biological processes. Many computational methods have been developed to identify protein complexes from static protein-protein interaction (PPI) networks. Recently, researchers are considering the dynamics of protein-protein interactions. Dynamic PPI networks are closer to reality in the cell system. It is expected that more protein complexes can be accurately identified from dynamic PPI networks. In this paper, we use the undulating degree above the base level of gene expression instead of the gene expression level to construct dynamic temporal PPI networks. Further we convert dynamic temporal PPI networks into dynamic Temporal Interval Protein Interaction Networks (TI-PINs) and propose a novel method to accurately identify more protein complexes from the constructed TI-PINs. Owing to preserving continuous interactions within temporal interval, the constructed TI-PINs contain more dynamical information for accurately identifying more protein complexes. Our proposed identification method uses multisource biological data to judge whether the joint colocalization condition, the joint coexpression condition, and the expanding cluster condition are satisfied; this is to ensure that the identified protein complexes have the features of colocalization, coexpression, and functional homogeneity. The experimental results on yeast data sets demonstrated that using the constructed TI-PINs can obtain better identification of protein complexes than five existing dynamic PPI networks, and our proposed identification method can find more protein complexes accurately than four other methods.","","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:e1840cfa-1efe-4301-9e40-631766ad3878","http://resolver.tudelft.nl/uuid:e1840cfa-1efe-4301-9e40-631766ad3878","Table top surface appraisal by school children under different lighting conditions tested in the Senselab","Ortiz, Marco A. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2019","To find out whether a surface finishing was preferred under different lighting conditions by school children, in the light test chamber of the SenseLab, 335 children from previous studied schools were asked to assess a desk surface during different light conditions. A two-way randomized design was used to test children's assessments for six school desks table tops (brown, yellow and grey wood, and, normal, matt and reflective white), under three different light conditions: energizing, calming, and focusing. A statistically relevant relationship was found for the three wooden surfaces, but none for the white ones. Such results may be due to the fact that better contrast between the participants' form and the surface appeared with the wooden-like surfaces, as opposed to that with the white surfaces. Similarly, white surfaces' characteristics seemed to be more difficult to assess (mattness, reflectiveness, opacity) as opposed to those fr the wooden-like surfaces (colour yellow, brown, grey).","","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:ae965a7f-9ba0-4655-850f-640f4fe6e2dc","http://resolver.tudelft.nl/uuid:ae965a7f-9ba0-4655-850f-640f4fe6e2dc","H2O2 Production at Low Overpotentials for Electroenzymatic Halogenation Reactions","Bormann, Sebastian (DECHEMA Forschungsinstitut); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Pedroso de Almeida, T. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis); Stöckl, Markus (DECHEMA Forschungsinstitut); Ulber, Roland (Technische Universität Kaiserslautern); Hollmann, F. (TU Delft BT/Biocatalysis); Holtmann, Dirk (DECHEMA Forschungsinstitut)","","2019","Various enzymes utilize hydrogen peroxide as an oxidant. Such “peroxizymes” are potentially very attractive catalysts for a broad range of oxidation reactions. Most peroxizymes, however, are inactivated by an excess of H2O2. The electrochemical reduction of oxygen can be used as an in situ generation method for hydrogen peroxide to drive the peroxizymes at high operational stabilities. Using conventional electrode materials, however, also necessitates significant overpotentials, thereby reducing the energy efficiency of these systems. This study concerns a method to coat a gas-diffusion electrode with oxidized carbon nanotubes (oCNTs), thereby greatly reducing the overpotential needed to perform an electroenzymatic halogenation reaction. In comparison to the unmodified electrode, with the oCNTs-modified electrode the overpotential can be reduced by approximately 100 mV at comparable product formation rates.","biocatalysis; carbon nanotubes; electrochemistry; enzymes; hydrogen peroxide","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e27fa935-b576-4eec-826f-050499979d79","http://resolver.tudelft.nl/uuid:e27fa935-b576-4eec-826f-050499979d79","An Efficient Strategy for the Production of Epoxidized Oils: Natural Deep Eutectic Solvent-Based Enzymatic Epoxidation","Zhang, Tianyu (South China University of Technology); Ma, Yunjian (South China University of Technology); Tan, Chin Ping (Universiti Putra Malaysia); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Jianrong (South China University of Technology); Yang, Bo (South China University of Technology); Wang, Yonghua (South China University of Technology)","","2019","Poor H 2 O 2 -resistance by enzymes is a key bottleneck in the epoxidation process of oil by enzymatic methods. In this study, the stability of three lipases, from Aspergillus oryzae lipase (AOL), Aspergillus fumigatus lipase B (AflB), and marine Janibacter (MAJ1), in the presence of H 2 O 2 was evaluated in different types of natural deep eutectic solvents (NADES). This stability was strengthened significantly in the NADES compared to the buffer. Specifically, AOL retained 84.7% of its initial activity in the presence of choline chloride/sorbitol (1:1 M ratio) and 3 mol L −1 H 2 O 2 after 24 h incubation at 40°C. In addition, the two-phase epoxidation process was optimized with AOL in ChCl/sorbitol to reach up to 96.8% conversion under the optimized conditions (molar ratio of octanoic acid/H 2 O 2 /C=C-bonds = 0.3:1.5:1, enzyme loading of 15 U g −1 of soybean oil, ChCl/sorbitol content of 70.0% of the weight of hydrophilic phase, and reaction temperature of 50°C). Moreover, the lipase dispersed in NADES retained approximately 66% of its initial activity after being used for seven batch cycles. Overall, NADES-based enzymatic epoxidation is a feasible and promising strategy for the synthesis of epoxidized oils.","Enzyme catalysis; Epoxidation; Lipase; Natural deep eutectic solvent; Soybean oil","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-09-20","","","BT/Biocatalysis","","",""
"uuid:6c006057-759e-47e0-b392-4546713cce20","http://resolver.tudelft.nl/uuid:6c006057-759e-47e0-b392-4546713cce20","From the headwater to the delta: A synthesis of the basin-scale sediment load regime in the Changjiang River","Guo, Leicheng (East China Normal University); Su, Ni (Tongji University); Townend, Ian (University of Southampton; East China Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; East China Normal University; Deltares); Zhu, C. (TU Delft Coastal Engineering; East China Normal University); Wang, Xianye (East China Normal University); Zhang, Yuning (East China Normal University); He, Qing (East China Normal Univeristy)","","2019","Many large rivers in the world delivers decreasing sediment loads to coastal oceans owing to reductions in sediment yield and disrupted sediment deliver. Understanding the sediment load regime is a prerequisite of sediment management and fluvial and deltaic ecosystem restoration. This work examines sediment load changes across the Changjiang River basin based on a long time series (1950–2017) of sediment load data stretching from the headwater to the delta. We find that the sediment loads have decreased progressively throughout the basin at multiple time scales. The sediment loads have decreased by ~96% and ~74% at the outlets of the upper basin and entire basin, respectively, in 2006–2017 compared to 1950–1985. The hydropower dams in the mainstem have become a dominant cause of the reduction, although downstream channel erosion causes moderate sediment load recovery. The basin-scale sediment connectivity has declined as the upper river is progressively dammed, the middle-lower river is leveed and river-lake interplay weakens. The middle-lower river has changed from a slight depositional to a severe erosional environment, from a sediment transport conduit to a new sediment source zone, and from a transport-limited to a supply-limited condition. These low-level sediment loads will likely persist in the future considering the cumulative dam trapping and depleted channel erosion. As a result, substantial hydro-morphological changes have occurred that affect the water supply, flood mitigation, and the aquatic ecosystem. The findings and lessons in this work can shed light on other large river systems subject to intensified human interference.","Changjiang; Sediment load; Sediment starvation; Source-to-sink","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-13","","","Coastal Engineering","","",""
"uuid:70e1f2e0-6b78-495f-bb0a-e39a09581be2","http://resolver.tudelft.nl/uuid:70e1f2e0-6b78-495f-bb0a-e39a09581be2","Ink-bottle effect and pore size distribution of cementitious materials identified by pressurization-depressurization cycling mercury intrusion porosimetry","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Bin (Chengdu Design & Research Institute of Building Materials Industry Co.); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2019","Capturing the long-term performance of concrete must be underpinned by a detailed understanding of the pore structure. Mercury intrusion porosimetry (MIP) is a widely used technique for pore structure characterization. However, it has been proven inappropriate to measure the pore size distribution of cementitious materials due to the ink-bottle effect. MIP with cyclic pressurization-depressurization can overcome the ink-bottle effect and enables a distinction between large (ink-bottle) pores and small (throat) pores. In this paper, pressurization-depressurization cycling mercury intrusion porosimetry (PDC-MIP) is adopted to characterize the pore structure in a range of cementitious pastes cured from 28 to 370 days. The results indicate that PDC-MIP provides a more accurate estimation of the pore size distribution in cementitious pastes than the standard MIP. Bimodal pore size distributions can be obtained by performing PDC-MIP measurements on cementitious pastes, regardless of the age. Water-binder ratio, fly ash and limestone powder have considerable influences on the formation of capillary pores ranging from 0.01 to 0.5 μm.","Cementitious; Ink-bottle; Mercury porosimetry; Pore size distribution; Pressurization-depressurization","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:674e2825-715e-4fcc-b2e3-836835fb4107","http://resolver.tudelft.nl/uuid:674e2825-715e-4fcc-b2e3-836835fb4107","Assessing Hydrated Cement Paste Properties Using Experimentally Informed Discrete Models","Šavija, B. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2019","Properties of concrete are, to a large extent, dependent on the properties of its binding constituent, hydrated cement paste. Therefore, knowledge of properties of hydrated cement paste is crucial for predicting concrete behavior. This paper presents an experimentally informed approach for modeling elastic and transport properties of cement paste. The models used realistic microstructural information—obtained by X-ray computed tomography—as input for property determination. The properties were then determined using discrete numerical models, namely, models based on a lattice approach. Modeling results were compared with literature data, showing excellent correlations. Furthermore, dependence of properties of cement paste on the total porosity, based on the modeling results, was explored. Finally, a correlation between elastic and transport properties for the explored range of portland cement pastes was established. It is seen that the models can be used for property prediction, but also for exploring correlations between different parameters.","Cement paste; Chloride diffusion; Lattice model; X-ray computed tomography; Young's modulus","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:ea241a32-56c8-4623-8ea9-762c7022f76a","http://resolver.tudelft.nl/uuid:ea241a32-56c8-4623-8ea9-762c7022f76a","Stress analysis of pressure-assisted sintering for the double-side assembly of power module","Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology)","","2019","Purpose: Crack and stress distribution on dies are key issues for the pressure-assisted sintering bonding of power modules. The purpose of this research is to build a relationship among stress distributions, sintering sequences and sintering pressures during the sintering processes. Design/methodology/approach: Three sintering sequences, S(a), S(b) and S(c), have been designed for the double-side assembly of power module in this paper. Experiments and finite element method (FEM) analysis are conducted to investigate the crack and stress distribution. Findings: The sintering sequence had significant effects on the crack generation in the chips during the sintering process under 30-MPa pressure. The simulation results revealed that the module sintered by S(a) showed lower chip stress than those by the other two sintering sequences under 30 MPa. In contrast, the chip stress is the highest when the sintering sequence follows S(b). The simulation results explained the crack generation and prolongation in the experiments. S(a) was recommended as the best sintering sequence because of the lowest chip stress and highest yield rate. Originality/value: This study investigated the stress distributions of the double-side sintered power modules under different sintering pressures. Based on the results of experiments and FEM analysis, the best sintering sequence design is provided under various sintering pressures.","Double-side assembly; Power chip; Reliability; Sintering","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:644b752d-302d-4953-9a5a-78a9d3384b6c","http://resolver.tudelft.nl/uuid:644b752d-302d-4953-9a5a-78a9d3384b6c","Laboratory and numerical investigation of microwave heating properties of asphalt mixture","Wang, H. (TU Delft Pavement Engineering); Zhang, Yue (Rheinisch-Westfälische Technische Hochschule); Zhang, Yi (Chang'an University); Feng, Shuyin (University of Bristol); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Cao, Lintao (Hubei University of Arts and Science)","","2019","Microwave heating is an encouraging heating technology for the maintenance, recycling, and deicing of asphalt pavement. To investigate the microwave heating properties of asphalt mixture, laboratory tests and numerical simulations were done and compared. Two types of Stone Mastic Asphalt (SMA) mixture samples (with basalt aggregates and steel slag aggregates) were heated using a microwave oven for different times. Numerical simulation models of microwave heating of asphalt mixture were developed with finite element software COMSOL Multiphysics. The main thermal and electromagnetic properties of asphalt mixture, served as the model input parameters, were measured through a series of laboratory tests. Both laboratory-measured and numerical simulated surface temperatures were recorded and analyzed. Results show that the replacement of basalt aggregates with steel slag aggregates can significantly increase the microwave heating efficiency of asphalt mixture. Numerical simulation results have a good correlation with laboratory test results. It is feasible to use the developed model coupling electromagnetic waves with heat transfer to simulate the microwave heating process of asphalt mixture.","Asphalt mixture; Dielectric loss; Electromagnetic; Microwave heating; Numerical simulation; Steel slag","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:895b3a1d-2431-48a1-99ae-1d564e8978c3","http://resolver.tudelft.nl/uuid:895b3a1d-2431-48a1-99ae-1d564e8978c3","Assessment of Flexural Behavior of Pultruded GFRP Laminates for Bridge Deck Applications","Zhang, Youyou (University of California); Mosallam, Ayman (University of California); Liu, Yuqing (Tongji University); Sun, Yun (Tongji University); Xin, H. (TU Delft Steel & Composite Structures); He, Jun (Changsha University of Science and Technology)","","2019","In this study, flexural behaviors of the pultruded composite laminate were evaluated through experiments and theoretical analysis. Three-point flexural tests were performed for pultruded specimens. The typical failure mode for the longitudinal flexural specimens was local crush on the top surface accompanied with local cracks on the bottom surface at midspan. For the transverse tests, the specimens presented a failure pattern with local cracks initiated and propagated at both the top and bottom sides at the midspan. Theoretical analysis, based on micromechanics and macromechanics, was performed to predict flexural deformation and stress distribution of the pultruded laminate beam. Based on the continuum damage model proposed by the authors, this paper mainly investigates the flexural behavior and failure pattern of pultruded lamination. The theoretical and finite element results agreed well with the test results. The results can provide a reference for the design of the structural pultruded modular systems.","","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:0c24955e-06a2-40d0-ac2c-3cb5fa25d824","http://resolver.tudelft.nl/uuid:0c24955e-06a2-40d0-ac2c-3cb5fa25d824","Temporal information gathering process for node ranking in time-varying networks","Qu, C. (Shandong University); Zhan, X. (TU Delft Multimedia Computing); Wang, Guanghui (Shandong University); Wu, Jianliang (Shandong University); Zhang, Zi-ke (Hangzhou Normal University; Ministry of Education Hangzhou)","","2019","Many systems are dynamic and time-varying in the real world. Discovering the vital nodes in temporal networks is more challenging than that in static networks. In this study, we proposed a temporal information gathering (TIG) process for temporal networks. The TIG-process, as a node's importance metric, can be used to do the node ranking. As a framework, the TIG-process can be applied to explore the impact of temporal information on the significance of the nodes. The key point of the TIG-process is that nodes' importance relies on the importance of its neighborhood. There are four variables: temporal information gathering depth n, temporal distance matrix D, initial information c, and weighting function f. We observed that the TIG-process can degenerate to classic metrics by a proper combination of these four variables. Furthermore, the fastest arrival distance based TIG-process (fad-tig) is performed optimally in quantifying nodes' efficiency and nodes' spreading influence. Moreover, for the fad-tig process, we can find an optimal gathering depth n that makes the TIG-process perform optimally when n is small.","Social networks; Data mining; Graph theory; Covariance and correlation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-01","","","Multimedia Computing","","",""
"uuid:cc0d888d-66ba-4dc4-9bbf-f47121ad752a","http://resolver.tudelft.nl/uuid:cc0d888d-66ba-4dc4-9bbf-f47121ad752a","Clustering of Dutch school children based on their preferences and needs of the IEQ in classrooms","Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2019","Background: It is well-known that indoor environmental quality (IEQ) in classrooms can have an effect on school children's comfort, health and performance. Unfortunately, information about the school children's perception of IEQ factors in their classrooms is still insufficient. The objective of this study was to better understand school children's IEQ preferences and needs in classrooms. Methods: Perceptions, preferences, and needs regarding the IEQ in classrooms were collected by a questionnaire from 1145 school children (9–12 years) in 21 primary schools (54 classrooms) in the Netherlands. Descriptive analysis, correlation analysis, principal component analysis and two-step cluster analysis were used to analyse the data. Results: Using two-step cluster analysis, this study identified six clusters (profiles) of children based on their comfort perceptions and the importance of environmental factors. Among them, four clusters of children had specific concerns related to the IEQ factors: the ‘Sound concerned cluster’ the ‘Smell and Sound concerned cluster’ the ‘Thermal and Draught concerned cluster’ and the ‘Light concerned cluster’. However, the other two clusters of children did not show a specific concern, the ‘All concerned cluster’ was concerned about all IEQ factors in the classroom, while the ‘Nothing concerned cluster’ did not show any concern. Conclusion: This study allows for a better understanding of the preferences and needs of primary school children from their own perspective and provides a foundation for future studies to improve both the IEQ in classrooms and school children's comfort and health.","Indoor environmental quality; Perception; Questionnaire; School children's perspective; Two-step cluster analysis","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:f8a03813-2579-455d-87f0-7b4ae73ed7fe","http://resolver.tudelft.nl/uuid:f8a03813-2579-455d-87f0-7b4ae73ed7fe","Impact of high temperature H2 pre-treatment on Pt-AlGaN/GaN HEMT sensor for H2S detection","Zhang, Jian (Fudan University; Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; State Key Laboratory of Solid State Lighting); Chen, Ganhui (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Zhu, Yumeng (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Qi, Yongle (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Lin, Xinpeng (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Li, Wenmao (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Zhang, G. (TU Delft Signal Processing Systems); Jiang, Yu-Long (Fudan University); Yu, Hongyu (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor)","","2019","In this paper, a method to extend the detection range of hydrogen sulfide (H2S) gas sensor is demonstrated. The sensor is based on AlGaN/GaN high electron mobility transistors (HEMTs) with Pt gate. It is observed that the as-fabricated devices exhibited sensing signal saturation at 30 ppm H2S exposure in dry air. A pre-treatment using H2 pulses in dry air ambient at 250 °C was applied to extend the detection range of the sensor. The H2 treated H2S gas sensor was able to detect a higher H2S concentration up to 90 ppm at 250 °C without complete saturation.","AlGaN/GaN; Gas sensor; H2S; HEMT; Pt","en","journal article","","","","","","Accepted author manuscript","","2020-10-16","","","Electronic Components, Technology and Materials","","",""
"uuid:d8ceaf3c-ade5-44c0-9cce-4867e9e442c7","http://resolver.tudelft.nl/uuid:d8ceaf3c-ade5-44c0-9cce-4867e9e442c7","All-in-one improvement toward Li6PS5Br-Based solid electrolytes triggered by compositional tune","Zhang, Zhixia (Yanshan University); Zhang, Long (Yanshan University); Yan, Xinlin (Technische Universität Wien); Wang, Hongqiang (Hebei University); Liu, Yanyan (Yanshan University); Yu, C. (TU Delft RST/Storage of Electrochemical Energy); Cao, Xiaoting (Yanshan University); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Wen, Bin (Yanshan University)","","2019","Sulfide solid electrolytes possess high ionic conductivity and moderate dendrite suppression capability, but rather poor compatibility against oxide cathodes and metallic Li. Here, we report O-doped Li6PS5Br as solid electrolyte synthesized by a facile solid-state sintering. Different from other O-incorporated sulfides, the O atoms in Li6PS5-xOxBr prefer to substitute the S atoms at free S2− sites rather than those at the PS4 tetrahedra. Remarkably, without deteriorating the ionic conductivity, this inorganic solid electrolyte with O doping exhibits comprehensively enhanced properties including excellent dendrite suppression capability, superior electrochemical and chemical stability against Li metal as well as high voltage oxide cathodes, and good air stability. Li(Ni0.8Co0.1Mn0.1)O2 and LiCoO2-based all-solid-state batteries with Li6PS4.7O0.3Br electrolyte deliver high specific capacity, superior rate capability, and outstanding cycling stability accompanied with low interfacial resistivity. This type of inorganic solid electrolytes is promising for all-solid-state batteries with high energy density.","All-solid-state batteries; Argyrodites; Interfacial stability; Li dendrite suppression; Solid electrolytes","en","journal article","","","","","","","","2020-11-13","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a5bf6fa1-9ebc-4ec5-9635-03392a17a580","http://resolver.tudelft.nl/uuid:a5bf6fa1-9ebc-4ec5-9635-03392a17a580","A model for predicting the relative chloride diffusion coefficient in unsaturated cementitious materials","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2019","An analytical model for predicting the relative chloride diffusion coefficient in cementitious materials at different degrees of water saturation is presented in this paper. The model is developed based on the Nernst-Einstein equation and conductivity of cementitious electrolyte, as well as on moisture distribution in the pore structure. Good agreement is found between the model and the experimental data. With the help of the model, the chloride diffusion coefficient of cementitious material at unsaturated state can be determined according to the chloride diffusion coefficient at saturated state, the degree of water saturation and the average pore diameter of the material. A detailed discussion about the inputs and outputs of the model is given in order to facilitate its application for engineering practice.","Cementitious material; Chloride diffusion; Degree of water saturation; Modeling; Pore structure","en","journal article","","","","","","","","2020-10-23","","","Materials and Environment","","",""
"uuid:083af444-2039-4595-bc5a-7917090c4dd9","http://resolver.tudelft.nl/uuid:083af444-2039-4595-bc5a-7917090c4dd9","Influence of surface pretreatment on phosphate conversion coating on AZ91 Mg alloy","Zhang, Chunyan (Harbin Engineering University; Chinese Academy of Sciences); Liu, Bin (Harbin Engineering University); Yu, Baoxing (Chinese Academy of Sciences); Lu, Xiaopeng (Northeastern University); Wei, Yong (Harbin Engineering University); Zhang, Tao (Harbin Engineering University; Chinese Academy of Sciences; Northeastern University); Mol, J.M.C. (TU Delft (OLD) MSE-6); Wang, Fuhui (Northeastern University)","","2019","Surface pretreatment is generally applied before application of protective coatings on Mg alloys, which influences surface microstructure and electrochemical activity of the substrate and has an effect on the coating properties. The effect of various pretreatment processes (sand-blasting, grinding and polishing) on the microstructure and corrosion protection performance of phosphate conversion coating (PCC) on AZ91D Mg alloy was investigated in the present study. Sand-blasting cleaning significantly increases the surface roughness and electrochemical activity of the substrate, leading to formation of a porous PCC with inferior corrosion protection performance. In the case of ground/polished Mg alloy, the uniformity and corrosion resistance of the resultant conversion coating are mainly related to the surface roughness. Relatively low surface roughness of the substrate facilitates formation of a corrosion protective PCC.","Corrosion protection; Mg alloy; Phosphate conversion coating; Surface pretreatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-06-22","","","(OLD) MSE-6","","",""
"uuid:654cc721-28d6-47c8-b9bf-c60742c291e2","http://resolver.tudelft.nl/uuid:654cc721-28d6-47c8-b9bf-c60742c291e2","Evaluation of WRF Modeling in Relation to Different Land Surface Schemes and Initial and Boundary Conditions: A Snow Event Simulation Over the Tibetan Plateau","Liu, L. (Chinese Academy of Sciences); Ma, Yaoming (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Zhang, Xinzhong (Beijing Presky Technology Co, Beijing); Ma, Weiqiang (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System)","","2019","Snowfall and the subsequent evolution of the snowpack play important roles in the cryospheric and hydrospheric processes that occur on the Tibetan Plateau (TP). Current literature provides scarce evidence covering the sensitivity of solid precipitation to land surface physics schemes and initial and boundary conditions on the TP. Six numerical experiments using the Weather Research and Forecasting (WRF) model were conducted to simulate a snow event over the TP in March 2017. Different land surface physics schemes, that is, Community Land Model (CLM), Noah, and Noah-MP, and initial and boundary conditions provided by atmospheric reanalysis data sets, that is, the National Centers for Environmental Prediction-FNL and ERA-Interim data sets, were applied in sensitivity analyses. The observed near-surface air temperature, snow depth, and snow water equivalent (SWE) values were used to evaluate each model's performance. The results demonstrate that (1) the sensitivity of the near-surface air temperature to land surface physics schemes is greater than it is to both the initial and boundary conditions; (2) the best performance is achieved when applying WRF + CLM with a root-mean-square error of 8.4 °C, a mean absolute deviation of 7.3 °C, a correlation coefficient of 0.75, and a spatial correlation coefficient of ~0.5 to air temperature estimates. A potentially important factor appears to be the advanced parametrization of albedo in the CLM scheme; (3) the advanced land surface schemes in the WRF model describes the physics of cryospheric and hydrospheric processes in detail, and the land surface response is determined by multiple variables and parameters in such schemes. The spatial patterns in such variables and parameters determined the detailed spatial variabilities observed in snow cover and amount and its temporal evolution. The WRF model overestimates, however, the intensity and extent of snow depth and SWE; (4) simulations of solid precipitation are more accurate when applying CLM or Noah-MP + ERA-Interim in WRF; and (5) WRF performance with regard to SWE estimates clearly depends upon the discrimination of lighter from heavier snowfall.","albedo; LSM; snowfall; Tibetan Plateau; WRF","en","journal article","","","","","","","","2019-06-22","","","Optical and Laser Remote Sensing","","",""
"uuid:f4626852-1102-4080-a61a-003cc92677dc","http://resolver.tudelft.nl/uuid:f4626852-1102-4080-a61a-003cc92677dc","Effects of Thermal Reflowing Stress on Mechanical Properties of Novel SMT-SREKs","Cai, Miao (Guilin University of Electronic Technology); Liang, Yonghu (Guilin Xuyan Electromechanical Technology, Co., Ltd., Guilin); Yun, Minghui (Guilin University of Electronic Technology); Chen, Xuan-You (Guilin University of Electronic Technology); Yan, Haidong (Guilin University of Electronic Technology); Yu, Zhaozhe (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","A novel silicone rubber elastic key (SREK) is proposed in this paper for surface mounting technology (SMT) applications. Effects of thermal reflowing stress on the mechanical properties of SMT-SREKs are investigated. The manufactured SMT-SREKs, which underwent various reflowing conditions in advance, are subjected to pressing force and fatigue pressing tests. Fatigue lifetime projection model and its predicted error are then assessed systematically. The thermal degradation of silicone rubber materials is illustrated through the dynamic mechanical analysis and the Fourier transform infrared spectroscopy experiments. The mechanical finite element modeling is also conducted to simulate the pressing process. The results show that the pressing force and tactility of the SMT-SREKs are strongly affected by the reflowing condition, which contributes to the degradation of the silicone rubber materials. During the fatigue pressing test, the change rate of tactility increases with the reflowing peak temperature ( T-{p} ) and is accelerated by the repeated reflowing process. Moreover, a linear model can precisely project the tactility before the fatigue pressing number of 2.0E+6 times, and the impact rate of T-{p} on tactility with the increasing fatigue pressing number can be predicted effectively by using a logarithm model.","degradation; fatigue lifetime; mechanical property; modeling; Silicone rubber elastic key (SREK); surface mounting technology (SMT)","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:b6e0b30d-bd26-4db3-bbb4-72be31e5f72b","http://resolver.tudelft.nl/uuid:b6e0b30d-bd26-4db3-bbb4-72be31e5f72b","A contactless measuring speed system of belt conveyor based on machine vision and machine learning","Gao, Yuan (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Yang, Yi (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Wei, Hongyan (Taiyuan University of Technology)","","2019","During the operation of the belt conveyor, measuring speed of the belt conveyor is vital to the safe and efficient operation. In the existing measuring speed system, the measurement instrument is required contacting with the surface of the belt. The contact measurement method cannot avoid the occurrence of measuring error caused by slipping on the contact surface and wear of the measurement instrument. In order to solve the problems mentioned above, a new contactless measuring speed system is proposed in this paper. The system uses the CCD camera to capture the side image of belt. The speed of belt conveyor can be obtained by measuring the regularity of image texture. The proposed measuring system can meet the requirement of measuring speed in long running process of belt conveyor. Experimental results show that the measuring accuracy indicators can reach RMSE of 0.018 m/s and MAE of 0.010 m/s.","Belt conveyor; Contactless measuring speed; Image processing; Polynomial linear regression","en","journal article","","","","","","Accepted Author Manuscript","","2021-03-15","","","Transport Engineering and Logistics","","",""
"uuid:03b39a22-b52f-432a-a46c-636101bbc39c","http://resolver.tudelft.nl/uuid:03b39a22-b52f-432a-a46c-636101bbc39c","Low-Complexity Equalization of Orthogonal Signal-Division Multiplexing in Doubly-Selective Channels","Han, J. (Northwestern Polytechnical University); Zhang, Lingling (Northwestern Polytechnical University); Zhang, Qunfei (Northwestern Polytechnical University); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2019","Orthogonal signal-division multiplexing (OSDM) is a generalized modulation scheme to bridge the gap between orthogonal frequency-division multiplexing (OFDM) and single-carrier frequency-domain equalization. It allows significantly more flexibility in system design; however, over doubly-selective channels, it suffers from a special signal distortion structure, namely inter-vector interference, which is analogous to inter-carrier interference in conventional OFDM. To analyze its effect, in this paper, the complex exponential basis expansion model (CE-BEM) is used to approximate the doubly-selective channel. We show that the composite channel matrix of OSDM systems is cyclically block banded in this case, and the blocks in its main band can be further diagonalized. By exploiting this unique matrix structure, low-complexity block and serial OSDM equalization algorithms are then proposed. These two equalization algorithms are based on block LDLH factorization and block iterative matrix inversion, respectively, both of which are implemented in a transformed domain to avoid direct inversion of large matrices. In addition, a CE-BEM channel estimation method is designed for OSDM systems, which uses frequency-shifted Chu sequences as pilots to ease the computation. Numerical simulations are finally provided to justify the validity of our channel equalization and estimation algorithms.","BEM; channel equalization; channel estimation; doubly-selective channels; OSDM; underwater acoustic communications","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-15","","","Signal Processing Systems","","",""
"uuid:138ca6a3-69da-40f7-bcb3-e2d8369ed3f1","http://resolver.tudelft.nl/uuid:138ca6a3-69da-40f7-bcb3-e2d8369ed3f1","Moisture source changes contributed to different precipitation changes over the northern and southern Tibetan Plateau","Zhang, Chi (Chinese Academy of Sciences); Tang, Qiuhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Chen, Deliang (University of Gothenburg; Chinese Academy of Sciences); van der Ent, R.J. (TU Delft Water Resources; Universiteit Utrecht); Liu, Xingcai (Chinese Academy of Sciences); Li, Wenhong (Duke University); Haile, Gebremedhin Gebremeskel (Chinese Academy of Sciences)","","2019","Precipitation on the Tibetan Plateau (TP) showed different spatial changes during 1979-2016, with an increasing trend over the northern Tibetan Plateau (NTP) and a slightly negative trend over the southern Tibetan Plateau (STP). The changes in precipitation moisture sources over the NTP and STP are investigated using the improved Water Accounting Model with an atmospheric reanalysis as well as observational precipitation and evaporation data. The results show the region in the northwest (region NW), ranging from the TP to Europe dominated by the westerlies, provides 38.9% of precipitation moisture for the NTP, and the region in the southeast (region SE), ranging from the TP to the Indian Ocean and Indochina dominated by the Asian monsoons, provides 51.4% of precipitation moisture for the STP. For the precipitation increase over the NTP, the SE and TP are the main contributors, contributing around 35.8% and 51.7% of the increase, respectively. The contributions from the SE and TP to the STP are, however, minor and insignificant. Meanwhile, the NW shows a negative trend of -4.2 ± 2.9mmyr -1 decade -1 (significant at the 0.01 level), which contributes to the negative precipitation trend over the STP. Results during the wet season indicate that moisture sources from the areas dominated by the Asian monsoons have contributed more precipitated moisture for the NTP, but not for the STP. Further analysis reveals that precipitated moisture originating from the Indian subcontinent has increased for the NTP while it has decreased for the STP during 1979-2016.","Climate change; Climatology; Moisture/moisture budget; Water budget","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-04","","","Water Resources","","",""
"uuid:65a5f5d2-a864-49b0-b9b2-190f8f7b545e","http://resolver.tudelft.nl/uuid:65a5f5d2-a864-49b0-b9b2-190f8f7b545e","A numerical Bayesian-calibrated characterization method for multiscale prepreg preforming simulations with tension-shear coupling","Zhang, Weizhao (Northwestern University); Bostanabad, Ramin (Northwestern University); Liang, Biao (Northwestern University); Su, Xuming (Ford Motor Company); Zeng, Danielle (Ford Motor Company); Bessa, M.A. (TU Delft (OLD) MSE-5); Wang, Yanchao (Tongji University); Chen, Wei (Northwestern University); Cao, Jian (Northwestern University)","","2019","Carbon fiber reinforced plastics (CFRPs) are attracting growing attention in industry because of their enhanced properties. Preforming of thermoset carbon fiber prepregs is one of the most common production techniques of CFRPs. To simulate preforming, several computational methods have been developed. Most of these methods, however, obtain the material properties directly from experiments such as uniaxial tension and bias-extension where the coupling effect between tension and shear is not considered. Neglecting this coupling effect deteriorates the prediction accuracy of simulations. To address this issue, we develop a Bayesian model calibration and material characterization approach in a multiscale finite element preforming simulation framework that utilizes mesoscopic representative volume element (RVE) to account for the tension-shear coupling. A new geometric modeling technique is first proposed to generate the RVE corresponding to the close-packed uncured prepreg. This RVE model is then calibrated with a modular Bayesian approach to estimate the yarn properties, test its potential biases against the experiments, and fit a stress emulator. The predictive capability of this multiscale approach is further demonstrated by employing the stress emulator in the macroscale preforming simulation which shows that this approach can provide accurate predictions.","Bayesian calibration; Gaussian processes; Multiscale simulations; Preforming; Prepreg","en","journal article","","","","","","Accepted Author Manuscript","","2019-11-22","","","(OLD) MSE-5","","",""
"uuid:2aed7a1f-fd3d-4b7d-940a-ef0f99728a27","http://resolver.tudelft.nl/uuid:2aed7a1f-fd3d-4b7d-940a-ef0f99728a27","Crowdsourcing Methods for Data Collection in Geophysics: State of the Art, Issues, and Future Directions","Zheng, Feifei (Zhejiang University); Tao, Ruoling (Zhejiang University); Maier, Holger R. (Zhejiang University; University of Adelaide; Bushfire and Natural HazardsCooperative Research Centre); See, Linda (International Institute for Applied Systems Analysis, Laxenburg); Savic, Dragan (KWR Water Research Institute; University of Exeter); Zhang, Tuqiao (Zhejiang University); Chen, Qiuwen (Nanjing Hydraulic Research Institute); Assumpção, Thaine H. (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Russian Academy of Sciences)","","2019","Data are essential in all areas of geophysics. They are used to better understand and manage systems, either directly or via models. Given the complexity and spatiotemporal variability of geophysical systems (e.g., precipitation), a lack of sufficient data is a perennial problem, which is exacerbated by various drivers, such as climate change and urbanization. In recent years, crowdsourcing has become increasingly prominent as a means of supplementing data obtained from more traditional sources, particularly due to its relatively low implementation cost and ability to increase the spatial and/or temporal resolution of data significantly. Given the proliferation of different crowdsourcing methods in geophysics and the promise they have shown, it is timely to assess the state of the art in this field, to identify potential issues and map out a way forward. In this paper, crowdsourcing-based data acquisition methods that have been used in seven domains of geophysics, including weather, precipitation, air pollution, geography, ecology, surface water, and natural hazard management, are discussed based on a review of 162 papers. In addition, a novel framework for categorizing these methods is introduced and applied to the methods used in the seven domains of geophysics considered in this review. This paper also features a review of 93 papers dealing with issues that are common to data acquisition methods in different domains of geophysics, including the management of crowdsourcing projects, data quality, data processing, and data privacy. In each of these areas, the current status is discussed and challenges and future directions are outlined.","big data; categorization; crowdsourcing; data collection; geophysics","en","review","","","","","","","","","","","Water Resources","","",""
"uuid:bfbd8bab-1914-49e6-889d-10d680eb2d98","http://resolver.tudelft.nl/uuid:bfbd8bab-1914-49e6-889d-10d680eb2d98","Glacier facies mapping using a machine-learning algorithm: The Parlung Zangbo Basin case study","Zhang, Jingxiao (Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Hu, Guangcheng (Chinese Academy of Sciences)","","2019","Glaciers in the Tibetan Plateau are an important indicator of climate change. Automatic glacier facies mapping utilizing remote sensing data is challenging due to the spectral similarity of supraglacial debris and the adjacent bedrock. Most of the available glacier datasets do not provide the boundary of clean ice and debris-covered glacier facies, while debris-covered glacier facies play a key role in mass balance research. The aim of this study was to develop an automatic algorithm to distinguish ice cover types based on multi-temporal satellite data, and the algorithm was implemented in a subregion of the Parlung Zangbo basin in the southeastern Tibetan Plateau. The classification method was built upon an automated machine learning approach: Random Forest in combination with the analysis of topographic and textural features based on Landsat-8 imagery and multiple digital elevation model (DEM) data. Very high spatial resolution Gao Fen-1 (GF-1) Panchromatic and Multi-Spectral (PMS) imagery was used to select training samples and validate the classification results. In this study, all of the land cover types were classified with overall good performance using the proposed method. The results indicated that fully debris-covered glaciers accounted for approximately 20.7% of the total glacier area in this region and were mainly distributed at elevations between 4600 m and 4800 m above sea level (a.s.l.). Additionally, an analysis of the results clearly revealed that the proportion of small size glaciers (< 1 km 2 ) were 88.3% distributed at lower elevations compared to larger size glaciers (≥1 km 2 ). In addition, the majority of glaciers (both in terms of glacier number and area) were characterized by a mean slope ranging between 20° and 30°, and 42.1% of glaciers had a northeast and north orientation in the Parlung Zangbo basin.","Automatic glacier facies mapping; Landsat; Parlung Zangbo basin; Random Forest","en","journal article","","","","","","In the Special Issue 'Remote Sensing of Glaciers at Global and Regional Scales'","","","","","Optical and Laser Remote Sensing","","",""
"uuid:2837149c-3d1c-4ff7-b573-675e3a7bf91e","http://resolver.tudelft.nl/uuid:2837149c-3d1c-4ff7-b573-675e3a7bf91e","Time-domain oversampled orthogonal signal-division multiplexing underwater acoustic communications","Han, J. (Northwestern Polytechnical University); Wang, Yujie (Northwestern Polytechnical University); Zhang, Lingling (Northwestern Polytechnical University); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2019","Orthogonal signal-division multiplexing (OSDM) is a recently emerging modulation scheme which, compared to conventional orthogonal frequency-division multiplexing, can effectively lower the peak-to-average power ratio and introduce intra-vector frequency diversity. In this paper, a time-domain oversampled OSDM system for underwater acoustic (UWA) communications is designed, where each OSDM vector is equivalently transmitted over multiple virtual channels, and thus an enhanced frequency diversity gain can be achieved. Moreover, at the receiver, zero vectors and frequency-shifted Chu sequences are used for Doppler compensation and channel estimation, respectively, while low-complexity per-vector equalization is performed based on the composite channel matrix factorization. Finally, the performance of the proposed OSDM system is evaluated through both numerical simulations and a short-range field experiment, and its effectiveness over time-varying UWA channels is confirmed.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-07-22","","","Signal Processing Systems","","",""
"uuid:0056072a-24ac-4c3e-b1bd-9feeb3a365b7","http://resolver.tudelft.nl/uuid:0056072a-24ac-4c3e-b1bd-9feeb3a365b7","Durable lubricant-infused anodic aluminum oxide surfaces with high-aspect-ratio nanochannels","Wu, Dequan (University of Science and Technology Beijing); Zhang, D. (University of Science and Technology Beijing); Ye, Yuwei (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Minhas, Badar (University of Science and Technology Beijing); Liu, Bei (University of Science and Technology Beijing); Terryn, H.A. (Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6); Li, Xiaogang (University of Science and Technology Beijing)","","2019","Recently, lubricant-infused surfaces (LIS) have emerged as a prominent class of surface technology for antifouling, anti-icing and anticorrosion applications. However, long-term corrosion exposure and mechanical damages may deteriorate the practical performance of LIS during application. In this study, a robust LIS was fabricated by the vacuum impregnation of mineral oil into anodized aluminum oxide (AAO) nanochannels with a depth of 50 μm. The impregnation of the lubricant through the entire depth of the high-aspect-ratio nanochannels was visualized under cryo-scanning electron microscopy (cryo-SEM) and also confirmed by weight gain measurements. Electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization (PDP) tests showed that the lubricant stored in the deep nanochannels of LIS can provide excellent corrosion protection during long-term immersion. Furthermore, the as-prepared LIS demonstrated superior resistance to mechanical damage due to a self-healing effect by the lubricant. As shown by cryo-SEM observation and PDP tests, the micro-cracks formed on the LIS can be instantaneously repaired by the in-flow of the oil from the surrounding surface. In the tribological tests, the LIS also presented high wear resistance and superior mechanical durability.","Anodic aluminum oxide; Corrosion; Lubricant-infused surface; Self-healing; Wear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-23","","","(OLD) MSE-6","","",""
"uuid:8fb4247c-efc7-4f69-b5b0-0a8f3965e1b5","http://resolver.tudelft.nl/uuid:8fb4247c-efc7-4f69-b5b0-0a8f3965e1b5","Chemical deformation of metakaolin based geopolymer","Li, Z. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Zuo, Y. (TU Delft Materials and Environment); Chen, Wei (Wuhan University of Technology); Ye, G. (TU Delft Materials and Environment)","","2019","Chemical deformation (chemical shrinkage/expansion), the absolute volume change during reactions, is a key parameter influencing the volume stability, especially the autogenous deformation of a binder material. This work, for the first time, reports an in-depth investigation on the chemical deformation of metakaolin based geopolymer (MKG). Unlike ordinary Portland cement-based binders with monotonic chemical shrinkage, MKG experiences three stages of chemical deformations: chemical shrinkage in the first stage, chemical expansion afterward and chemical shrinkage again in the final stage. Various experimental techniques (XRD, FTIR and NMR) plus theoretical calculations are applied to explore the mechanisms behind the chemical deformation of MKG. Clear correlations are found between the chemical deformations and the reaction processes during geopolymerization. A conceptual chemical deformation model for geopolymer is summarised. The insights into the chemical deformation provided by this study will play a fundamental role in further understanding, controlling and even utilizing the deformation behaviours of geopolymers.","Chemical expansion; Chemical shrinkage; Geopolymer; Metakaolin; Volume change","en","journal article","","","","","","Accepted Author Manuscript","","2021-03-22","","","Materials and Environment","","",""
"uuid:ac2a2267-686e-413a-85af-ec80c28dde48","http://resolver.tudelft.nl/uuid:ac2a2267-686e-413a-85af-ec80c28dde48","Multispectral visual detection method for conveyor belt longitudinal tear","Hou, Chengcheng (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Xiong, Xiaoyan (Taiyuan University of Technology)","","2019","As an important part of modern coal mine production, conveyor belts are widely used in the coal collection and transportation. In order to ensure the safe operation of the coal mine conveyor belt and solve the drawbacks of the existing conveyor belt longitudinal tear detection technology, a multispectral visual detection method for conveyor belt longitudinal tear is proposed in this paper. The experimental results show that the multispectral visual detection method not only can identify the conveyor belt longitudinal tear, but also accurately classifies and identify other states of the conveyor belt. The accuracy of multispectral visual detection method is over 90.06%, and the precision of longitudinal tearing recognition is over 92.04%. The proposed method is verified to meet the requirements of reliability and real-time in the industrial field.","Conveyor belt; Image fusion; Longitudinal tear; Multispectral visual detection","en","journal article","","","","","","Accepted Author Manuscript","","2021-05-20","","","Transport Engineering and Logistics","","",""
"uuid:1e17d267-5896-4c62-bb11-da2b80c97978","http://resolver.tudelft.nl/uuid:1e17d267-5896-4c62-bb11-da2b80c97978","Corrosion fatigue behavior of additively manufactured biodegradable porous iron","Li, Y. (TU Delft Biomaterials & Tissue Biomechanics); Lietaert, K. (Katholieke Universiteit Leuven; 3D Systems – LayerWise NV); Li, W. (TU Delft (OLD) MSE-3); Zhang, X.Y. (Tsinghua University); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2019","The corrosion fatigue behavior of additively manufactured topologically ordered porous iron based on diamond unit cells was studied for the first time to understand its response to cyclic loading in a simulated physiological environment. The material exhibited high fatigue resistance with fatigue strengths being 70% and 65% of yield stress in air and revised simulated body fluid, respectively, mainly due to its slow degradation and excellent ductility. However, cyclic loading significantly increased biodegradation rate, especially at higher stress levels. The observed extraordinary fatigue strength, slow biodegradation and high ductility underline the importance of porous iron as a promising bone-substituting material.","Additive manufacturing; Biodegradation; Corrosion fatigue; Iron scaffold; Selective laser melting","en","journal article","","","","","","Accepted Author Manuscript","","2021-06-05","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:6d07caff-c0b8-4358-8478-af2f7ced5b19","http://resolver.tudelft.nl/uuid:6d07caff-c0b8-4358-8478-af2f7ced5b19","Lateral resistance of polyurethane-reinforced ballast with the application of new bonding schemes: Laboratory tests and discrete element simulations","Jing, Guoqing (Beijing Jiaotong University); Zhang, Xu (Guangdong University of Technology); Jia, W. (TU Delft Railway Engineering)","","2019","To mitigate the ballast flight risk in the high-speed railway, this paper presents three new polyurethane bonding schemes which have negligible influence to tamping operations. With the application of these bonding schemes, a series of laboratory tests indicated that the polyurethane-reinforced ballast exhibited much larger lateral resistance than the ordinary ballast by 31% at least. Discrete element simulation results further demonstrated that the polyurethane improved the load-bearing capacity of the ballast at the particle scale through effectively restraining the particle movement. Therefore, the proposed bonding schemes ensure adequate lateral ballast resistance and are effective measures for improving the ballast performance.","Ballast; Discrete element method; High-speed railway; Lateral resistance; Polyurethane; Single sleeper pull-out test","en","journal article","","","","","","Accepted Author Manuscript","","2021-06-20","","","Railway Engineering","","",""
"uuid:6ac32251-bf6d-4261-b70e-2b3f23b285a8","http://resolver.tudelft.nl/uuid:6ac32251-bf6d-4261-b70e-2b3f23b285a8","Design and characterization of zero magnetic field chambers for high efficiency neutron polarization transport","Dadisman, Ryan (Oak Ridge National Laboratory); Shen, Jiazhou (Indiana University - Purdue University); Feng, Hao (Indiana University - Purdue University); Crow, Lowell (Oak Ridge National Laboratory); Jiang, Chenyang (Oak Ridge National Laboratory); Wang, Tianhao (Oak Ridge National Laboratory); Zhang, Yuxuan (Oak Ridge National Laboratory); Bilheux, Hassina (Oak Ridge National Laboratory); Parnell, S.R. (TU Delft RID/TS/Instrumenten groep)","","2019","Several methods of polarized neutron scattering call for a zero magnetic field (ZF) region to reduce magnetic field integral aberrations while preserving the neutron polarization. Though the design for large angle neutron scattering has been presented in various places, the design characterization and tuning has not been discussed before. In this report, the tuning procedure will be discussed with both neutron polarization transport method and utilization of fluxgate magnetometers. As a tuning procedure, polarized neutrons are sensitive to any local field distortions along all trajectories within the beam, but the process is slow. With fluxgates, the entire beam region cannot be accessed simultaneously, but very fast and precise measurements can be made in accessible regions of interest. Consequently, we would like to benchmark the usage of fluxgates as a fast tuning probe compared with polarization measurements made with neutrons. Polarization transport results for tuned ZF chambers, up to 2.25 m in length, are presented.","Large-angle neutron scattering; Larmor labeling; Magnetic Wollaston prisms; Polarization transport; Small-angle neutron scattering; Zero magnetic field","en","journal article","","","","","","Accepted Author Manuscript","","2021-06-18","","","RID/TS/Instrumenten groep","","",""
"uuid:fdff7b72-14b6-43d4-b98e-d1d239c787df","http://resolver.tudelft.nl/uuid:fdff7b72-14b6-43d4-b98e-d1d239c787df","Relative Acoustic Transfer Function Estimation in Wireless Acoustic Sensor Networks","Zhang, J. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2019","In this paper, we present an algorithm to estimate the relative acoustic transfer function (RTF) of a target source in wireless acoustic sensor networks (WASNs). Two well-known methods to estimate the RTF are the covariance subtraction (CS) method and the covariance whitening (CW) approach, the latter based on the generalized eigenvalue decomposition. Both methods depend on the use of the noisy correlation matrix, which, in practice, has to be estimated using limited and (in WASNs) quantized data. The bit rate and the fact that we use limited data records therefore directly affect the accuracy of the estimated RTFs. Therefore, we first theoretically analyze the estimation performance of the two approaches in terms of bit rate. Second, we propose a rate-distribution method by minimizing the power usage and constraining the expected estimation error for both RTF estimators. The optimal rate distributions are found by using convex optimization techniques. The model-based methods, however, are impractical due to the dependence on the true RTFs. We therefore further develop two greedy rate-distribution methods for both approaches. Finally, numerical simulations on synthetic data and real audio recordings show the superiority of the proposed approaches in power usage compared to uniform rate allocation. We find that in order to satisfy the same RTF estimation accuracy, the rate-distributed CW methods consume much less transmission energy than the CS-based methods.","convex optimization; covariance subtraction; covariance whitening; model/data-driven rate distribution; quantization; relative transfer function; Sensor networks","en","journal article","","","","","","Accepted author manuscript","","","","","Signal Processing Systems","","",""
"uuid:fb2d2386-b1ed-4a69-831d-99109bf24293","http://resolver.tudelft.nl/uuid:fb2d2386-b1ed-4a69-831d-99109bf24293","Eco-efficiency assessment of technological innovations in high-grade concrete recycling","Zhang, Chunbo (Universiteit Leiden); Hu, Mingming (Universiteit Leiden; Chongqing University); Dong, L. (City University of Hong Kong); Gebremariam, A.T. (TU Delft Resources & Recycling); Mirand-Xicotencatl, Brenda (Universiteit Leiden); Di Maio, F. (TU Delft Resources & Recycling); Tukker, Arnold (Universiteit Leiden; TNO)","","2019","The increasing volume of Construction and demolition waste (CDW) associated with economic growth is posing challenges to the sustainable management of the built environment. The largest fraction of all the CDW generated in the member states of the European Union (EU) is End-of-life (EOL) concrete. The most widely applied method for EOL concrete recovery in Europe is road base backfilling, which is considered low-grade recovery. The common practice for high-grade recycling is wet process that processes and washes EOL concrete into clean coarse aggregate for concrete manufacturing. It is costly. As a result, a series of EU projects have been launched to advance the technologies for high value-added concrete recycling. A critical environmental and economic evaluation of such technological innovations is important to inform decision making, while there has been a lack of studies in this field. Hence the present study aimed to assess the efficiency of the technical innovations in high-grade concrete recycling, using an improved eco-efficiency analytical approach by integrating life cycle assessment (LCA) and life cycle costing (LCC). Four systems of high-grade concrete recycling were analyzed for comparison: (i) business-as-usual (BAU) stationary wet processing; (ii) stationary advanced dry recovery (ADR); (iii) mobile ADR; (iv) mobile ADR and Heating Air Classification (A&H). An overarching framework was proposed for LCA/LCC-type eco-efficiency assessment conforming to ISO standards. The study found that technological routes that recycle on-site and produce high-value secondary products are most advantageous. Accordingly, policy recommendations are proposed to support the technological innovations of CDW management.","Concrete recycling; Construction and demolition waste; Eco-efficiency assessment; Life cycle assessment; Life cycle costing; Technological innovation","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:d9eef6d7-8dc5-49e0-ab51-a630b07ef323","http://resolver.tudelft.nl/uuid:d9eef6d7-8dc5-49e0-ab51-a630b07ef323","Distributed Rate-Constrained LCMV Beamforming","Zhang, J. (TU Delft Signal Processing Systems); Koutrouvelis, A. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2019","In this letter, we propose a decentralized framework for rate-distributed linearly constrained minimum variance (LCMV) beamforming in wireless acoustic sensor networks. To save the energy usage within the network, we propose to minimize the transmission cost and put a constraint on the noise reduction performance. Subsequently, we decentralize the obtained LCMV filter structure by exploiting an imposed block diagonal form of the noise correlation matrix. As a result, the beamformer weights are calculated in a decentralized fashion and each node can determine its quantization rate locally. Finally, numerical results validate the proposed method.","acoustic sensor networks; distributed beamforming; energy usage; LCMV; noise reduction; Rate allocation","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8cc715a1-18e6-46f8-96f4-0c6ecafe7aae","http://resolver.tudelft.nl/uuid:8cc715a1-18e6-46f8-96f4-0c6ecafe7aae","Pulse length, energy spread, and temporal evolution of electron pulses generated with an ultrafast beam blanker","Weppelman, I.G.C. (TU Delft ImPhys/Charged Particle Optics; TU Delft ImPhys/Imaging Physics); Moerland, R.J. (TU Delft ImPhys/Quantitative Imaging; TU Delft ImPhys/Imaging Physics); Zhang, L (TU Delft ImPhys/Imaging Physics; Beihang University); Kieft, E. (TU Delft Architecture and the Built Environment); Kruit, P. (TU Delft ImPhys/Imaging Physics; TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics; TU Delft ImPhys/Imaging Physics)","","2019","Crucial for the field of ultrafast electron microscopy is the creation of sub-picosecond, high brightness electron pulses. The use of a blanker to chop the beam that originates from a high brightness Schottky source may provide an attractive alternative to direct pulsed laser illumination of the source. We have recently presented the concept of a laser-triggered ultrafast beam blanker and argued that generation of 100 fs pulses could be possible [Weppelman et al., Ultramicroscopy 184, 8-17 (2017)]. However, a detailed analysis of the influence of a deflection field changing sign on sub-picoseconds time scale on the quality of the resulting electron pulses has so far been lacking. Here, we present such an analysis using time-dependent, three-dimensional numerical simulations to evaluate the time-evolution of deflection fields in and around a micrometers-scale deflector connected to a photo-conductive switch. Further particle tracing through the time-dependent fields allows us to evaluate beam quality parameters such as energy spread and temporal broadening. We show that with a shielded, ""tunnel-type"" design of the beam blanker limiting the spatial extent of fringe fields outside the blanker, the blanker-induced energy spread can be limited to 0.5 eV. Moreover, our results confirm that it could be possible to bring laser-triggered 100 fs focused electron pulses on the sample using a miniaturized ultrafast beam blanker. This would enable us to resolve ultrafast dynamics using focused electron pulses in an SEM or STEM.","OA-Fund TU Delft","en","journal article","","","","","","","","","Architecture and the Built Environment","ImPhys/Imaging Physics","ImPhys/Charged Particle Optics","","",""
"uuid:e4addb49-337d-4572-b843-a84cba9441ab","http://resolver.tudelft.nl/uuid:e4addb49-337d-4572-b843-a84cba9441ab","Improved set pair analysis and its application to environmental impact evaluation of dam break","Wu, Meimei (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University); Li, Zongkun (Zhengzhou University); Wu, Zening (Zhengzhou University); Zhang, Hexiang (Zhengzhou University); Li, Juanjuan (Design and Research Institute Co. Ltd. of Gansu Province); Pan, Yipeng (Zhengzhou University)","","2019","Despite the rapid development of risk analysis in dam engineering, there is a relative absence of research on the environmental impact of dam break. As a systematic theory, set pair analysis has a good effect in dealing with uncertainties, although the result is relatively rough and easy to distort. A connection degree of five grades and a generalized set of potential are introduced to improve traditional set pair analysis. Combined with the index system, the evaluation model of the environmental impact of dam break is established, which is based on generalized set pair analysis. Taking Sheheji Reservoir dam as an example, a comparison of evaluation results of fuzzy mathematics theory and generalized set pair analysis is made, which verifies the scientificity and practicability of the method proposed in this paper. The results show that the evaluation grade of the environmental impact of dam break at Sheheji Reservoir is serious, and appropriate management measures should be taken to reduce the risk.","Dam break; Environmental impact; Generalized set pair analysis; Risk evaluation","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:85aa81cb-4cf0-48c7-a9fc-95f6cef248bf","http://resolver.tudelft.nl/uuid:85aa81cb-4cf0-48c7-a9fc-95f6cef248bf","Transmission compensated primary reflection retrieval in the data domain and consequences for imaging","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2019","We have developed a scheme that retrieves primary reflections in the two-way traveltime domain by filtering the data. The data have their own filter that removes internal multiple reflections, whereas the amplitudes of the retrieved primary reflections are compensated for two-way transmission losses. Application of the filter does not require any model information. It consists of convolutions and correlations of the data with itself. A truncation in the time domain is applied after each convolution or correlation. The retrieved data set can be used as the input to construct a better velocity model than the one that would be obtained by working directly with the original data and to construct an enhanced subsurface image. Two 2D numerical examples indicate the effectiveness of the method. We have studied bandwidth limitations by analyzing the effects of a thin layer. The presence of refracted and scattered waves is a known limitation of the method, and we studied it as well. Our analysis indicates that a thin layer is treated as a more complicated reflector, and internal multiple reflections related to the thin layer are properly removed. We found that the presence of refracted and scattered waves generates artifacts in the retrieved data.","acoustic; internal multiples","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-10-26","","","Applied Geophysics and Petrophysics","","",""
"uuid:529ee65c-4233-46a3-95f7-81e6e039cad2","http://resolver.tudelft.nl/uuid:529ee65c-4233-46a3-95f7-81e6e039cad2","Scenario-based extreme flood risk of residential buildings and household properties in Shanghai","Shan, Xinmeng (Shanghai Normal University); Wen, Jiahong (Shanghai Normal University); Zhang, Min (Shanghai Normal University; East China Normal University); Wang, Luyang (Shanghai Normal University); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Li, Weijiang (Shanghai Normal University); Du, Shiqiang (Shanghai Normal University); Shi, Yong (Zhengzhou University); Chen, Kun (Shanghai Normal University)","","2019","Extreme flooding usually causes huge losses of residential buildings and household properties, which is critical to flood risk analysis and flood resilience building in Shanghai. We developed a scenario-based multidisciplinary approach to analyze the exposure, losses and risks of residential buildings and household properties, and their spatial patterns at the neighborhood committee level in Shanghai, based on extreme storm flood scenarios of 1/200, 1/500, 1/1000 and 1/5000-year. Our findings show that the inundation area of the residential buildings caused by a 1/200-year storm flood reaches 24.9 km2, and the total loss of residential buildings and household properties is 29.7 billion CNY (Chinese Yuan) (or 4.4 billion USD), while the inundation area of residential buildings and the total loss increases up to 162.4 km2 and 366.0 billion CNY (or 54.2 billion USD), respectively for a 1/5000-year storm flood. The estimated average annual loss (AAL) of residential buildings and household properties for Shanghai is 590 million CNY/year (or 87.4 million USD/year), with several hot spots distributed around the main urban area and on the bank of the Hangzhou Bay. Among sixteen districts, Pudong has the highest exposure and annual expected loss, while the inner city is also subject to extreme flooding with an AAL up to near half of the total. An analysis of flood risk in each of 209 subdistricts/towns finds that those most vulnerable to storm flooding are concentrated in Pudong, Jiading, Baoshan Districts and the inner city. Our work can provide meaningful information for risk-sensitive urban planning and resilience building in Shanghai. The methodology can also be used for risk analysis in other coastal cities facing the threat of storm flooding.","Extreme flooding; Household property; Residential building; Risk analysis; Shanghai","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:6b211aee-dbf2-47ef-a0c3-cf4947b633de","http://resolver.tudelft.nl/uuid:6b211aee-dbf2-47ef-a0c3-cf4947b633de","Reliability Assessment of Light-Emitting Diode Packages with Both Luminous Flux Response Surface Model and Spectral Power Distribution Method","Chen, Wei (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Fan, J. (TU Delft Electronic Components, Technology and Materials; Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Qian, Cheng (Beihang University); Pu, Bin (Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","The inherent luminous characteristics and stability of LED packages during the operation period are highly dependent on their junction temperatures and driving currents. In this paper, the luminous flux of LED packages operated under a wide range of driving currents and junction temperatures are investigated to develop a luminous flux response surface model. The coefficients of the proposed model are further extracted to compare the luminous efficacy decay mechanisms of LED packages with different packaging structures. Furthermore, a spectral power distribution (SPD) method modeled by the Gaussian function is proposed to analyze the long-term degradation mechanisms of all selected LED packages. The results of this study show that: (1) The luminous flux of phosphor converted white LED decreases to accompany with the increase of junction temperature, while that of bare blue LED die keeps relatively stable; (2) The proposed general luminous flux response surface model can be used to predict the luminous flux of LEDs with different packaging technologies accurately, and it can be known from the proposed model that the influences of driving current and temperature on LED chip and phosphor vary with different packaging structures; and (3) The driving current and temperature dependent sensitivities and degradation mechanisms of LED packages can be investigated by using both the luminous flux response surface model and the spectral power distribution method.","degradation mechanism; Light-emitting diode; luminous efficacy decay; luminous flux response surface model; spectral power distribution","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:d07402a3-7a30-45d5-aba8-d2ebabe1fbaf","http://resolver.tudelft.nl/uuid:d07402a3-7a30-45d5-aba8-d2ebabe1fbaf","Passive versus active learning in operation and adaptive maintenance of heating, ventilation, and air conditioning","Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Zhang, Fan (Sun Yat-sen University; Southeast University); Le, Quang Thuan (Student TU Delft; Quy Nhon University); Endel, Petr (Honeywell Prague Laboratory); Holub, Ondrej (Honeywell Prague Laboratory)","","2019","In smart buildings, the models used for energy management and those used for maintenance scheduling differ in scope and structure: while the models for energy management describe continuous states (energy, temperature), the models used for maintenance scheduling describe only a few discrete states (healthy/faulty equipment, and fault typology). In addition, models for energy management typically assume the Heating, Ventilation, and Air Conditioning (HVAC) equipment to be healthy, whereas the models for maintenance scheduling are rarely human-centric, i.e. they do not take possible human factors (e.g. discomfort) into account. As a result, it is very difficult to integrate energy management and maintenance scheduling strategies in an efficient way. In this work, a holistic framework for energy-aware and comfort-driven maintenance is proposed: energy management and maintenance scheduling are integrated in the same optimization framework. Continuous and discrete states are embedded as hybrid dynamics of the system, while considering both continuous controls (for energy management) and discrete controls (for maintenance scheduling). To account for the need to estimate the equipment efficiency online, the solution to the problem is addressed via an adaptive dual control formulation. We show, via a zone-boiler-radiator simulator, that the best economic cost of the system is achieved by active learning strategies, in which control interacts with estimation (dual control design).","Adaptive learning-based control; Energy management; Maintenance scheduling; Smart buildings","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:b9f2e8bd-5954-4977-b496-7411dcd98c67","http://resolver.tudelft.nl/uuid:b9f2e8bd-5954-4977-b496-7411dcd98c67","Conjugated donor-acceptor (D-A) supramolecule catalyst for visible-light-driven photocatalytic removal of bromate in water","Liu, G. (TU Delft Sanitary Engineering; Harbin Institute of Technology); You, Shijie (Harbin Institute of Technology); Zhang, Yujian (Huzhou University); Huang, Hong (Harbin Institute of Technology); Spanjers, H. (TU Delft Sanitary Engineering)","","2019","To guarantee drinking water security, removal of bromate (BrO3 −) has garnered plenty of attention in water treatment. In current study, we have developed a novel conjugated donor-acceptor (D-A) photocatalyst (4,4′'-bis(diphenylamino)-[1,1′:4′,1′'-terphenyl]-2′,5′-dicarbaldehyde, BDTD) with supramolecule architecture assembling via intermolecular C–H···O hydrogen bonds and C–H···π interactions. Both diffuse reflectance spectrum (DRS) and density functional theoretical (DFT) calculations gave the bandgap of Eg = 2.21 eV, clearly indicating the visible-light response of BDTD supramolecule. The calculations showed that BDTD supramolecule could induce nearly 100% removal of BrO3 − stably at pH-neutral condition driven by visible light, accounting for a first-order kinetic constant being one order of magnitude higher than most of the photocatalysts previous reported. As demonstrated by our electron scavenger experiment and DFT calculations, the BDTD supramolecule should undergo the photocatalytic reduction of BrO3 − through direct reduced by the lowest unoccupied molecular orbital of conduction band (potential of −1.705 V versus standard hydrogen electrode) electron. The BDTD supramolecule may serve as an attractive photocatalyst by virtue of response to visible light, efficient charge transfer and separation as well as high photocatalytic activity, which will make the removal of BrO3 − in water much easier, more economical and more sustainable.","BDTD supramolecule; Bromate; Photocatalyst; Visible light","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-21","","","Sanitary Engineering","","",""
"uuid:98dc531c-1d1a-4238-85e7-12bf07c9fe65","http://resolver.tudelft.nl/uuid:98dc531c-1d1a-4238-85e7-12bf07c9fe65","Uptake of heavy metal ions in layered double hydroxides and applications in cementitious materials: Experimental evidence and first-principle study","Li, Bo (Wuhan University of Technology); Zhang, Shizhe (TU Delft Materials and Environment); Li, Qiu (Wuhan University of Technology); Li, Neng (Wuhan University of Technology); Yuan, Bo (Wuhan University of Technology); Chen, Wei (Wuhan University of Technology); Brouwers, H.J.H. (Wuhan University of Technology; Eindhoven University of Technology); Yu, Qingliang (Eindhoven University of Technology)","","2019","The uptake mechanism of heavy metal ions in layered double hydroxides (LDHs) is investigated in this paper via solid-solution exchange experiments and first principle study. The uptake capacities of C-LDHs for heavy metal ions from solutions are experimentally investigated and the structures of LDHs doped with various heavy metal ions are revealed. The doped structures of LDHs are further re-established with first principle calculations. The results show that Cu2+ or Cr3+ ions are immobilized in the form of isomorphic substitution for Mg2+ and Al3+ in the plate of the layered structure, respectively, during reconstruction of calcined LDHs, forming a non-stoichiometric structure. The structure of the Cu2+ doped LDHs is identified as [Mg2+ (1−x)(1−z)Cu2+ (1−x)zAl3+ x(OH)2] An− x/n·yH2O, where z is the molar ratio of Cu2+ to Mg2+. The structure of Cr3+ doped LDHs is identified as [Mg2+ 1−x Cr3+ xzAl3+ x(1−z)(OH)2] An− (x+z)/n·yH2O, where z is the molar ratio of Cr3+ to Al3+. The Cu2+ or Cr3+ ions in the hardened cement paste modified with calcined Mg-Al LDHs as immobilizing admixture can be efficiently removed from the pore solution and chemically stabilized in the structure of LDHs.","First principle calculation; Heavy metal ions; Layered double hydroxides; Solid state NMR","en","journal article","","","","","","Accepted author manuscript","","2021-06-21","","","Materials and Environment","","",""
"uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","http://resolver.tudelft.nl/uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","Seasonal behaviour of tidal damping and residual water level slope in the Yangtze River estuary: Identifying the critical position and river discharge for maximum tidal damping","Cai, Huayang (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai); East China Normal University); Savenije, Hubert (TU Delft Water Resources); Garel, Erwan (University of the Algarve); Zhang, Xianyi (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Guo, Leicheng (East China Normal University); Zhang, Min (Shanghai Normal University); Liu, Feng (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Yang, Qingshu (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai))","","2019","As a tide propagates into the estuary, river discharge affects tidal damping, primarily via a friction term, attenuating tidal motion by increasing the quadratic velocity in the numerator, while reducing the effective friction by increasing the water depth in the denominator. For the first time, we demonstrate a third effect of river discharge that may lead to the weakening of the channel convergence (i.e. landward reduction of channel width and/or depth). In this study, monthly averaged tidal water levels (2003-2014) at six gauging stations along the Yangtze River estuary are used to understand the seasonal behaviour of tidal damping and residual water level slope. Observations show that there is a critical value of river discharge, beyond which the tidal damping is reduced with increasing river discharge. This phenomenon is clearly observed in the upstream part of the Yangtze River estuary (between the Maanshan and Wuhu reaches), which suggests an important cumulative effect of residual water level on tide-river dynamics. To understand the underlying mechanism, an analytical model has been used to quantify the seasonal behaviour of tide-river dynamics and the corresponding residual water level slope under various external forcing conditions. It is shown that a critical position along the estuary is where there is maximum tidal damping (approximately corresponding to a maximum residual water level slope), upstream of which tidal damping is reduced in the landward direction. Moreover, contrary to the common assumption that larger river discharge leads to heavier damping, we demonstrate that beyond a critical value tidal damping is slightly reduced with increasing river discharge, owing to the cumulative effect of the residual water level on the effective friction and channel convergence. Our contribution describes the seasonal patterns of tide-river dynamics in detail, which will, hopefully, enhance our understanding of the nonlinear tide-river interplay and guide effective and sustainable water management in the Yangtze River estuary and other estuaries with substantial freshwater discharge.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:d73abd52-a7e8-4c15-949c-a81e12d51c09","http://resolver.tudelft.nl/uuid:d73abd52-a7e8-4c15-949c-a81e12d51c09","Repairing surface defects of metal parts by groove machining and wire + arc based filling","Li, Y. (TU Delft Cyber-Physical Systems; Harbin Institute of Technology); Han, Qinglin (Harbin Institute of Technology); Horvath, I. (TU Delft Cyber-Physical Systems); Zhang, Guangjun (Harbin Institute of Technology)","","2019","An approach to repairing surface defects of metal parts is proposed, which includes a combined application of (i) groove machining, (ii) wire and arc additive manufacturing (WAAM), and (iii) finishing machining. The completed analysis revealed that (i) the inclination angle of the groove to be machined is strongly influenced by the manufacturing parameters of the WAAM process, and (ii) the WAAM process models designed for fabricating parts on a flat substrate are not appropriate for filling grooves. To overcome these issues, this research investigated the range of variation of the proper inclination angle of the groove. A mathematical model was developed to determine the manufacturing parameters of WAAM that result in a proper filling of the groove. The effectiveness of the proposed fundamentals was investigated in a case study. The experimental results showed that using the proposed approach and the chosen manufacturing parameters resulted in a complete filling of the machined groove. The fabrication error of the main part of the repaired region before the finishing machining was less than 0.3 mm, while the ‘buy-to-fly’ ratio of the deposited material was 92.1%. The proposed approach for morphological repair lends itself to a computer-aided automatic part repair process.","Design principles; Groove machining; Hybrid manufacturing; Metal part repair; Surface defects; Wire and arc additive manufacturing","en","journal article","","","","","","Accepted Author Manuscript","","2021-07-18","","","Cyber-Physical Systems","","",""
"uuid:6c3e20bf-06b4-4881-b743-4f4d06f7c7b6","http://resolver.tudelft.nl/uuid:6c3e20bf-06b4-4881-b743-4f4d06f7c7b6","A photo-enzymatic cascade to transform racemic alcohols into enantiomerically pure amines","Gacs, Jenő (Student TU Delft); Zhang, W. (TU Delft BT/Biocatalysis); Knaus, Tanja (Universiteit van Amsterdam); Mutti, Francesco G. (Universiteit van Amsterdam); Arends, I.W.C.E. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2019","The consecutive photooxidation and reductive amination of various alcohols in a cascade reaction were realized by the combination of a photocatalyst and several enzymes. Whereas the photocatalyst (sodium anthraquinone-2-sulfonate) mediated the light-driven, aerobic oxidation of primary and secondary alcohols, the enzymes (various ω-transaminases) catalyzed the enantio-specific reductive amination of the intermediate aldehydes and ketones. The system worked in a one-pot one-step fashion, whereas the productivity was significantly improved by switching to a one-pot two-step procedure. A wide range of aliphatic and aromatic compounds was transformed into the enantiomerically pure corresponding amines via the photo-enzymatic cascade.","Alcohol; Cascade; Photooxidation; Reductive amination; ω-transaminase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:00741788-7a56-4de7-aa87-633e4f70c59a","http://resolver.tudelft.nl/uuid:00741788-7a56-4de7-aa87-633e4f70c59a","Interaction effect of background sound type and sound pressure level on children of primary schools in the Netherlands","Zhang, D. (TU Delft Indoor Environment); Tenpierik, M.J. (TU Delft Building Physics); Bluyssen, P.M. (TU Delft Indoor Environment)","","2019","The acoustic conditions of classrooms received a lot of attention in the last decades because of its important role in school children's comfort and performance. In a previous field study of 54 classrooms from 21 schools in the Netherlands, more than 85% of the 1145 primary school children reported that they were bothered by noise in the classroom. The objective of this study is to identify the effect of background sounds on children's performance, sound evaluation and influence assessment based on a lab study conducted in the SenseLab. 335 school children (9 to 13 years old)from the previous studied schools participated in the lab study. They were subjected to a series of listening tests and evaluations in two acoustic test chambers (acoustically treated or untreated)with one of seven randomly played background sounds: 45 dB(A)or 60 dB(A)traffic noise, 45 dB(A)or 60 dB(A)children talking, 45 dB(A)or 60 dB(A)music, or no sound (≈30 dB(A)). A two-way ANOVA was applied to analyse the interaction effect of sound type and sound pressure level (SPL)on children's performance, sound evaluation and influence assessment in each of the chambers. Statistically significant interactions between the impact of sound type and SPL on children's phonological processing performance and their influence assessments were found in the untreated chamber.","Interaction effect; Music; Noise; Phonological processing; Primary school children; Sound pressure level","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-09","","","Indoor Environment","","",""
"uuid:affc7ad5-1b0e-4570-8ceb-1e0fe6fe1602","http://resolver.tudelft.nl/uuid:affc7ad5-1b0e-4570-8ceb-1e0fe6fe1602","Degree-biased random walk for large-scale network embedding","Zhang, Yunyi (Huazhong University of Science and Technology); Shi, Zhan (Huazhong University of Science and Technology); Feng, Dan (Huazhong University of Science and Technology); Zhan, X. (TU Delft Multimedia Computing)","","2019","Network embedding aims at learning node representation by preserving the network topology. Previous embedding methods do not scale for large real-world networks which usually contain millions of nodes. They generally adopt a one-size-fits-all strategy to collect information, resulting in a large amount of redundancy. In this paper, we propose DiaRW, a scalable network embedding method based on a degree-biased random walk with variable length to sample context information for learning. Our walk strategy can well adapt to the scale-free feature of real-world networks and extract information from them with much less redundancy. In addition, our method can greatly reduce the size of context information, which is efficient for large-scale network embedding. Empirical experiments on node classification and link prediction prove not only the effectiveness but also the efficiency of DiaRW on a variety of real-world networks. Our algorithm is able to learn the network representations with millions of nodes and edges in hours on a single machine, which is tenfold faster than previous methods.","Network embedding; Random walks; Scale-free","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-01","","","Multimedia Computing","","",""
"uuid:0e375bba-a249-4678-8bf9-2c84d80d6a84","http://resolver.tudelft.nl/uuid:0e375bba-a249-4678-8bf9-2c84d80d6a84","A stable discontinuity-enriched finite element method for 3-D problems containing weak and strong discontinuities","Zhang, J. (TU Delft Computational Design and Mechanics); van den Boom, S.J. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2019","A new enriched finite element technique, named the Discontinuity-Enriched Finite Element Method (DE-FEM), was introduced recently for solving problems with both weak and strong discontinuities in 2-D. In this mesh-independent procedure, enriched degrees of freedom are added to new nodes collocated at the intersections between discontinuities and the sides of finite elements of the background mesh. In this work we extend DE-FEM to 3-D and describe in detail the implementation of a geometric engine capable of handling interactions between discontinuities and the background mesh. Several numerical examples in linear elastic fracture mechanics demonstrate the capability and performance of DE-FEM in handling discontinuities in a fully mesh-independent manner. We compare convergence properties and the ability to extract stress intensity factors with standard FEM. Most importantly, we show DE-FEM provides a stable formulation with regard to the condition number of the resulting system stiffness matrix.","DE-FEM; Enriched finite element methods; Fracture mechanics; GFEM; Strong discontinuities; XFEM","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:5234f65d-1663-4295-bea8-6fdb7e1f9dbc","http://resolver.tudelft.nl/uuid:5234f65d-1663-4295-bea8-6fdb7e1f9dbc","Optimisation of NB-IoT deployment for smart energy distribution networks","Nair, Varun (Prodapt Consulting; Student TU Delft); Litjens, R. (TU Delft Network Architectures and Services; TNO); Zhang, Haibin (TNO)","","2019","A suitability assessment and performance optimisation is presented of narrowband Internet of Things (NB-IoT) cellular technology for use in smart energy distribution networks. The focus is on the reliable and timely delivery of outage restoration and management (ORM) messages at the event of a local or regional power outage. Both the cellular NB-IoT and the energy distribution networks are modelled in a system-level simulator, which is used to carry out an extensive sensitivity analysis of the ORM service performance w.r.t. various radio network configurations in different environments. In particular, different packet schedulers are proposed and analysed, addressing device prioritization and subcarrier allocation as essential mechanisms in optimizing the service performance. Furthermore, we consider all three possible NB-IoT spectral deployment modes: in-band, guard-band and stand-alone deployment. Results show that, with a proposed near-optimal radio network configuration, the reliability of the ORM message delivery is close to 100% for the majority of power outage scenarios, while the observed 95th transfer delay percentile for the ORM messages is within the acceptable limit of 20 s. The study concludes that indeed NB-IoT is a suitable technology for supporting ORM services in smart energy distribution networks.","NB-IoT; Outage restoration and management; Performance assessment; Reliability; Smart energy distribution networks; Smart grids; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:0926f09a-d6a9-4bdf-b046-d15d86e85b48","http://resolver.tudelft.nl/uuid:0926f09a-d6a9-4bdf-b046-d15d86e85b48","Experimentally informed fracture modelling of interfacial transition zone at micro-scale","Zhang, H. (TU Delft Materials and Environment); Gan, Y. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2019","The aim of this work is to predict the micromechanical properties of interfacial transition zone (ITZ) by combining experimental and numerical approaches. In the experimental part, hardened cement paste (HCP) cantilevers (200 μm × 100 μm × 100 μm) attached to a quartzite aggregate were fabricated and tested using micro-dicing saw and nanoindenter, respectively. In the modelling, comparable digital specimens were produced by the X-ray computed tomography (XCT) and tested by a discrete lattice model. The fracture model was calibrated by the experimental load-displacement curves and can reproduce the experimental observations well. In the end, the calibrated model was used to predict the mechanical behaviour of ITZ under uniaxial tension, which can be further used as input for the multi-scale analysis of concrete.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-31","","","Materials and Environment","","",""
"uuid:a2951180-d0b5-43ab-9c23-312fe8869c2e","http://resolver.tudelft.nl/uuid:a2951180-d0b5-43ab-9c23-312fe8869c2e","The dynamic behavior of gas hydrate dissociation by heating in tight sandy reservoirs: A molecular dynamics simulation study","Fang, Bin (China University of Geosciences, Wuhan); Ning, Fulong (China University of Geosciences, Wuhan; Qingdao National Laboratory for Marine Science and Technology); Ou, Wenjia (China University of Geosciences, Wuhan); Wang, Dongdong (China University of Geosciences, Wuhan); Zhang, Zhun (China University of Geosciences, Wuhan; Qingdao National Laboratory for Marine Science and Technology); Yu, Yanjiang (Ministry of Land and Resources of China); Lu, Hongfeng (Ministry of Land and Resources of China); Wu, Jianyang (Xiamen University); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2019","Knowledge on the kinetics of gas hydrate dissociation in microporous sediments is very important for developing safe and efficient approaches to gas recovery from natural gas hydrate (NGH) deposits. Herein, molecular dynamics (MD) simulations are used to study the dissociation kinetics in microporous sediments. The hydrate phase occupies a confined sandy nanopore formed by two hydroxylated silica surfaces with a buffering water layer between the hydrate and silica phase, meanwhile, this system is in contact with the bulk phase outside the pore. The hydrates in this sediment system dissociate layer-by-layer in a shrinking core manner. The released methane molecules aggregate and eventually evolve into nanobubbles, most of which are spherical cap-shaped on the hydroxylated silica surfaces. At high initial temperatures, a faster decomposition of the hydrate phase is observed, however, fewer methane molecules migrate to the bulk phase from the pore phase. These phenomena may occur because more methane molecules are released from the hydrate phase and facilitate the formation of nanobubbles with large heat injection; these nanobubbles can stably adsorb on the surface of silica and capture the surrounding methane molecules, thereby decreasing the number of methane molecules in the water phase. In addition, the injection speed of heat flow should be significantly increased at high dissociation temperatures when using the thermal stimulation method to extract gas from hydrates in tight sediments. This study provides molecular level insight into the kinetic mechanism of hydrate dissociation and theoretical guidance for gas production by thermal injection from sediments with low permeabilities.","Dissociation kinetics; Heat injection; Methane hydrate; Molecular simulation; Nanobubbles; Tight sandy sediments","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-10","","","Engineering Thermodynamics","","",""
"uuid:9bf935d7-1a7f-40e9-b7bb-77184bebbfee","http://resolver.tudelft.nl/uuid:9bf935d7-1a7f-40e9-b7bb-77184bebbfee","Numerical parametric study on ultimate load and ductility of concrete encased equal-leg angle steel composite columns","Zhang, Youyou (University of California); Liu, Yuqing (Tongji University); Xin, H. (TU Delft Steel & Composite Structures; Tongji University); He, Jun (Changsha University of Science and Technology)","","2019","Steel-concrete composite high bridge pier has been applied increasingly in China and around the world. Most applied steel type in the composite piers are H-shaped steel and steel pipe, while seldom research or practice is associated with angle steel. This paper conducted parametric study on the composite column with equal-leg angle steel and aimed to investigate the ultimate load and displacement ductility of the composite columns with different parameters. The parameters include the type of shear connector (stud and perfobond connectors), the type of structural steel (H-shaped steel and angle steel), steel-plate hooping ratio, shear-span ratio, and axial compression ratio. Finite element analysis was conducted for each specimen, which incorporated the concrete confinement effect, as well as the inelastic behavior of concrete, structural steel, and longitudinal and transverse steel bars. The equal-leg angle steel composite column was found to have slightly higher strength and displacement ductility than H-shaped steel composite column. The increase of steel-plate hooping result in larger strength and displacement ductility for the composite column, and the increase of shear-span ratio and axial compression ratio decrease the displacement ductility. Research results suggest stud and perfobond shear connectors should be applied as axial compression ratio being larger than 0.2 and 0.3, respectively. This paper provides reference for research and engineering practice of the concrete encased angle steel composite columns and bridge piers.","Confined concrete; Equal-leg angle steel composite columns; Finite element analysis; Perfobond connector; Stud connector; Ultimate load and ductility","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-20","","","Steel & Composite Structures","","",""
"uuid:86521684-e047-449a-98c2-335de1de6fa9","http://resolver.tudelft.nl/uuid:86521684-e047-449a-98c2-335de1de6fa9","Super-Resolution Channel Estimation for Arbitrary Arrays in Hybrid Millimeter-Wave Massive MIMO Systems","Wang, Yue (George Mason University); Zhang, Yu (Nanjing University of Aeronautics and Astronautics; George Mason University); Tian, Zhi (George Mason University); Leus, G.J.T. (TU Delft Signal Processing Systems); Zhang, Gong (Nanjing University of Aeronautics and Astronautics)","","2019","This paper develops efficient channel estimation techniques for millimeter-wave (mmWave) massive multiple-input multiple-output (MIMO) systems under practical hardware limitations, including an arbitrary array geometry and a hybrid hardware structure. Taking on an angle-based approach, this work adopts a generalized array manifold separation approach via Jacobi-Anger approximation, which transforms a non-ideal, non-uniform array manifold into a virtual array domain with a desired uniform geometric structure to facilitate super-resolution angle estimation and channel acquisition. Accordingly, structure-based optimization techniques are developed to effectively estimate both the channel covariance and the instantaneous channel state information (CSI) within a short sensing time. The different time-varying scales of channel path angles versus path gains are capitalized to design a two-step CSI estimation scheme that can quickly sense fading channels. Theoretical results are provided on the fundamental limits of the proposed technique in terms of sample efficiency. For computational efficiency, a fast iterative algorithm is developed via the alternating direction method of multipliers. Other related issues such as spurious-peak cancellation in non-uniform linear arrays and extensions to higher-dimensional cases are also discussed. Simulations testify the effectiveness of the proposed approaches in hybrid mmWave massive MIMO systems with arbitrary arrays.","Arbitrary array; gridless compressive sensing; hybrid structure; Jacobi-Anger approximation; mmWave massive MIMO; super-resolution channel estimation; Vandermonde structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-26","","","Signal Processing Systems","","",""
"uuid:43e3eb49-af3b-4287-aa2b-5497e0d7f00a","http://resolver.tudelft.nl/uuid:43e3eb49-af3b-4287-aa2b-5497e0d7f00a","Cascading g-C3N4 and Peroxygenases for Selective Oxyfunctionalization Reactions","van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis); Tieves, F. (TU Delft BT/Biocatalysis); Choi, Da Som (Korea Advanced Institute of Science and Technology); Park, Chan Beum (Korea Advanced Institute of Science and Technology); Burek, Bastien O. (DECHEMA Forschungsinstitut); Bloh, Jonathan Z. (DECHEMA Forschungsinstitut); Arends, I.W.C.E. (Universiteit Utrecht); Paul, C.E. (TU Delft BT/Biocatalysis); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Hollmann, F. (TU Delft BT/Biocatalysis)","","2019","Peroxygenases are very interesting catalysts for specific oxyfunctionalization chemistry. Instead of relying on complicated electron transport chains, they rely on simple hydrogen peroxide as the stoichiometric oxidant. Their poor robustness against H2O2 can be addressed via in situ generation of H2O2. Here we report that simple graphitic carbon nitride (g-C3N4) is a promising photocatalyst to drive peroxygenase-catalyzed hydroxylation reactions. The system has been characterized by outlining not only its scope but also its current limitations. In particular, spatial separation of the photocatalyst from the enzyme is shown as a solution to circumvent the undesired inactivation of the biocatalyst. Overall, very promising turnover numbers of the biocatalyst of more than 60.000 have been achieved.","cascade reactions; enzyme catalysis; oxidation; oxyfunctionalization; photocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:5d841192-e26d-4a6f-91b4-4229a93dac1d","http://resolver.tudelft.nl/uuid:5d841192-e26d-4a6f-91b4-4229a93dac1d","Lagged settlement in sandy cobble strata and earth pressure on shield tunnel","Huang, Jizhi (South China University of Technology); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ouyang, Xiaowei (Guangzhou University); Xu, Guoyuan (South China University of Technology)","","2019","Lagged settlement is a typical accident induced by shield construction in sandy cobble strata. This paper analyzed the process and mechanism of lagged settlement, results show that all phases are in accord with the characteristics of ellipsoid theory of particle flows. Based on this theory, a method for calculating coefficient of lateral earth pressure and loosened earth pressure is proposed in this research. For the coefficient of lateral earth pressure, the boundary of loosened ellipsoid is divided into two parts, the arch zone and the excavation zone, and the lateral pressure coefficients are derived respectively according to the stress state. For loosened earth pressure on tunnel, the Terzaghi earth pressure theory and Protodyakonov earth pressure theory are adapted in different conditions according to the state of loosened cobble soil. Theories developed in this study can be applied on determination of shield excavation parameters, as well as calculation of loosened earth pressure and control of tunnel support.","Coefficient of lateral earth pressure; Ellipsoid theory of particle flows; Lagged settlement; Loosened earth pressure; Sandy cobble soil","en","journal article","","","","","","In Special Issue: Mathematical Methods in Civil Engineering","","","","","Materials and Environment","","",""
"uuid:0e031e5c-01b3-4f05-b99d-022ae45b05b5","http://resolver.tudelft.nl/uuid:0e031e5c-01b3-4f05-b99d-022ae45b05b5","A method for identifying protein complexes with the features of joint co-localization and joint co-expression in static PPI networks","Zhang, Jinxiong (South China University of Technology; Guangxi University); Zhong, Cheng (Guangxi University); Huang, Yiran (Guangxi University); Lin, H.X. (TU Delft Mathematical Physics); Wang, Mian (Guangxi University)","","2019","Identifying protein complexes in static protein-protein interaction (PPI) networks is essential for understanding the underlying mechanism of biological processes. Proteins in a complex are co-localized at the same place and co-expressed at the same time. We propose a novel method to identify protein complexes with the features of joint co-localization and joint co-expression in static PPI networks. To achieve this goal, we define a joint localization vector to construct a joint co-localization criterion of a protein group, and define a joint gene expression to construct a joint co-expression criterion of a gene group. Moreover, the functional similarity of proteins in a complex is an important characteristic. Thus, we use the CC-based, MF-based, and BP-based protein similarities to devise functional similarity criterion to determine whether a protein is functionally similar to a protein cluster. Based on the core-attachment structure and following to seed expanding strategy, we use four types of biological data including PPI data with reliability score, protein localization data, gene expression data, and gene ontology annotations, to identify protein complexes. The experimental results on yeast data show that comparing with existing methods our proposed method can efficiently and exactly identify more protein complexes, especially more protein complexes of sizes from 2 to 6. Furthermore, the enrichment analysis demonstrates that the protein complexes identified by our method have significant biological meaning.","Core-attachment structure; Joint co-expression; Joint co-localization; Protein complexes; Seed expanding strategy; Static PPI networks","en","journal article","","","","","","Accepted author manuscript","","2020-07-31","","","Mathematical Physics","","",""
"uuid:d1ef55f7-0190-4082-9603-08bec81d6850","http://resolver.tudelft.nl/uuid:d1ef55f7-0190-4082-9603-08bec81d6850","Relaxing the control-gain assumptions of DSC design for nonlinear MIMO systems","Chen, Yong (Air Force Engineering University); Lv, Maolong (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Liu, Zongcheng (Air Force Engineering University); Zhang, Wenqian (Air Force Engineering University); Zhou, Yang (Air Force Engineering University)","","2019","This work focuses on adaptive neural dynamic surface control (DSC) for an extended class of nonlinear MIMO strict-feedback systems whose control gain functions are continuous and possibly unbounded. The method is based on introducing a compact set which is eventually proved to be an invariant set: thanks to this set, the restrictive assumption that the upper and lower bounds of control gain functions must be bounded is removed. This method substantially enlarges the class of systems for which DSC can be applied. By utilizing Lyapunov theorem and invariant set theory, it is rigorously proved that all signals in the closed-loop systems are semi-globally uniformly ultimately bounded (SGUUB) and the output tracking errors converge to an arbitrarily small residual set. A simulation example is provided to demonstrate the effectiveness of the proposed approach.","Adaptive systems; MIMO communication; Stability analysis; Nonlinear systems; Backstepping; Control design","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:047a88f0-9770-46e5-9fff-d8c9119eb19b","http://resolver.tudelft.nl/uuid:047a88f0-9770-46e5-9fff-d8c9119eb19b","MEMS enabled fast time-resolved X-ray diffraction characterization platform for copper nanoparticle sintering in heterogeneous integration applications","Zhang, B. (TU Delft Electronic Components, Technology and Materials); Wei, J. (TU Delft EKL Processing); Bottger, A.J. (TU Delft (OLD) MSE-1); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","We report the design, fabrication and experimental investigation of a MEMS micro-hotplate (MHP) for fast time-resolved X-ray diffraction (TRXRD) study of Cu nanoparticle paste (nanoCu paste) sintering process. The device and its system are designed to have a 60 ms minimum time interval, uniform temperature distribution and variant gas environments. A TRXRD study of nanoCu paste sintering at 200 °C in H2-N2 gas mixture was done using this device. With 1 sec interval, Cu8O reduction and Cu crystallization in sintering is observed. Results can be combined with other studies to optimize material design and process development.","Copper nanoparticle paste; MEMS; Microhotplate; TRXRD","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:4c922dd9-af6b-4487-a75d-36026f89a99e","http://resolver.tudelft.nl/uuid:4c922dd9-af6b-4487-a75d-36026f89a99e","Photoemission sources and beam blankers for ultrafast electron microscopy","Zhang, L. (TU Delft ImPhys/Charged Particle Optics; Beihang University); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics); Cook, B.J. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2019","Observing atomic motions as they occur is the dream goal of ultrafast electron microscopy (UEM). Great progress has been made so far thanks to the efforts of many scientists in developing the photoemission sources and beam blankers needed to create short pulses of electrons for the UEM experiments. While details on these setups have typically been reported, a systematic overview of methods used to obtain a pulsed beam and a comparison of relevant source parameters have not yet been conducted. In this report, we outline the basic requirements and parameters that are important for UEM. Different types of imaging modes in UEM are analyzed and summarized. After reviewing and analyzing the different kinds of photoemission sources and beam blankers that have been reported in the literature, we estimate the reduced brightness for all the photoemission sources reviewed and compare this to the brightness in the continuous and blanked beams. As for the problem of pulse broadening caused by the repulsive forces between electrons, four main methods available to mitigate the dispersion are summarized. We anticipate that the analysis and conclusions provided in this manuscript will be instructive for designing an UEM setup and could thus push the further development of UEM.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:e3deaadb-0f89-43f0-8fa8-339fdfc9f538","http://resolver.tudelft.nl/uuid:e3deaadb-0f89-43f0-8fa8-339fdfc9f538","Nanoengineering of Crystal and Amorphous Surfaces of Pharmaceutical Particles for Biomedical Applications","Zhang, Di (AstraZeneca); La Zara, D. (TU Delft ChemE/Product and Process Engineering); Quayle, Michael J. (AstraZeneca); Petersson, Gunilla (AstraZeneca); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Folestad, Staffan","","2019","The morphology, size, and surface properties of pharmaceutical particles form an essential role in the therapeutic performance of active pharmaceutical ingredients (APIs) and excipients as constituents in various drug delivery systems and clinical applications. Recent advances in methods for surface modification, however, rely heavily on liquid-phase-based modification processes and afford limited control over the thickness and conformality of the coating. Atomic layer deposition (ALD), on the other hand, enables the formation of conformal nanoscale films on complex structures with thickness control on the molecular level, while maintaining the substrate particle size and morphology. Moreover, this enables nanoengineering of surfaces of pharmaceutical particles also in the dry state. Successful nanoengineeering of crystal and amorphous surfaces of pharmaceutical particles is demonstrated in this study whereby functional properties, such as dissolution and dispersibility, were tailored for drug delivery applications. This expands on our initial work on ALD of alumina on pharmaceutical particles within the lower micro- to higher nanosize ranges to here probe both crystalline and amorphous lactose substrate surfaces (d50 = 3.5 and 21 μm). In addition, both water and ozone coreactants were evaluated, the latter having not been evaluated previously for pharmaceutical particles. The deposition process is carried out at ambient conditions in a fluidized bed reactor for a low number of cycles (i.e., from 4 to 14). Improved dissolution and extended release were achieved by the ALD nanoengineering of both crystalline and amorphous surfaces. This novel concept opens up exciting opportunities to produce more complex materials and structures using temperature- and moisture-sensitive drugs, e.g., targeting and drug delivery opportunities, as well as delivering new functionalities for novel applications in the pharmaceutical, medical, biological, and advanced materials fields. The prospects for advancing inhaled drug delivery are exemplified by the ALD surface nanoengineering concept.","alumina; atomic layer deposition; improved dispersibility; lactose; pharmaceutical; powders; slowed dissolution; surface modification","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-01","","","ChemE/Product and Process Engineering","","",""
"uuid:4f1e7a48-5c5b-4194-aa09-f1dc73f36661","http://resolver.tudelft.nl/uuid:4f1e7a48-5c5b-4194-aa09-f1dc73f36661","Kinetically driven ordering in phase separating alloys","Zhang, X. (TU Delft (OLD) MSE-7); Sluiter, M.H.F. (TU Delft (OLD) MSE-7)","","2019","It is shown that in substitutional alloys, peculiar ordered patterns can result from neighborhood-dependent diffusion activation barriers even when there are no metastable ordered phases. Lattice gases with pure phase separation character are shown to exhibit transient ordered structures that can be retained almost indefinitely, although these structures are not at thermodynamic equilibrium. It is shown that such structures can come about relatively easily by quenching from the high-temperature configurationally random solid solution.","","en","journal article","","","","","","","","","","","(OLD) MSE-7","","",""
"uuid:19269db5-564d-4764-8432-da7879ca5f01","http://resolver.tudelft.nl/uuid:19269db5-564d-4764-8432-da7879ca5f01","Maximum power of saline and fresh water mixing in estuaries","Zhang, Z. (TU Delft Water Resources; Guangdong Research Institute of Water Resources and Hydropower); Savenije, Hubert (TU Delft Water Resources)","","2019","According to Kleidon (2016), natural systems evolve towards a state of maximum power, leading to higher levels of entropy production by different mechanisms, including gravitational circulation in alluvial estuaries. Gravitational circulation is driven by the potential energy of fresh water. Due to the density difference between seawater and river water, the water level on the riverside is higher. The hydrostatic forces on both sides are equal but have different lines of action. This triggers an angular moment, providing rotational kinetic energy to the system, part of which drives mixing by gravitational circulation, lifting up heavier saline water from the bottom and pushing down relatively fresh water from the surface against gravity; the remainder is dissipated by friction while mixing.With a constant freshwater discharge over a tidal cycle, it is assumed that the gravitational circulation in the estuarine system performs work at maximum power. This rotational flow causes the spread of salinity inland, which is mathematically represented by the dispersion coefficient. In this paper, a new equation is derived for the dispersion coefficient related to density-driven mixing, also called gravitational circulation. Together with the steady-state advection-dispersion equation, this results in a new analytical model for densitydriven salinity intrusion. The simulated longitudinal salinity profiles have been confronted with observations in a myriad of estuaries worldwide. It shows that the performance is promising in 18 out of 23 estuaries that have relatively large convergence length. Finally, a predictive equation is presented to estimate the dispersion coefficient at the downstream boundary. Overall, the maximum power concept has provided a new physically based alternative for existing empirical descriptions of the dispersion coefficient for gravitational circulation in alluvial estuaries.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:6db72bfd-c8a5-4cc3-a639-20768c8a0863","http://resolver.tudelft.nl/uuid:6db72bfd-c8a5-4cc3-a639-20768c8a0863","Review of the recent progress on GaN-based vertical power Schottky barrier diodes (SBDs)","Sun, Y. (TU Delft Electronic Components, Technology and Materials); Kang, Xuanwu (Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Lu, Jiang (Chinese Academy of Sciences); Tian, Xiaoli (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Wu, Hao (Chinese Academy of Sciences); Wang, Wenbo; Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","Gallium nitride (GaN)-based vertical power Schottky barrier diode (SBD) has demonstrated outstanding features in high-frequency and high-power applications. This paper reviews recent progress on GaN-based vertical power SBDs, including the following sections. First, the benchmark for GaN vertical SBDs with different substrates (Si, sapphire, and GaN) are presented. Then, the latest progress in the edge terminal techniques are discussed. Finally, a typical fabrication flow of vertical GaN SBDs is also illustrated briefly.","Edge termination techniques; GaN; Schottky barrier diode (SBD); Vertical power devices","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f6d1d9d2-4863-41ea-aa07-0c6e36e4623c","http://resolver.tudelft.nl/uuid:f6d1d9d2-4863-41ea-aa07-0c6e36e4623c","Characterization of PCB Embedded Package Materials for SiC MOSFETs","Hou, F. (TU Delft DC systems, Energy conversion & Storage; Institute of Microelectronics of Chinese Academy of Sciences); Wang, W. (TU Delft DC systems, Energy conversion & Storage); Lin, Tingyu (National Center for Advanced Packaging); Cao, Liqiang (Chinese Academy of Sciences; National Center for Advanced Packaging); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ferreira, Jan Abraham (TU Delft ESE Programmes)","","2019","In this paper, a novel fan-out panel-level printed circuit board (PCB) embedded package technology for silicon carbide (SiC) MOSFET power module is presented to address parasitic inductances, heat dissipation, and reliability issues that are inherent with aluminum wires used in conventional packaging scheme. To withstand high temperature beyond 175 °C and high voltage over 1.2 kV and improve thermomechanical reliability of the fan-out panel-level PCB embedded SiC power module, bismaleimide-triazine (BT) laminate and prepreg with high-temperature stability, high dielectric strength, coefficient of thermal expansion (CTE) matching with SiC, and high T-g are selected as PCB embedded package materials. Then, high-temperature stabilities, dielectric breakdown strength, and thermomechanical performances of the embedded materials are characterized. The experimental results show that the PCB embedded materials can withstand high temperature beyond 200 °C and a high voltage above 1.2 kV. T-g is as high as over 260 °C, and CTE is matching with SiC. Besides, in order to provide one guideline for the high-temperature and high-pressure laminating process during the PCB embedded SiC MOSFETs packaging, cure kinetics of BT prepreg are analyzed. The results show that 1-h curing time at 280 °C curing temperature and 2-h curing time at 210 °C curing temperature can ensure the full cure of the BT prepreg.","High temperature; high voltage; material characterization; printed circuit board (PCB) embedded package; silicon carbide (SiC) MOSFETs","en","journal article","","","","","","Accepted author manuscript","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:710753aa-bdf0-4bf1-8fce-803672ba2417","http://resolver.tudelft.nl/uuid:710753aa-bdf0-4bf1-8fce-803672ba2417","Excitation of unidirectional exchange spin waves by a nanoscale magnetic grating","Chen, Jilei (Beihang University); Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Liu, Chuanpu (Beihang University); Liu, Tao (Colorado State University); Madami, Marco (University of Perugia); Shen, Ka (Beijing Normal University); Zhang, J (Beihang University); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University; Rijksuniversiteit Groningen)","","2019","Magnon spintronics is a prosperous field that promises beyond-CMOS technology based on elementary excitations of the magnetic order that act as information carriers for future computational architectures. Unidirectional propagation of spin waves is key to the realization of magnonic logic devices. However, previous efforts to enhance the magnetostatic surface spin wave nonreciprocity did not realize (let alone control) purely unidirectional propagation. Here we experimentally demonstrate excitation of unidirectional exchange spin waves by a nanoscale magnetic grating consisting of Co nanowires fabricated on an ultrathin yttrium iron garnet film. We explain and model the nearly perfect unidirectional excitation by the chirality of the magneto-dipolar interactions between the Kittel mode of the nanowires and the exchange spin waves of the film. Reversal of the magnetic configurations of film and nanowire array from parallel to antiparallel changes the direction of the excited spin waves. Our results raise the prospect of a chiral magnonic logic without the need to involve fragile surface states.","magnetic interaction; spin dynamics; spin waves; spintronics","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:75ca9ecd-8d03-4e8d-90f2-df60ee360a50","http://resolver.tudelft.nl/uuid:75ca9ecd-8d03-4e8d-90f2-df60ee360a50","Understanding how Amsterdam City tourism marketing addresses cruise tourists’ motivations regarding culture","Dai, T. (TU Delft OLD History of Architecture & Urban Planning; Southeast University); Hein, C.M. (TU Delft History, Form & Aesthetics); Zhang, Tong (Southeast University)","","2019","This paper argues that a gap exists between the marketing of shore excursions and cruise tourists’ expectations of ‘local flavor’ experiences. The paper first establishes that this discrepancy exists, then aims to refine the focus of marketing and promotion, and finally proposes strategies for improving cruise tourists’ satisfaction. Amsterdam, a city eager to attract more cruise tourists, is chosen as the case area to evaluate the alignment of marketing and passenger expectation. The study is based on an analysis of tours proposed by cruise companies, using a word frequency analysis of their narratives, and on structured interviews with 228 passengers. The result indicates that marketers should more effectively focus on and promote ‘local flavor’ experience. They could place a stronger emphasis on local identity by cooperating with more local partners and engaging tourists in product design.","Amsterdam; Cultural tourism; ICT; Motivation; Satisfaction; Sea cruise tourism; Shore excursion; Tourism marketing","en","journal article","","","","","","","","","","","OLD History of Architecture & Urban Planning","","",""
"uuid:c6be9fd2-4a0b-4683-b552-2f7d61d2c662","http://resolver.tudelft.nl/uuid:c6be9fd2-4a0b-4683-b552-2f7d61d2c662","Progressive failure simulation of notched tensile specimen for triaxially-braided composites","Zhao, Zhenqiang (Northwestern Polytechnical University); Dang, Haoyuan (Northwestern Polytechnical University); Xing, Jun (Northwestern Polytechnical University; Civil Aviation Administration of China); Li, X. (TU Delft Structural Integrity & Composites; Northwestern Polytechnical University); Zhang, Chao (Northwestern Polytechnical University); Binienda, Wieslaw K. (The University of Akron); Li, Yulong (Northwestern Polytechnical University)","","2019","The mechanical characterization of textile composites is a challenging task, due to their nonuniform deformation and complicated failure phenomena. This article introduces a three-dimensional mesoscale finite element model to investigate the progressive damage behavior of a notched single-layer triaxially-braided composite subjected to axial tension. The damage initiation and propagation in fiber bundles are simulated using three-dimensional failure criteria and damage evolution law. A traction-separation law has been applied to predict the interfacial damage of fiber bundles. The proposed model is correlated and validated by the experimentally measured full field strain distributions and effective strength of the notched specimen. The progressive damage behavior of the fiber bundles is studied by examining the damage and stress contours at different loading stages. Parametric numerical studies are conducted to explore the role of modeling parameters and geometric characteristics on the internal damage behavior and global measured properties of the notched specimen. Moreover, the correlations of damage behavior, global stress-strain response, and the efficiency of the notched specimen are discussed in detail. The results of this paper deliver a throughout understanding of the damage behavior of braided composites and can help the specimen design of textile composites.","Braided composites; Damage evolution; Mesoscale model; Notched specimen","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:5685c1c7-a2f9-4f2e-8525-9578a1773d7d","http://resolver.tudelft.nl/uuid:5685c1c7-a2f9-4f2e-8525-9578a1773d7d","Determining finite-width-correction factors for fatigue crack growth prediction in GLARE using the equivalent compliance method","Zhao, Yuan (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Wu, Zengwen (Harbin Institute of Technology); Zhou, Zhengong (Harbin Institute of Technology); Fang, Guodong (Harbin Institute of Technology); Zhang, Jiazhen (Harbin Institute of Technology); Benedictus, R. (TU Delft Structural Integrity & Composites)","","2019","Finite-width-correction factors (FWCF) are required for GLARE to accurately predict fatigue crack growth using linear elastic fracture mechanics. As demonstrated in earlier work, these factors effectively correct for the change in specimen compliance, which in GLARE relates to intact fibre layers and the formation of delaminations between these layers and the cracked metal layers. Therefore, the development of delaminations in various GLARE grades were studied using digital image correlation during fatigue crack growth experiments under different maximum stresses and stress ratios. The elongation of GLARE in loading direction was recorded by crosshead displacement during fatigue testing, and was correlated to the observed development of the delamination area. The relationship between effective delamination area and effective GLARE specimen compliance is used as basis for determining explicit formulations for the FWCF for GLARE.","Applied work; Compliance; Delamination; Finite-width-correction factor; GLARE","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-06-01","","","Structural Integrity & Composites","","",""
"uuid:b412b616-9ae1-4e39-a3da-e54e0fdad652","http://resolver.tudelft.nl/uuid:b412b616-9ae1-4e39-a3da-e54e0fdad652","Large eddy simulation with energy-conserving schemes and the smagorinsky model: A note on accuracy and computational efficiency","Mehta, D. (TU Delft Wind Energy; ECN Solar Energy); Zhang, Y. (TU Delft Wind Energy; Dalian University of Technology); van Zuijlen, A.H. (TU Delft Aerodynamics); Bijl, H. (TU Delft Aerodynamics)","","2019","Despite advances in turbulence modelling, the Smagorinsky model remains a popular choice for large eddy simulation (LES) due to its simplicity and ease of use. The dissipation in turbulence energy that the model introduces, is proportional to the Smagorinsky constant, of which many different values have been proposed. These values have been derived for certain simulated test-cases while using a specific set of numerical schemes, to obtain the correct dissipation in energy simply because an incorrect value of the Smagorinsky constant would lead to an incorrect dissipation. However, it is important to bear in mind that numerical codes may suffer from numerical or artificial dissipation, which occurs spuriously through a combination of spatio-temporal and iterative errors. The latter can be controlled through more iterations, the former however, depends on the grid resolution and the time step. Recent research suggests that a complete energy-conserving (EC) spatio-temporal discretisation guarantees zero numerical dissipation for any grid resolution and time step. Therefore, using an EC scheme would ensure that dissipation occurs primarily through the Smagorinsky model (and errors in its implementation) than through the discretisation of the Navier-Stokes (NS) equations. To evaluate the efficacy of these schemes for engineering applications, the article first discusses the use of an EC temporal discretisation as regards to accuracy and computational effort, to ascertain whether EC time advancement is advantageous or not. It was noticed that a simple non-EC explicit method with a smaller time step not only reduces the numerical dissipation to an acceptable level but is computationally cheaper than an implicit-EC scheme for wide range of time steps. Secondly, in terms of spatial discretisation on uniform grids (popular in LES), a simple central-difference scheme is as accurate as an EC spatial discretisation. Finally, following the removal of numerical dissipation with any of the methods mentioned above, one is able to choose a Smagorinsky constant that is nearly independent of the grid resolution (within realistic bounds, for OpenFOAM and an in-house code). This article provides impetus to the efficient use of the Smagorinsky model for LES in fields such as wind farm aerodynamics and atmospheric simulations, instead of more comprehensive and computationally demanding turbulence models.","Energy-conserving schemes; Isotropic homogeneous turbulence; Large eddy simulation; Runge-Kutta methods; Smagorinsky model; large eddy simulation; energy-conserving schemes; isotropic homogeneous turbulence","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:45c811fd-920a-47d7-80f8-71e67026e2df","http://resolver.tudelft.nl/uuid:45c811fd-920a-47d7-80f8-71e67026e2df","Damage evolution in a self-healing air plasma sprayed thermal barrier coating containing self-shielding MoSi 2 particles","Chen, Ying (The University of Manchester); Zhang, Xun (The University of Manchester); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Sloof, W.G. (TU Delft (OLD) MSE-1); Xiao, Ping (The University of Manchester; Shanghai Jiao Tong University)","","2019","A self‐healing thermal barrier coating (TBC) system is manufactured by air plasma spraying (APS) and tested by thermal cycling. The ceramic topcoat in the self‐healing APS TBC system consists of an yttria stabilised zirconia (YSZ) matrix and contains self‐shielding aluminium containing MoSi2 healing particles dispersed close to the topcoat/bond coat interface. After spraying the healing particles the material was annealed to promote the formation of an oxygen impermeable Al2O3 shell at the MoSi2‐TBC interfaces by selective oxidation of the aluminium fraction. The samples were subsequently thermally cycled between room temperature and 1100°C. The study focussed on the spontaneous formation of the Al2O3 shell as well as the subsequent damage evolution in the APS produced TBC during thermal cycling. Experimental evidence showing characteristic signs of crack healing in the topcoat is identified and analysed. The study shows that while the concept of the self‐healing APS TBCs containing self‐shielding MoSi2 particles is promising, future study is needed to improve the protectiveness of the Al2O3 shells by further tailoring the aluminium content in the MoSi2 and the particle shape to avoid the premature oxidation of the healing particles and maximise crack healing efficiency.","Al-containing MoSi; APS TBC; oxidation; self-healing; self-shielding; thermal cycling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-01","","","Novel Aerospace Materials","","",""
"uuid:a5cc0787-c7c8-48e4-87ca-0eaf12e838fe","http://resolver.tudelft.nl/uuid:a5cc0787-c7c8-48e4-87ca-0eaf12e838fe","Synthesis of vinyl polymers via enzymatic oxidative polymerisation","Zhang, W. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","Kobayashi, S. (editor); Uyama, H. (editor); Kadokawa, J.-I. (editor)","2019","Enzymatic methods for the polymerisation of vinyl monomers are presented and critically discussed. Vinyl monomers can be polymerised initiated by enzyme-catalysed radical formation. The most widely used initiators for this purpose are β-diketo compounds, which can be transformed into the corresponding radicals via peroxidase- or laccase-catalysed oxidation. For this, peroxidases use hydrogen peroxide as oxidant, while laccases rely on molecular oxygen. Both enzyme classes comprise specific advantages and disadvantages that are discussed in this chapter. Also, parameters to control the polymer properties are introduced and discussed","Polymerisation of vinyl monomers; Laccase; Peroxidase; Biocatalysis","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","BT/Biocatalysis","","",""
"uuid:01057dec-59bb-4a8f-9adb-8e594674afca","http://resolver.tudelft.nl/uuid:01057dec-59bb-4a8f-9adb-8e594674afca","Comparison of Questionnaire Based and User Model Based Usability Evaluation Methods","Li, M. (TU Delft Applied Ergonomics and Design; Xi’an Jiaotong University); Albayrak, A. (TU Delft Applied Ergonomics and Design); Zhang, Yu (Xi’an Jiaotong University); van Eijk, D.J. (TU Delft Applied Ergonomics and Design); Yang, Zengyao (Xi’an Jiaotong University)","Bagnara, Sebastiano (editor); Tartaglia, Riccardo (editor); Albolino, Sara (editor); Alexander, Thomas (editor); Fujita, Yushi (editor)","2019","The usability now serves as a fundamental quality of a computational device, e.g. smartphone. Moreover, the smartphone has firmly embedded into our daily life as an indispensable part, so the context and style that user may interact with them are largely different from a decade ago. Nowadays, testing usability with end user has become a common sense. Thus, how valid a usability evaluation method could assess the ‘extent to which a product can be used by specified users’ (ISO 9241-11) to facilitate software design becomes an interesting question to explore. In this research, three usability evaluation methods are compared. Among these methods, IsoMetrics is a standard questionnaire aiming at offer usability data for summative and formative evaluation; SUMI aims to assess quality of software product from end users perspective; User Model Checklist is a method based on user’s cognition-motor chain in specific tasks. The coverage and amount of usability issues, user’s effort of evaluation and software developer’s feedback on evaluation result are compared under a simulated usability test on SMS function with a smartphone. The result indicate that User Model Checklist could cover 90.4% of the usability issues found by IsoMetrics and SUMI, while 26.3% usability issues found by User Model Checklist could not be covered by IsoMetrics and SUMI. Users put highest effort on accomplish IsoMetrics and lowest effort on User Model Checklist. Moreover, the feedbacks from the developers show that the User Model Checklist requires lower usability knowledge, offers clearer improvement points and supports detailed design better.","IsoMetrics; SUMI; Usability evaluation comparison; User Model Checklist","en","conference paper","Springer","","","","","Accepted author manuscript","","2020-08-12","","","Applied Ergonomics and Design","","",""
"uuid:eec6ef3b-3d9d-4b7d-8d9b-02fa5a4d9245","http://resolver.tudelft.nl/uuid:eec6ef3b-3d9d-4b7d-8d9b-02fa5a4d9245","Applying game theory for adversarial risk analysis in chemical plants","Zhang, L. (TU Delft Safety and Security Science)","Reniers, G.L.L.M.E. (promotor); Delft University of Technology (degree granting institution)","2018","Since the 9/11 attack in New York in 2001, a lot of attention has been paid to the protection of critical infrastructures. Chemical industries are without doubt critical infrastructures due to their extreme importance for society in combination with their vulnerability. They play important roles in modern-life society, from producing and providing daily necessities such as food and energy, to making modern medicine. They are thus truly essential to our modern way of living. Process plants usually store dangerous goods in large quantities, which may pose an important threat to themselves as well as to their surroundings. Moreover, due to a variety of benefits of scale, process plants tend to build their factories geographically together, potentially aggravating the danger. Therefore, the importance of protecting industrial process plants (including those in the chemical industry, the food industry, the energy industry, and others) cannot be overestimated.
Risks caused by human behaviours with the intention to cause losses are defined as security risks. For instance, thieves intentionally intruding a plant for stealing valuable materials, or terrorists maliciously setting a fire on a chemical facility to cause societal fear. Initiators of security events (henceforth, attackers) would intelligently observe the defender’s defence plan and then schedule their attack accordingly. Literature has actually shown how resources can be misallocated if intelligent interactions between the defender and the attacker are not considered.
Game theory was developed in the economic domain for modelling both cooperative and competitive behaviours in a multiple actors system. In the last 100 years, game theory has been theoretically improved and practically applied to various domains, such as evolutionary biology, computer science etc. These researches have demonstrated the capability of game theory in modelling intelligent interactions. Several security management systems based on game theory have been developed and deployed in reality, such as the ARMOR system for the Los Angeles airport, the PROTECT system for the US coast guard, etc.
In this research, game theory is employed to study the protection of chemical industrial areas. Four models are proposed: i) DAMS – an agent-based modelling and simulation approach for assessing domino effects in chemical plants; ii) CPP game – a game theoretic model for single plant protection; iii) CCP game – a game theoretic model for multiple plants protection, by optimizing patrolling; and iv) PPG – a game theoretic model aiming at optimizing pipeline patrolling within or between chemical plants. These models are briefly explained hereafter.","","en","doctoral thesis","","978-94-028-1307-4","","","","","","","","","Safety and Security Science","","",""
"uuid:f5692ae2-4f24-4519-82e0-afe81b3499f3","http://resolver.tudelft.nl/uuid:f5692ae2-4f24-4519-82e0-afe81b3499f3","Laboratory validation of SWASH longshore current modelling","Zhang, R. (TU Delft Coastal Engineering); Zijlema, Marcel (TU Delft Environmental Fluid Mechanics); Stive, M.J.F. (TU Delft Coastal Engineering)","","2018","In this paper, the ability of the numerical phase resolving model SWASH (Simulating WAves till SHore) to hindcast wave-induced longshore currents is evaluated. Using default settings for all processes modelled, highly accurate results are found for wave heights, mean water levels and longshore currents. While wave current interaction is intrinsically modelled, insights into the spatial variation of wave driven longshore currents are found. Additionally, vertical variations of modelled longshore currents have been compared. Depth uniform profiles of longshore current within surf zone are noted on plane beaches under regular waves, except for minor deviations near the shoreline. The apparent validity of a depth-uniform longshore current encourages the use of a depth-averaged moment balance equation to compute the longshore current. A simpler model is shown to also be able to predict a proper magnitude of longshore current, although the cross-shore distribution – in contrast with SWASH - needs tuning for the eddy viscosity and the bottom friction coefficient, since the distribution of the wave-induced longshore current heavily depends on lateral mixing.","longshore current; SWASH (Simulating WAves till SHore); Numerical modelling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-04-16","","","Coastal Engineering","","",""
"uuid:f1fba7c4-0342-4daa-b835-16197761c259","http://resolver.tudelft.nl/uuid:f1fba7c4-0342-4daa-b835-16197761c259","On the physics of applying finite width and geometry correction factors in fatigue crack growth predictions of GLARE","Zhao, Y. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Zhou, Zhengong (Harbin Institute of Technology); Fang, Guodong (Harbin Institute of Technology); Zhang, Jiazhen (Harbin Institute of Technology); Benedictus, R. (TU Delft Aerospace Structures & Materials; TU Delft Structural Integrity & Composites)","","2018","In general, a finite width correction to stress intensity factor (SIF) is required in the fatigue crack growth. The finite width correction factor can be explained physically from the energy point of view. It is assumed that the finite width correction factor primarily constitutes an energy correction factor, i.e. it corrects the applied load for the work applied. To evaluate the finite width correction for FMLs, constant amplitude load fatigue crack growth tests were performed on monolithic aluminium 2024-T3 and the Fibre Metal Laminate GLARE containing 2024-T3 aluminium layers. The loads and displacements were recorded to quantify the total amount of work applied throughout each fatigue test. The crack length and delamination size were monitored by using digital image correlation technique to evaluate the dissipative energy. It appears that the Feddersen's and all other standard finite width correction significantly overestimates the effect for FMLs. The finite width correction to SIF for FMLs is small but cannot be neglected, and it is also greatly related to the Glare grades, stress ratio and stress level.","Energy; Fatigue; Fibre metal laminates; Finite width correction; Stress intensity factor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-01","","Aerospace Structures & Materials","Structural Integrity & Composites","","",""
"uuid:540464dd-7982-41c5-b969-d1802f97793b","http://resolver.tudelft.nl/uuid:540464dd-7982-41c5-b969-d1802f97793b","A CMOS SPAD Imager with Collision Detection and 128 Dynamically Reallocating TDCs for Single-Photon Counting and 3D Time-of-Flight Imaging","Zhang, C. (TU Delft QN/High Resolution Electron Microscopy); Lindner, S.A. (University of Zürich; Swiss Federal Institute of Technology); Antolović, I.M. (Swiss Federal Institute of Technology); Wolf, Martin (University of Zürich); Charbon-Iwasaki-Charbon, E. (TU Delft OLD QCD/Charbon Lab; TU Delft (OLD)Applied Quantum Architectures; Swiss Federal Institute of Technology; Kavli institute of nanoscience Delft)","","2018","Per-pixel time-to-digital converter (TDC) architectures have been exploited by single-photon avalanche diode (SPAD) sensors to achieve high photon throughput, but at the expense of fill factor, pixel pitch and readout efficiency. In contrast, TDC sharing architecture usually features high fill factor at small pixel pitch and energy efficient event-driven readout. While the photon throughput is not necessarily lower than that of per-pixel TDC architectures, since the throughput is not only decided by the TDC number but also the readout bandwidth. In this paper, a SPAD sensor with 32 × 32 pixels fabricated with a 180 nm CMOS image sensor technology is presented, where dynamically reallocating TDCs were implemented to achieve the same photon throughput as that of per-pixel TDCs. Each 4 TDCs are shared by 32 pixels via a collision detection bus, which enables a fill factor of 28% with a pixel pitch of 28.5 μm. The TDCs were characterized, obtaining the peak-to-peak differential and integral non-linearity of -0.07/+0.08 LSB and -0.38/+0.75 LSB, respectively. The sensor was demonstrated in a scanning light-detection-and-ranging (LiDAR) system equipped with an ultra-low power laser, achieving depth imaging up to 10 m at 6 frames/s with a resolution of 64 × 64 with 50 lux background light.","collision detection bus; dynamic reallocation; image sensor; LiDAR; light detection and ranging; single-photon avalanche diode; SPAD; time-of-flight; time-to-digital converter","en","journal article","","","","","","","","","","","QN/High Resolution Electron Microscopy","","",""
"uuid:32824905-6d5e-4274-9a40-78b949c1b873","http://resolver.tudelft.nl/uuid:32824905-6d5e-4274-9a40-78b949c1b873","Parametrization of aerodynamic and canopy resistances for modeling evapotranspiration of greenhouse cucumber","Yan, H. (TU Delft Water Resources; Jiangsu University); Zhang, C. (TU Delft Water Resources; Jiangsu University); Coenders-Gerrits, Miriam (TU Delft Water Resources); Acquah, Samuel Joe (Jiangsu University); Zhang, Hengnian (Jiangsu University); Wu, Haimei (Jiangsu University); Zhao, Baoshan (Jiangsu University); Huang, Song (Jiangsu University); Fu, Hanwen (Jiangsu University)","","2018","Estimating the latent heat flux accurately is important to improve greenhouse crops irrigation schedules. Aerodynamic and canopy resistances, as two key parameters in the Bulk transfer equations, are already difficult to measure in the open field and even more in greenhouses. In this study, an experiment was conducted in a Venlo-type cucumber greenhouse where meteorological data and the latent heat flux were measured with lysimeters. Two methods: (1) Inversing Bulk Transfer equation (IBTE-method) and (2) Appling a convective heat transfer coefficient (CHTC-method), were used to evaluate the aerodynamic resistance. A fixed aerodynamic resistance (= 35 s m−1) was decided by analyzing the sensitivity of heat fluxes to its changes. The reproduced sensible and latent heat flux were compared to the measured values and the good agreements between measured and estimated values were obtained. The variation of daily canopy resistance which was calculated by IBTE-method was simulated by days after transplanting of cucumber plants and net radiation inside the greenhouse. Quadratic polynomial equations between canopy resistance and days after transplant were obtained, and were integrated into the Bulk transfer equation to predict the latent heat flux. The comparing of the measured and estimated latent heat flux showed that the Bulk transfer equation integrating the fixed aerodynamic resistance and canopy resistance sub-model could be used to predict the latent heat flux of greenhouse cucumber with the index of agreement higher than 0.8.","Bulk Transfer equation; Days after transplanting; Latent heat flux; Sensible Heat flux","en","journal article","","","","","","","","2020-08-03","","","Water Resources","","",""
"uuid:c3cd9297-6d49-4ed6-8979-22419b98622f","http://resolver.tudelft.nl/uuid:c3cd9297-6d49-4ed6-8979-22419b98622f","Non-saturated Chloride Diffusion in Sustainable Cementitious Materials","Zhang, Y. (TU Delft Materials and Environment)","van Breugel, K. (promotor); Ye, G. (promotor); Delft University of Technology (degree granting institution)","2018","Chloride-induced reinforcement corrosion, caused by chloride diffusion in the unsaturated concrete cover, is a major durability problem of concrete structures. Current concepts for concrete mixture design and for service life prediction are generally based on the understanding of the chloride diffusion coefficient of saturated concrete. This will introduce uncertainties and give rise to misjudgement of the actual serviceability of concrete structures, especially when supplementary cementitious materials (SCMs) are added in the concrete mixture.
This thesis developed a numerical tool for predicting the chloride diffusion coefficient in cementitious materials at different degrees of water saturation. The tool accounts for the microstructure and moisture distribution in cementitious materials. The tool provided a basis for service life design based on unsaturated chloride diffusion. The results of the thesis emphasize the importance of looking at the chloride diffusion coefficient at unsaturated state, rather than at saturated state, in order to more effectively utilize the SCMs in concrete mixture design.","Supplementary cementitious materials; Pore structure; Degree of water saturation; Relative humidity; Chloride diffusion; Service life","en","doctoral thesis","","978-94-6366-097-6","","","","","","","","","Materials and Environment","","",""
"uuid:2169c0ad-57a9-4f93-8fd2-2a9d0385e0ba","http://resolver.tudelft.nl/uuid:2169c0ad-57a9-4f93-8fd2-2a9d0385e0ba","White rot fungi pretreatment to advance volatile fatty acid production from solid-state fermentation of solid digestate: Efficiency and mechanisms","Fang, W. (TU Delft Sanitary Engineering; Beijing Forestry University; Hunan University); Zhang, Panyue (Beijing Forestry University; Hunan University); Zhang, X. (TU Delft Sanitary Engineering); Zhu, X. (TU Delft Sanitary Engineering); van Lier, J.B. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2018","Anaerobic digestion has been widely applied throughout the world for lignocellulosic biomass treatment and energy recovery. However, the solid digestate from anaerobic digestion still contains a rather large fraction of poorly anaerobic degradable lignocellulosic fibers due to inhibition of lignin, which deeply limits the bioenergy production from lignocelullosic biomass. Therefore, a novel fungal pretreatment method using P. sajor-caju and T. versicolor was investigated to advance the solid-state fermentation of solid digestate and improve the production of fermentative volatile fatty acids (VFAs). The results showed that a maximum VFA yield of 240 mg COD/g VS was obtained from solid digestate pretreated by P. sajor-caju in 6 weeks, which was 1.17-fold and 1.24-fold higher than that of the autoclaved group and raw substrate, respectively. The mechanisms indicated that these fungal strains could grow on the solid digestate and secrete ligninolytic enzymes such as laccase and manganese peroxidase to degrade lignin in different extents. Besides, fungal pretreatment substantially changed the solid digestate characteristics such as cellulose/lignin ratio and the presence of specific functional groups. Moreover, fungal pretreatment using P. sajor-caju effectively damaged the structure and increased surface area and pore size of the solid digestate, which is beneficial to further VFA production.","Anaerobic fermentation; Fungal pretreatment; Solid digestate; VFA production; White rot fungi","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-10","","","Sanitary Engineering","","",""
"uuid:cc67e759-adf7-4949-9ff2-33f441872c79","http://resolver.tudelft.nl/uuid:cc67e759-adf7-4949-9ff2-33f441872c79","The application model of 3D cadastre in practical registration for real estate in China","Zhang, Jiyi; Li, Gang; Liu, Youzhi; Yin, Pengcheng; Yu, Jinyu; Shi, Zhifeng","","2018","Since the introduction of the concept of 3D cadastre, a great many of significant research results have been done. To promote the development of 3D cadatre, 3D cadastre working group sponsored by International Federation Surveyors (FIG) commissions 3 and 7 was organized by Delft University of Technology in 2001. This working group provides a research and communication platform for the 3D cadastral researchers in the world. With the development of 3D cadastre in recent decades, a great many of technologies including 3D cadastral data acquisition, data validation, data visualization and so on have been developed, which met the basic needs of 3D cadastre. Furthermore, 3D cadastre management systems had been carried out in service in several countries and regions include Queensland in Australia, the Netherland, Sweden, and so on. Compared with the above countries and regions, 3D cadastral development in China is relatively backward. Lots of theoretical researches include the data modeling and the register frameworks of 3D cadastre were made by Chinese researchers. However, most of the existing studies are carried out from the perspective of theoretical and with few associated practical applications in cadastral registration and management. The purpose of developing 3D cadastre is to better serve the needs of cadastral management. With the development of nowadays socio-economic, demands for 3D cadastral visualization, multi-purpose real estate registration and other 3D cadastral data services are increasing. This paper mainly introduces the ideas and parts of research results of a joint research project launched by Nanjing Normal University and Bureau of Land and Resources of Xinyi recently. The project is also supported by the Department of Land and Resources of Jiangsu and Bureau of Land and Resources of Xuzhou. The aim of the project is to establish a 3D cadastral management, data visualization and registration model for cadastral management and apply them in regional registration. Firstly, we review the real estate registration development history as the background of this project. Secondly, problems and public complaints refer to current cadastral registration are analyzed as the demands for 3D cadastral management and registration. A 3D cadastral modeling method adapted to practical demands is briefly introduced at last.","social public services; 3D cadaster; real estate; 3D cadastral application; data integration","en","conference paper","","","","","","","","","","","","","",""
"uuid:6d3cee84-0b69-43bb-a5fc-b1eb03a59ef2","http://resolver.tudelft.nl/uuid:6d3cee84-0b69-43bb-a5fc-b1eb03a59ef2","Mapping surface deformation and thermal dilation of arch bridges by structure-driven multi-temporal DInSAR analysis","Qin, Xiaoqiong (Wuhan University; The Hong Kong Polytechnic University); Zhang, Lu (Wuhan University); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Luo, Heng (Wuhan University); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources of China); Ding, Xiaoli (The Hong Kong Polytechnic University)","","2018","Arch bridges are important transportation infrastructures widely distributed in China, but they are prone to structural defects due to aging without routine inspection and maintenance. Therefore, Structural Health Monitoring (SHM) of these bridges is urgently needed by civil engineers to effectively reduce the risk of bridge damage or collapse on public safety. An essential method for SHM, the modern Differential Synthetic Aperture Radar Interferometry (DInSAR) technique, can detect subtle deformation of bridges at relatively low costs. Nevertheless, identifying dense point-like targets (PTs) on such partially coherent arch bridges in SAR image is more difficult than that for other man-made objects, owing to their complex structures and backscattering behaviors. Furthermore, the complex mechanical properties of arch bridges, due to the varying arch-beam interactions, make it hard to separate the surface deformation and thermal dilation accurately, and the lack of specific structural knowledge, that can help to understand the deformation evolution process, limits the global structural risk assessment. Aiming at these problems, we developed a structure-driven multi-temporal DInSAR approach for arch bridge-specific SHM. By introducing three structure-driven steps, i.e. backscattering geometrical interpretation, linear thermal dilation estimation and validation, and Deformation Feature Points (DFPs) based risk assessment, into the traditional DInSAR method, the reliability of PTs identification, thermal dilation separation, and structural risk assessment for arch bridges are significantly improved. The effectiveness of our approach was fairly presented by two case studies of the Rainbow and Lupu bridges, and the experimental results were verified by leveling benchmark validation, cross-sensor comparison, as well as structural-reliability assessment. Our results revealed that arch bridges exhibit a similar pattern of PTs distribution that is dense around piers and sparse on the spans, as well as a symmetrical progressive pattern of surface deformation with the subsidence increasing from piers and reaching a peak at the central spans. In contrast, magnitudes and mechanisms of thermal dilation are different, and highly dependent on the materials and structural characteristics of specific bridges.","Arch bridges; Deformation Feature Points; Structural Health Monitoring (SHM); synthetic aperture radar interferometry (InSAR); Thermal dilation; Time-series analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-03","","","Mathematical Geodesy and Positioning","","",""
"uuid:c5c63401-eed7-4680-8700-7347ab4dd96b","http://resolver.tudelft.nl/uuid:c5c63401-eed7-4680-8700-7347ab4dd96b","Towards understanding stochastic fracture performance of cement paste at micro length scale based on numerical simulation","Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2018","This work presents a study of stochastic fracture properties of cement paste at the micro length scale based on a combination of X-ray computed tomography (XCT) technique and discrete lattice type fracture model. Thirty virtual specimens consisting of pore, outer hydration products, inner hydration products and anhydrous cement particles were extracted from 3D images obtained through XCT from real cement paste samples. These virtual specimens were subjected to a computational uniaxial tension test to calculate their tensile strengths and elastic moduli. The predicted stochastic strengths were analysed using Weibull statistics, showing that specimens with lower w/c ratio yield higher strength and less variability. The strength-porosity and modulus-porosity relations were investigated based on existing empirical models. It was shown that existing models can predict the properties in the studied porosity range quite accurately, with the exponential model having the highest determination coefficient among all the models for both relations. Finally, by comparing the existing data in the literate, it is found that the smaller cement paste specimens have higher modulus/tensile strength ratio, which indicates that they are able to have more strain at the peak load.","Cement paste; Lattice modelling; Micromechanics; X-ray computed tomography","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-07","","","Materials and Environment","","",""
"uuid:6d4639be-d7ca-43f2-879a-33b0d7c00b87","http://resolver.tudelft.nl/uuid:6d4639be-d7ca-43f2-879a-33b0d7c00b87","Coupled hygro-mechanical finite element method on determination of the interlaminar shear modulus of glass fiber-reinforced polymer laminates in bridge decks under hygrothermal aging effects","Jiang, X. (Tongji University); Luo, Chengwei (Tongji University); Qiang, X. (Tongji University); Zhang, Qilin (Tongji University); Kolstein, M.H. (TU Delft Steel & Composite Structures); Bijlaard, F.S.K. (TU Delft Steel & Composite Structures)","","2018","To investigate the mechanical degradation of the shear properties of glass fiber-reinforced polymer (GFRP) laminates in bridge decks under hygrothermal aging effects, short-beam shear tests were performed following the ASTM test standard (ASTM D790-10A). Based on the coupled hygro-mechanical finite element (FE) analysis method, an inverse parameter identification approach based on short-beam shear tests was developed and then employed to determine the environment-dependent interlaminar shear modulus of GFRP laminates. Subsequently, the shear strength and modulus of dry (0% Mt/M∞), moisture unsaturated (30% Mt/M∞ and 50% Mt/M∞), and moisture saturated (100% Mt/M∞) specimens at test temperatures of both 20 °C and 40 °C were compared. One cycle of the moisture absorption-desorption process was also investigated to address how the moisture-induced residual damage degrades the shear properties of GFRP laminates. The results revealed that the shear strength and modulus of moisture-saturated GFRP laminates decreased significantly, and the elevated testing temperature (40 °C) aggravated moisture-induced mechanical degradation. Moreover, an unrecoverable loss of shear properties for the GFRP laminates enduring one cycle of the moisture absorption-desorption process was evident.","Fiber-reinforced polymer composite; Finite element method; Hygrothermal aging effect; Interlaminar shear modulus; Mechanical degradation; Short-beam test; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:b8a68d5b-ffef-401c-b94e-6ee955c5a2cf","http://resolver.tudelft.nl/uuid:b8a68d5b-ffef-401c-b94e-6ee955c5a2cf","From landscape to mindscape: Spatial narration of touristic Amsterdam","Dai, T. (Southeast University); Zhuang, T. (TU Delft OLD Housing Quality and Process Innovation); Yan, J. (TU Delft OLD Housing Systems); Zhang, Tong (Southeast University)","","2018","The cultural attributes of architecture in touristic cities are vital to city image building, city branding, and rebranding, as well as generating more economic profits for sustainable urban development, and protecting cultural sustainability. However, many studies on this theme focus on the singularity of architecture referring to its stylistic or morphological definitions, lacking attention to visitors' cultural experiences in the architectures. Considering the importance of personal experience involved in cultural activities as a process of spatial narration through which architecture makes sense to visitors and generates cultural values, the aim of this paper is to reveal the respective correlations between different types of architecture regarding the cultural experience it imparts and the non-positive dimensions of the city image. This research builds a categorization system of three cultural types of architecture, and designs a questionnaire to collect tourists' personal opinions concerning architectures and the city image of Amsterdam's waterfront in order to calculate such correlations statistically. The results associate architectures with 'tourism-oriented', 'present/process-based', and 'mass' cultural types with non-positive dimensions of city image, which leads to further discussions of 'authenticity', 'identity', and 'mass culture', suggesting the significance of urban cultural policies and local communities in terms of city rebranding.","Amsterdam; Architecture; City image; Cultural attractions; Cultural experience; Cultural tourism; Rebranding; Sustainability; Urban development","en","journal article","","","","","","","","","","","OLD Housing Quality and Process Innovation","","",""
"uuid:44a3f674-f8e0-4414-a92e-fe1dd7139f96","http://resolver.tudelft.nl/uuid:44a3f674-f8e0-4414-a92e-fe1dd7139f96","Denoising controlled-source electromagnetic data using least-squares inversion","Yang, Yang (Central South University China; Shandong University); Li, Diquan (Central South University China); Tong, Tiegang (Central South University China); Zhang, D. (TU Delft ImPhys/Acoustical Wavefield Imaging); Zhou, Yatong (Hebei University of Technology); Chen, Yangkang (Zhejiang University)","","2018","Strong noise is one of the toughest problems in the controlled-source electromagnetic (CSEM) method, which highly affects the quality of recorded data. The three main types of noise existing in CSEM data are periodic noise, Gaussian white noise, and nonperiodic noise, among which the nonperiodic noise is thought to be the most difficult to remove. We have developed a novel and effective method for removing such nonperiodic noise by formulating an inverse problem that is based on inverse discrete Fourier transform and several time windows in which only Gaussian white noise exists. These critical locations, which we call reconstruction locations, can be found by taking advantage of the continuous wavelet transform (CWT) and the temporal derivative of the scalogram generated by CWT. The coefficients of the nonperiodic noise are first estimated using the new least-squares method, and then they are subtracted from the coefficients of the raw data to produce denoised data. Together with the nonperiodic noise, we also remove Gaussian noise using the proposed method. We validate the methodology using real-world CSEM data.","Electromagnetics; Least-squares; Noise; Signal processing; Wavelet","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:2345e0b0-bfb2-4702-b9cd-bc1b2d63ae29","http://resolver.tudelft.nl/uuid:2345e0b0-bfb2-4702-b9cd-bc1b2d63ae29","Assessing the origin of bacteria in tap water and distribution system in an unchlorinated drinking water system by SourceTracker using microbial community fingerprints","Liu, G. (TU Delft Sanitary Engineering; Oasen); Zhang, Ya (University of Illinois at Urbana-Champaign); van der Mark, E.J. (TU Delft Sanitary Engineering; Dunea); Magic-Knezev, Aleksandra (Het Water Laboratorium); Pinto, Ameet (Northeastern University); van den Bogert, Bartholomeus (Baseclear B.V.); Liu, Wentso (University of Illinois at Urbana-Champaign); van der Meer, W.G.J. (University of Twente); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2018","The general consensus is that the abundance of tap water bacteria is greatly influenced by water purification and distribution. Those bacteria that are released from biofilm in the distribution system are especially considered as the major potential risk for drinking water bio-safety. For the first time, this full-scale study has captured and identified the proportional contribution of the source water, treated water, and distribution system in shaping the tap water bacterial community based on their microbial community fingerprints using the Bayesian “SourceTracker” method. The bacterial community profiles and diversity analyses illustrated that the water purification process shaped the community of planktonic and suspended particle-associated bacteria in treated water. The bacterial communities associated with suspended particles, loose deposits, and biofilm were similar to each other, while the community of tap water planktonic bacteria varied across different locations in distribution system. The microbial source tracking results showed that there was not a detectable contribution of source water to bacterial community in the tap water and distribution system. The planktonic bacteria in the treated water was the major contributor to planktonic bacteria in the tap water (17.7–54.1%). The particle-associated bacterial community in the treated water seeded the bacterial community associated with loose deposits (24.9–32.7%) and biofilm (37.8–43.8%) in the distribution system. In return, the loose deposits and biofilm showed a significant influence on tap water planktonic and particle-associated bacteria, which were location dependent and influenced by hydraulic changes. This was revealed by the increased contribution of loose deposits to tap water planktonic bacteria (from 2.5% to 38.0%) and an increased contribution of biofilm to tap water particle-associated bacteria (from 5.9% to 19.7%) caused by possible hydraulic disturbance from proximal to distal regions. Therefore, our findings indicate that the tap water bacteria could possibly be managed by selecting and operating the purification process properly and cleaning the distribution system effectively.","Drinking water distribution system; Microbial community fingerprints; Next generation sequencing; Source to tap; SourceTracker","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:b6379a33-2f28-4960-98a2-f039245a3c16","http://resolver.tudelft.nl/uuid:b6379a33-2f28-4960-98a2-f039245a3c16","Mapping and characterizing thermal dilation of civil infrastructures with multi-temporal X-band synthetic Aperture Radar interferometry","Qin, Xiaoqiong (Wuhan University; The Hong Kong Polytechnic University); Zhang, Lu (Wuhan University); Ding, Xiaoli (The Hong Kong Polytechnic University); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources of China); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University)","","2018","Temperature variation plays a significant role in the long-term structural behaviour of civil infrastructures, but very few quantitative studies have measured and analysed the infrastructures' global thermal dilation because of their large sizes and geometric complexities. The modern Differential Synthetic Aperture Radar Interferometry (DInSAR) technique has great potential in applications of their thermal dilation mapping and characterization due to the techniques' unique capabilities for use in large areas, with high-resolution, and at low-costs for deformation measurements. However, the practical application of DInSAR in thermal dilation estimation is limited by difficulty in the precise separation from the residual topographic phase and the trend deformation phase. Moreover, due to a lack of thermal dilation characteristics analyses in previous studies, the thermal dilation mechanisms are still unclear to users, which restricts the accurate understanding of the thermal dilation evolution process. Given the above challenges, an advanced multi-temporal DInSAR approach is proposed in this study, and the effectiveness of this approach was presented using three cases studies concerning different infrastructure types. In this method, the coherent, incoherent, and semantic information of structures were combined in order to refine the detection of point-like targets (PTs). Interferometric subsets with small temporal baselines and temperature differences were used for high-resolution topography estimation. A pre-analysis was adopted to determine the transmission direction, spatial pattern, and temporal variation of the thermal dilation. Then, both the traditional least squares estimation and our robust coherence-weighted least squares regression analysis were performed between the time series displacements and the corresponding temperatures to quantitatively estimate the thermal dilation model. The results were verified in terms of the estimated linear thermal dilation coefficient, which indicates the greater reliability of our method. Furthermore, the thermal dilation characteristics of different civil infrastructure types were analysed, which facilitates a greater understanding of the thermal dilation evolution process of civil infrastructures.","Civil infrastructures; Least squares; Multi-temporal DInSAR analysis; TerraSAR-X; Thermal dilation characteristics","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:243d3f23-eca8-4a3c-a0fd-414d68b811b9","http://resolver.tudelft.nl/uuid:243d3f23-eca8-4a3c-a0fd-414d68b811b9","Remote Sensing of Droplet Number Concentration in Warm Clouds: A Review of the Current State of Knowledge and Perspectives","Boers, Reinout (Royal Netherlands Meteorological Institute (KNMI)); Knist, Christine (Deutscher Wetterdienst); Russchenberg, H.W.J. (TU Delft Geoscience and Remote Sensing); Werner, Frank (Joint Center for Earth Systems Technology); Wood, Robert (University of Washington); Zhang, Zhibo (CRESST and University of Maryland); Quaas, Johannes (University of Leipzig)","","2018","The cloud droplet number concentration (Nd) is of central interest to improve the understanding of cloud physics and for quantifying the effective radiative forcing by aerosol-cloud interactions. Current standard satellite retrievals do not operationally provide Nd, but it can be inferred from retrievals of cloud optical depth (τc) cloud droplet effective radius (re) and cloud top temperature. This review summarizes issues with this approach and quantifies uncertainties. A total relative uncertainty of 78% is inferred for pixel-level retrievals for relatively homogeneous, optically thick and unobscured stratiform clouds with favorable viewing geometry. The uncertainty is even greater if these conditions are not met. For averages over 1° ×1° regions the uncertainty is reduced to 54% assuming random errors for instrument uncertainties. In contrast, the few evaluation studies against reference in situ observations suggest much better accuracy with little variability in the bias. More such studies are required for a better error characterization. Nd uncertainty is dominated by errors in re, and therefore, improvements in re retrievals would greatly improve the quality of the Nd retrievals. Recommendations are made for how this might be achieved. Some existing Nd data sets are compared and discussed, and best practices for the use of Nd data from current passive instruments (e.g., filtering criteria) are recommended. Emerging alternative Nd estimates are also considered. First, new ideas to use additional information from existing and upcoming spaceborne instruments are discussed, and second, approaches using high-quality ground-based observations are examined.","cloud droplet concentrations; lidar; passive retrievals; radar; remote sensing; satellite","en","journal article","","","","","","","","","","Geoscience and Remote Sensing","","","",""
"uuid:b3e3a462-45b4-4430-8137-0653012e5399","http://resolver.tudelft.nl/uuid:b3e3a462-45b4-4430-8137-0653012e5399","Synthesis and characterization of argyrodite solid electrolytes for all-solid-state Li-ion batteries","Zhang, Zhixia (Yanshan University); Zhang, Long (Yanshan University); Liu, Yanyan (Yanshan University); Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yan, Xinlin (Technische Universität Wien); Xu, Bo (Yanshan University); Wang, L. (Yanshan University)","","2018","Li6PS5X (X = Cl, Br, I) argyrodites possess high ionic conductivity but with rather scattered values due to various processing conditions. In this work, Li6PS5X solid electrolytes were prepared by solid-state sintering or mechanical alloying and optimized with or without excess Li2S. Solid-state sintering prefers excess Li2S, whereas mechanical alloying prefers stoichiometric Li2S to synthesize high-purity samples with high ionic conductivity. Solid-state sintering is also more suitable than mechanical milling for high ionic conductivity. Li6PS5Cl with the highest ionic conductivity among Li6PS5X was comprehensively characterized for electrochemical performance and air stability. MoS2/Li6PS5Cl all-solid-state batteries assembled with Li6PS5Cl-coated MoS2 as cathode and with Li6PS5Cl as solid electrolyte demonstrate high capacity and good cycling stability.","All-solid-state batteries; Argyrodites; LiPSCl; Solid electrolytes; Sulfides","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-08","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:ef0f57af-6708-444d-80d1-5232cdbb8df3","http://resolver.tudelft.nl/uuid:ef0f57af-6708-444d-80d1-5232cdbb8df3","Aeroacoustic analysis of an airfoil with Gurney flap based on time-resolved particle image velocimetry measurements","Zhang, Xueqing (University of Waterloo); Sciacchitano, A. (TU Delft Aerodynamics); Pröbsting, S. (TU Delft Wind Energy)","","2018","Particle image velocimetry for the experimental assessment of trailing edge noise sources has become focus of research in recent years. The present study investigates the feasibility of the noise prediction for high-lift devices based on time-resolved particle image velocimetry (PIV). The model under investigation is a NACA 0015 airfoil with a Gurney flap with a height of 6% of the chord length. The velocity fields around and downstream of the Gurney flap were measured by PIV and used to compute the corresponding pressure fields by solving the Poisson equation for incompressible flows. The reconstructed pressure fluctuations on the airfoil surface constitute the source term for Curle's aeroacoustic analogy, which was employed in both the distributed and compact formulation to estimate the noise emission from PIV. The results of the two formulations are compared with the simultaneous far-field microphone measurements in the temporal and spectral domains. Both formulations of Curle's analogy yield acoustic sound pressure levels in good agreement with the simultaneous microphone measurements for the tonal component. The estimated far-field sound power spectra (SPL) from the PIV measurements reproduce the peak at the vortex shedding frequency, which also agrees well with the acoustic measurements.","Curle's aeroacoustic analogy; Gurney flap noise; Time-resolved PIV","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-01","","","Aerodynamics","","",""
"uuid:7396ab6d-f6a0-467a-8693-3f6862be522d","http://resolver.tudelft.nl/uuid:7396ab6d-f6a0-467a-8693-3f6862be522d","Evaluation of RRSB distribution and lognormal distribution for describing the particle size distribution of graded cementitious materials","Gao, P. (TU Delft Materials and Environment; South China University of Technology); Zhang, Tong Sheng (South China University of Technology; Guangdong Low Carbon Technologies Engineering Center for Building Materials); Wei, Jiang Xiong (South China University of Technology; Guangdong Low Carbon Technologies Engineering Center for Building Materials); Yu, Qi Jun (South China University of Technology; Guangdong Low Carbon Technologies Engineering Center for Building Materials)","","2018","Graded blended cement made of graded Portland cement (PC), blast furnace slag (BFS) and fly ash (FA) is attractive for cement production. For manufacturing graded blended cement, a suitable mathematical expression should be introduced to describe the particle size distribution (PSD) of its components and control the quality of graded blended cement. This study aims to evaluate Rosin-Rammler-Sperling-Bennet (RRSB) distribution and lognormal distribution for describing the PSD of the components of graded blended cement. RRSB distribution and lognormal distribution are used to fit the PSD of ungraded and graded PC, BFS and FA. It is found that lognormal distribution exhibits smaller fitting errors for describing the PSDs of graded PC, BFS, FA and ungraded FA. What is more, lognormal distribution exhibits good simplicity and popularity. Hence, it is recommended to use lognormal distribution to control the PSD of graded blended cement in manufacturing process.","Blast furnace slag; Fly ash; Graded cement; Lognormal distribution; Portland cement; RRSB distribution","en","journal article","","","","","","","","2020-03-15","","","Materials and Environment","","",""
"uuid:21c0153d-98a7-4132-8e27-ee0632180beb","http://resolver.tudelft.nl/uuid:21c0153d-98a7-4132-8e27-ee0632180beb","Comparative performance of different scale-down simulators of substrate gradients in Penicillium chrysogenum cultures: the need of a biological systems response analysis","Wang, G. (East China University of Science and Technology); Zhao, Junfei (East China University of Science and Technology); Haringa, C. (TU Delft ChemE/Transport Phenomena); Tang, W. (East China University of Science and Technology); Xia, Jianye (East China University of Science and Technology); Chu, Ju (East China University of Science and Technology); Zhuang, Yingping (East China University of Science and Technology); Zhang, Siliang (East China University of Science and Technology); Deshmukh, A.T. (DSM); van Gulik, W.M. (TU Delft OLD BT/Cell Systems Engineering); Heijnen, J.J. (TU Delft OLD BT/Cell Systems Engineering); Noorman, H.J. (TU Delft BT/Bioprocess Engineering; DSM)","","2018","In a 54 m3 large-scale penicillin fermentor, the cells experience substrate gradient cycles at the timescales of global mixing time about 20–40 s. Here, we used an intermittent feeding regime (IFR) and a two-compartment reactor (TCR) to mimic these substrate gradients at laboratory-scale continuous cultures. The IFR was applied to simulate substrate dynamics experienced by the cells at full scale at timescales of tens of seconds to minutes (30 s, 3 min and 6 min), while the TCR was designed to simulate substrate gradients at an applied mean residence time ((Formula presented.)) of 6 min. A biological systems analysis of the response of an industrial high-yielding P. chrysogenum strain has been performed in these continuous cultures. Compared to an undisturbed continuous feeding regime in a single reactor, the penicillin productivity (qPenG) was reduced in all scale-down simulators. The dynamic metabolomics data indicated that in the IFRs, the cells accumulated high levels of the central metabolites during the feast phase to actively cope with external substrate deprivation during the famine phase. In contrast, in the TCR system, the storage pool (e.g. mannitol and arabitol) constituted a large contribution of carbon supply in the non-feed compartment. Further, transcript analysis revealed that all scale-down simulators gave different expression levels of the glucose/hexose transporter genes and the penicillin gene clusters. The results showed that qPenG did not correlate well with exposure to the substrate regimes (excess, limitation and starvation), but there was a clear inverse relation between qPenG and the intracellular glucose level.","","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:b5fd172c-b0ac-4023-851e-88b7d0ca31c5","http://resolver.tudelft.nl/uuid:b5fd172c-b0ac-4023-851e-88b7d0ca31c5","Block Copolymer Nanofibrillar Micelles: gelation, manipulation and applications","Zhang, K. (TU Delft ChemE/Advanced Soft Matter)","van Esch, J.H. (promotor); Mendes, E. (copromotor); Delft University of Technology (degree granting institution)","2018","Self-assembly of amphiphilic block copolymers in aqueous solution provides a versatile tool to create complex and functional micelles with various nanostructures, such as spherical, cylindrical and bilayer structures. As an important class in these structures, nanofibrillar micelles have attracted growing interest due to their unique properties that can potentially mimic biological analogues. For example, a great number of nanofibrillar structures, such as actin filaments and collagen gels with filamentous structures, were found in nature systems and have greatly motivated researchers to mimic these systems with synthetic materials. Besides, precise spatiotemporal control and integration of these nanofibrillar structures will offer a powerful strategy for construction of new soft devices in the future. Therefore, in this thesis, we explore the ultra-long, stiff and quenched micelles of diblock copolymers and develop a hybrid approach combining self-assembly of block copolymers and micro-fabrication methods to manipulate these micelles for building soft devices.","","en","doctoral thesis","","978-94-6186-917-3","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:f4e3eb5b-6c8b-43a8-8b9d-80d213984aa8","http://resolver.tudelft.nl/uuid:f4e3eb5b-6c8b-43a8-8b9d-80d213984aa8","Quantized Majorana conductance","Zhang, H. (TU Delft QRD/Kouwenhoven Lab); Liu, Chun Xiao (University of Maryland); Gazibegovic, S. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Xu, D. (TU Delft QRD/Kouwenhoven Lab); Logan, John A. (University of California); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab); van Loo, N. (TU Delft Applied Sciences); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab); de Moor, M.W.A. (TU Delft QRD/Kouwenhoven Lab); Car, D. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Van Veldhoven, Petrus J. (Eindhoven University of Technology); Kölling, S. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Verheijen, M.P.A.M. (TU Delft Integral Design & Management; Eindhoven University of Technology; Philips Research); Pendharkar, Mihir (University of California); Pennachio, Daniel J. (University of California); Shojaei, Borzoyeh (University of California); Lee, Joon Sue (University of California); Palmstrøm, Chris J. (University of California); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; Eindhoven University of Technology); Sarma, S. Das (University of Maryland); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft)","","2018","Majorana zero-modes - a type of localized quasiparticle - hold great promise for topological quantum computing. Tunnelling spectroscopy in electrical transport is the primary tool for identifying the presence of Majorana zero-modes, for instance as a zero-bias peak in differential conductance. The height of the Majorana zero-bias peak is predicted to be quantized at the universal conductance value of 2e 2 /h at zero temperature (where e is the charge of an electron and h is the Planck constant), as a direct consequence of the famous Majorana symmetry in which a particle is its own antiparticle. The Majorana symmetry protects the quantization against disorder, interactions and variations in the tunnel coupling. Previous experiments, however, have mostly shown zero-bias peaks much smaller than 2e 2 /h, with a recent observation of a peak height close to 2e 2 /h. Here we report a quantized conductance plateau at 2e 2 /h in the zero-bias conductance measured in indium antimonide semiconductor nanowires covered with an aluminium superconducting shell. The height of our zero-bias peak remains constant despite changing parameters such as the magnetic field and tunnel coupling, indicating that it is a quantized conductance plateau. We distinguish this quantized Majorana peak from possible non-Majorana origins by investigating its robustness to electric and magnetic fields as well as its temperature dependence. The observation of a quantized conductance plateau strongly supports the existence of Majorana zero-modes in the system, consequently paving the way for future braiding experiments that could lead to topological quantum computing.","Electronic properties and materials; Nanowires; Superconducting properties and materials","en","journal article","","","","","","Accepted Author Manuscript This title has a addendum: editorial expression of concern, see Relations below","","2018-09-28","Applied Sciences","","QRD/Kouwenhoven Lab","","",""
"uuid:bb69a1e8-dc77-430c-9ea8-47208cab9469","http://resolver.tudelft.nl/uuid:bb69a1e8-dc77-430c-9ea8-47208cab9469","Influence of moisture condition on chloride diffusion in partially saturated ordinary Portland cement mortar","Zhang, Y. (TU Delft Materials and Environment); Zhang, M. (University College London (UCL)); Ye, G. (TU Delft Materials and Environment)","","2018","Experiments have been carried out to study the influence of moisture condition, including moisture content and its distribution, on the chloride diffusion in partially saturated ordinary Portland cement mortar. The mortar samples with water-to-cement (w/c) ratios of 0.4, 0.5 and 0.6, cured for 1 year, were preconditioned to uniform water saturations ranging from 18 to 100%. The interior relative humidities of these partially saturated cement mortars, i.e. water vapour desorption isotherm (WVDI), were measured. The WVDI results in relation to the pore structures obtained from the mercury intrusion porosimetry tests of paste samples with the same w/c ratios were analyzed, which provided a basic insight into the moisture distribution in the non-saturated cement mortars. The relative chloride diffusion coefficients of cement mortars at various water saturations were determined based on the Nernst-Einstein equation and conductivity technique. It is found that the relative chloride diffusion coefficient Drc depends on the degree of water saturation Sw and WVDI. At a given Sw level, the Drc is larger for a higher w/c ratio. The role of the w/c ratio in the Drc–Sw relation, however, becomes less pronounced with increasing w/c ratio. There exists a critical saturation, below which the water-filled capillary pores are discontinuous and the Drc-value tends towards infinitely small. An increase of the w/c ratio results in a decrease of the critical saturation level.","Chloride diffusion; Moisture distribution; Mortar; Pore size distribution; Water saturation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:b867135c-0df5-439a-a0f7-702d4f67a593","http://resolver.tudelft.nl/uuid:b867135c-0df5-439a-a0f7-702d4f67a593","On the stability relations between tidal asymmetry and morphologies of tidal basins and estuaries","Zhou, Zeng; Coco, Giovanni (The University of Auckland); Townend, Ian (University of Southampton); Gong, Zheng; Wang, Zhengbing (TU Delft Coastal Engineering); Zhang, Changkuan","","2018","Simple stability relationships are practically useful to provide a rapid assessment of coastal and estuarine landforms in response to human interventions and long‐term climate change. In this contribution, we review a variety of simple stability relationships which are based on the analysis of tidal asymmetry (shortened to “TA”). Most of the existing TA‐based stability relationships are derived using the one‐dimensional tidal flow equations assuming a certain regular shape of the tidal channel cross‐sections. To facilitate analytical solutions, specific assumptions inevitably need to be made e.g. by linearising the friction term and dropping some negligible terms in the tidal flow equations. We find that three major types of TA‐based stability relationships have been proposed between three non‐dimensional channel geometric ratios (represented by the ratio of channel widths, ratio of wet surface areas and ratio of storage volumes) and the tide‐related parameter a/h (i.e. the ratio between tidal amplitude and mean water depth). Based on established geometric relations, we use these non‐dimensional ratios to re‐state the existing relationships so that they are directly comparable. Available datasets are further extended to examine theutility of these TA‐based relationships. Although a certain agreement is shown for these relationships, we also observe a large scatter of data points which are collected in different types of landscape, hydrodynamic and sedimentologic settings over the world. We discuss in detail the potential reasons for this large scatter and subsequently elaborate on the limited applicability of the various TA‐based stability relationships for practical use. We highlight the need to delve further into what constitutes equilibrium and what is needed to develop more robust measures to determine the morphological state of these systems.","tidal basins; estuarine morphologies; tidal asymmetry; stability relationships","en","journal article","","","","","","","","2019-04-01","","","Coastal Engineering","","",""
"uuid:97296e7b-a88d-41f6-92fa-b9c28928a0c1","http://resolver.tudelft.nl/uuid:97296e7b-a88d-41f6-92fa-b9c28928a0c1","Climate-driven seasonal geocenter motion during the GRACE period","Zhang, Hongyue (Chinese Academy of Sciences); Sun, Y. (TU Delft Physical and Space Geodesy; Fuzhou University)","","2018","Annual cycles in the geocenter motion time series are primarily driven by mass changes in the Earth’s hydrologic system, which includes land hydrology, atmosphere, and oceans. Seasonal variations of the geocenter motion have been reliably determined according to Sun et al. (J Geophys Res Solid Earth 121(11):8352–8370, 2016) by combining the Gravity Recovery And Climate Experiment (GRACE) data with an ocean model output. In this study, we reconstructed the observed seasonal geocenter motion with geophysical model predictions of mass variations in the polar ice sheets, continental glaciers, terrestrial water storage (TWS), and atmosphere and dynamic ocean (AO). The reconstructed geocenter motion time series is shown to be in close agreement with the solution based on GRACE data supporting with an ocean bottom pressure model. Over 85% of the observed geocenter motion time series, variance can be explained by the reconstructed solution, which allows a further investigation of the driving mechanisms. We then demonstrated that AO component accounts for 54, 62, and 25% of the observed geocenter motion variances in the X, Y, and Z directions, respectively. The TWS component alone explains 42, 32, and 39% of the observed variances. The net mass changes over oceans together with self-attraction and loading effects also contribute significantly (about 30%) to the seasonal geocenter motion in the X and Z directions. Other contributing sources, on the other hand, have marginal (less than 10%) impact on the seasonal variations but introduce a linear trend in the time series.","Degree 1 coefficients; Geocenter motion; GRACE; Mass transportation","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:2c4c2cff-ca09-432c-998d-2bacbca55ad9","http://resolver.tudelft.nl/uuid:2c4c2cff-ca09-432c-998d-2bacbca55ad9","A modified carrier-to-code leveling method for retrieving ionospheric observables and detecting short-term temporal variability of receiver differential code biases","Zhang, Baocheng (Chinese Academy of Sciences); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University); Yuan, Yunbin (Chinese Academy of Sciences); Zhang, Xiao (Chinese Academy of Sciences); Li, Min (Chinese Academy of Sciences)","","2018","Sensing the ionosphere with the global positioning system involves two sequential tasks, namely the ionospheric observable retrieval and the ionospheric parameter estimation. A prominent source of error has long been identified as short-term variability in receiver differential code bias (rDCB). We modify the carrier-to-code leveling (CCL), a method commonly used to accomplish the first task, through assuming rDCB to be unlinked in time. Aside from the ionospheric observables, which are affected by, among others, the rDCB at one reference epoch, the Modified CCL (MCCL) can also provide the rDCB offsets with respect to the reference epoch as by-products. Two consequences arise. First, MCCL is capable of excluding the effects of time-varying rDCB from the ionospheric observables, which, in turn, improves the quality of ionospheric parameters of interest. Second, MCCL has significant potential as a means to detect between-epoch fluctuations experienced by rDCB of a single receiver.","Global positioning system (GPS); Ionosphere; Modified carrier-to-code leveling (MCCL); Receiver differential code bias (rDCB); Vertical total electron content (vTEC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-09-21","","","Mathematical Geodesy and Positioning","","",""
"uuid:3abdc974-8003-4708-aaef-98c44ac0aee6","http://resolver.tudelft.nl/uuid:3abdc974-8003-4708-aaef-98c44ac0aee6","Thermodynamics of saline and fresh water mixing in estuaries","Zhang, Z. (TU Delft Water Resources); Savenije, Hubert (TU Delft Water Resources)","","2018","The mixing of saline and fresh water is a process of energy dissipation. The freshwater flow that enters an estuary from the river contains potential energy with respect to the saline ocean water. This potential energy is able to perform work. Looking from the ocean to the river, there is a gradual transition from saline to fresh water and an associated rise in the water level in accordance with the increase in potential energy. Alluvial estuaries are systems that are free to adjust dissipation processes to the energy sources that drive them, primarily the kinetic energy of the tide and the potential energy of the river flow and to a minor extent the energy in wind and waves. Mixing is the process that dissipates the potential energy of the fresh water. The maximum power (MP) concept assumes that this dissipation takes place at maximum power, whereby the different mixing mechanisms of the estuary jointly perform the work. In this paper, the power is maximized with respect to the dispersion coefficient that reflects the combined mixing processes. The resulting equation is an additional differential equation that can be solved in combination with the advection-dispersion equation, requiring only two boundary conditions for the salinity and the dispersion. The new equation has been confronted with 52 salinity distributions observed in 23 estuaries in different parts of the world and performs very well.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:570f708e-5ac3-41fb-bdf5-9eda898da1e5","http://resolver.tudelft.nl/uuid:570f708e-5ac3-41fb-bdf5-9eda898da1e5","Single- and Double-Sided Marchenko Imaging Conditions in Acoustic Media","van der Neut, J.R. (TU Delft ImPhys/Acoustical Wavefield Imaging); Brackenhoff, Joeri (Student TU Delft); Staring, Myrna (Student TU Delft); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); de Ridder, S.A.L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics)","","2018","","Image representation; acoustic signal processing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-02","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:d4da7c26-b59d-437b-9d5d-8483ebe9f2b4","http://resolver.tudelft.nl/uuid:d4da7c26-b59d-437b-9d5d-8483ebe9f2b4","Testing and modelling of micro cement paste cube under indentation splitting","Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","Meschke, Günther (editor); Pichler, Bernhard (editor); Rots, Jan G. (editor)","2018","Cement paste is the glue that holds concrete together and any improvements in material performance will come from its microstructure. For better understanding and predicting its elastic properties and fracture performance, more and more studies are carried out based on micromechanics simulations. However, the predicted results can be hardly verified experimentally due to the technical limitations. This paper presents a procedure for validating micromechanics simulation by making, testing and modelling deformation and fracture of micro cement paste cube (100 um x 100 um x 100 um). The micro scale specimens were produced by a micro dicing saw which is commonly employed in the semiconductor industry and fractured by a commercial
cylindrical wedge tip mounted on a nano-indenter equipment. A combination of X-ray computed tomography technique and a discrete lattice fracture model was applied to simulate the deformation and fracture performance of the micro scale specimen under indentation. Mechanical properties of local phases are the input for this fracture simulation, which are taken from the previous study by the authors, wherein a micro scale experiment is developed to calibrate these values. Load-displacement curve and crack pattern from the simulation show a good agreement with those obtained experimentally. The proposed technique forms the basis for experimental validation of simulation tools that are used in a multi-scale framework at every scale.
3) modified concrete is equal to the reference mixture, while at the highest admixture content the Cl-ingress is increased. At the later ages (91-days), the Cl-migration coefficients of all concretes, non- and admixture-containing samples, are comparable and low (about 2.6 × 10−12 m2/s). The MIP analyses show a significant decrease of the total and effective capillary porosity over time at an increased admixture content. The relationships between the porosity and other properties are discussed, at varying admixture contents.","Admixture; Alkali activated fly ash-slag concrete; Chloride migration; Compressive strength; Microstructure; Pore structure; Workability","en","journal article","","","","","","","","2019-12-15","","","Materials and Environment","","",""
"uuid:3c190cb2-528e-4da9-90d8-deeec548e356","http://resolver.tudelft.nl/uuid:3c190cb2-528e-4da9-90d8-deeec548e356","Spatio-temporal characterization of a reclamation settlement in the Shanghai coastal area with time series analyses of X-, C-, and L-band SAR datasets","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Yang, Tianliang (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Zhang, L. (Collaborative Innovation Center for Geospatial Technology); Lin, Jinxin (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Qin, Xiaoqiong (Wuhan University); Liao, Mingsheng (Wuhan University; Collaborative Innovation Center for Geospatial Technology)","","2018","Large-scale reclamation projects during the past decades have been recognized as one of the driving factors behind land subsidence in coastal areas. However, the pattern of temporal evolution in reclamation settlements has rarely been analyzed. In this work, we study the spatio-temporal evolution pattern of Linggang New City (LNC) in Shanghai, China, using space-borne synthetic aperture radar interferometry (InSAR) methods. Three data stacks including 11 X-band TerraSAR-X, 20 L-band ALOS PALSAR, and 35 C-band ENVISAT ASAR images were used to retrieve time series deformation from 2007 to 2010 in the LNC. An InSAR analysis from the three data stacks displays strong agreement in mean deformation rates, with coefficients of determination of about 0.9 and standard deviations for inter-stack differences of less than 4 mm/y. Meanwhile, validations with leveling data indicate that all the three data stacks achieved millimeter-level accuracies. The spatial distribution and temporal evolution of deformation in the LNC as indicated by these InSAR analysis results relates to historical reclamation activities, geological features, and soil mechanisms. This research shows that ground deformation in the LNC after reclamation projects experienced three distinct phases: primary consolidation, a slight rebound, and plateau periods.","ALOS PALSAR; ENVISAT ASAR; Lingang New City; Reclamation settlements; TerraSAR-X; Time series InSAR analysis","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:0b52418f-9e54-427c-a39f-8865a1526e77","http://resolver.tudelft.nl/uuid:0b52418f-9e54-427c-a39f-8865a1526e77","Relationship between the size of the samples and the interpretation of the mercury intrusion results of an artificial sandstone","Dong, H. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Zuo, Y. (TU Delft Materials and Environment); Gao, P. (TU Delft Materials and Environment; South China University of Technology); Ye, G. (TU Delft Materials and Environment)","","2018","Mercury intrusion porosimetry (MIP) measurements are widely used to determine pore throat size distribution (PSD) curves of porous materials. The pore throat size of porous materials has been used to estimate their compressive strength and air permeability. However, the effect of sample size on the determined PSD curves is often overlooked. In pursuit of a better understanding of the effect of sample size on mercury intrusion into porous materials, a combined experimental and numerical approach was applied. Quartz sand and epoxy resin were mixed to form artificial sandstone. Digital microstructures of the sandstone were obtained by using X-ray computed tomography (CT scan) technique. PSD curves of the artificial sandstone with different sample sizes were determined both by MIP measurement and by simulation of mercury intrusion (i.e., MIP simulation). Percolation analysis was performed on mercury-intruded pores in the digital microstructures. The PSD curves determined both by MIP measurements and by MIP simulations show that there was a significant effect of sample size on mercury intrusion before percolation of mercury-intruded pores. The effect of sample size decreased with the increasing pressure. After the mercury-intruded pores percolated through the samples, the effect of sample size on mercury intrusion became minor. The pore throat size of the artificial sandstone was used to estimate the air permeability using the relation proposed in the literature. The calculated air permeability of the smaller sandstone sample was higher. However, in principle, the air permeability of sandstone samples should be independent of the sample size. Two main conclusions can be drawn: (1) a fixed sample size should be used in MIP measurements or MIP simulation so that the PSD curves of different samples can be properly compared, (2) sample size needs to be considered when the pore throat size determined by MIP measurement is used for estimating air permeability.","Artificial sandstone; Effect of sample size; Mercury intrusion; Pore structure; Simulation; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8ded8e7c-ec02-43a1-9d14-69949ae59d42","http://resolver.tudelft.nl/uuid:8ded8e7c-ec02-43a1-9d14-69949ae59d42","Applying game theory for improving security in the process industries: a discussion","Zhang, L. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science)","","2018","Why should game theory be introduced and used in the chemical security practitioners? Security risks are initiated by deliberate behaviours for certain goals. For instance, thieves intentionally intrude a plant for stealing valuable materials, or terrorists maliciously set a fire on a chemical facility to cause societal fear. Initiators of security events (henceforth, attackers) would intelligently observe the defender’s defence plan and then schedule their attack accordingly. Powell (2007) illustrated how resources can be mis-allocated if intelligent interactions between the defender and the attacker are not considered. Game theory was invented in the economic domain for modelling both the cooperative and competitive behaviours in a multiple actors system. In the last 100 years, game theory has been theoretically improved and practically applied to various domains, such as the evolutionary biology, the nuclear balance, computer science etc. These researches have demonstrated the capability of game theory in modelling intelligent interactions. Industrial managers need quantitative recommendations to support their decision making. Conventional security risk assessment methodologies (e.g., the API SRA framework (API, 2013)), being good at studying security systematically, are not able to provide quantitative insights. Moreover, results of these conventional methodologies are not repeatable which means that applying the same methodology to the same plant, different analysts may come to different conclusions. Some quantitative security risk assessment models, for instance, by employing a Bayesian Network framework (e.g., Argenti et al. (2018); Landucci et al. (2017); Fakhravar et al. (2017)), can provide quantitative and repeatable results as well. Nevertheless, these models fail on modelling the intelligent interactions between the defender and the attacker. Game theory, conversely, has a rigorous mathematical foundation and models the intelligent interactions. A game theoretic model explicitly indicates 1) who is involved in the game; 2) what actions can each participant take; 3) what results (numbers) will each participant obtain, for each participants’ strategy combination; 4) how much information that each participant has about the game. Furthermore, outputs of a game theoretic model (i.e., equilibrium) clearly and quantitatively indicates what should the participants do (i.e., the equilibrium strategy) and what will each participant obtain (i.e., the equilibrium payoff). A critical issue is that industrial managers often prefer a qualitative approach and they have difficulties on understanding (the physical meaning of) the quantitative outputs of a game theoretical model. This issue can be addressed by requiring game developers to do a further step work by also translating/mapping their quantitative outputs to qualitative descriptions, and the latter should be expressed in terminologies that industrial practitioners are familiar with. Figure 1 illustrates the idea.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:4149ddc1-d80e-41fc-aec7-251024d35ab6","http://resolver.tudelft.nl/uuid:4149ddc1-d80e-41fc-aec7-251024d35ab6","Multistable mechanical metamaterials for 3D positioning","Zhang, Y. (TU Delft Computational Design and Mechanics); Wang, Q. (TU Delft Computational Design and Mechanics); Tichem, M. (TU Delft Micro and Nano Engineering); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2018","","multistability; metamaterials; positioning","en","abstract","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:c79810f3-24a0-49cc-b8f0-e653cfb28be2","http://resolver.tudelft.nl/uuid:c79810f3-24a0-49cc-b8f0-e653cfb28be2","Multityped Community Discovery in Time-Evolving Heterogeneous Information Networks Based on Tensor Decomposition","Wu, Jibing (National University of Defense Technology); Yu, Lianfei (National University of Defense Technology; Army Academy of Border and Coastal Defense); Zhang, Qun (National University of Defense Technology); Shi, P. (TU Delft Computer Graphics and Visualisation); Liu, Lihua (National University of Defense Technology); Deng, Su (National University of Defense Technology); Huang, Hongbin (National University of Defense Technology)","","2018","The heterogeneous information networks are omnipresent in real-world applications, which consist of multiple types of objects with various rich semantic meaningful links among them. Community discovery is an effective method to extract the hidden structures in networks. Usually, heterogeneous information networks are time-evolving, whose objects and links are dynamic and varying gradually. In such time-evolving heterogeneous information networks, community discovery is a challenging topic and quite more difficult than that in traditional static homogeneous information networks. In contrast to communities in traditional approaches, which only contain one type of objects and links, communities in heterogeneous information networks contain multiple types of dynamic objects and links. Recently, some studies focus on dynamic heterogeneous information networks and achieve some satisfactory results. However, they assume that heterogeneous information networks usually follow some simple schemas, such as bityped network and star network schema. In this paper, we propose a multityped community discovery method for time-evolving heterogeneous information networks with general network schemas. A tensor decomposition framework, which integrates tensor CP factorization with a temporal evolution regularization term, is designed to model the multityped communities and address their evolution. Experimental results on both synthetic and real-world datasets demonstrate the efficiency of our framework.","","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:80618caf-93e0-41eb-8dc0-8a2ae6e464f0","http://resolver.tudelft.nl/uuid:80618caf-93e0-41eb-8dc0-8a2ae6e464f0","A layers-overlapping strategy for robotic wire and arc additive manufacturing of multi-layer multi-bead components with homogeneous layers","Li, Y. (TU Delft Cyber-Physical Systems; Harbin Institute of Technology); Han, Qinglin (Harbin Institute of Technology); Zhang, Guangjun (Harbin Institute of Technology); Horvath, I. (TU Delft Cyber-Physical Systems)","","2018","Robotic wire and arc additive manufacturing (WAAM) systems are required to provide predictable and efficient operations to fabricate solid metallic parts with high morphological fidelity and geometric accuracy. Since the metallic parts are fabricated based on a layer-by-layer principle, the interactions between the neighboring beads and layers strongly influence the geometric accuracy of the fabricated part. The layers-overlapping process has been studied and a traditional layers-overlapping model (T-LOM) has been published in the literature. This paper proposes a layers-overlapping strategy (LOS), based on which a revised layers-overlapping model (R-LOM) was proposed for the fabrication of multi-layer multi-bead (MLMB) components with homogeneous layers. A mathematical model for layers-overlapping is presented, which considers the material shortage areas at the edges of the layers. This is important since the material shortage areas result in a situation that the component width is smaller than the expected value. In addition, they will be accumulated when multiple layers are overlapped through normal unidirectional parallel (NUP) paths. The proposed LOS addresses two aspects: (i) the deposition amount of the first bead and the last bead in the lap layers should be increased and (ii) the deposition position of the first bead and the last bead in the lap layers should be moved towards the edges with a given offset distance. Validation experiments were designed and conducted to test the proposed concepts and models. The experimental results indicated that (i) the R-LOM enables the MLMB components to achieve the expected width and (ii) for components deposited with NUP paths, the R-LOM eliminates the effect of accumulation of material shortage areas on the first bead and increases the surface flatness.","Additive manufacturing; Gas metal arc welding; Layers-overlapping model; Multi-layer multi-bead components","en","journal article","","","","","","Accepted author manuscript","","2019-06-30","","","Cyber-Physical Systems","","",""
"uuid:a6be1431-9a6f-4272-9af4-430bdd0c3a9d","http://resolver.tudelft.nl/uuid:a6be1431-9a6f-4272-9af4-430bdd0c3a9d","Effect of Nanostructured Microporous Surfaces on Pool Boiling Augmentation","Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials; Iran University of Science and Technology); Saffari, Hamid (Iran University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Nanostructured microporous surfaces were electrodeposited at various electrolyte temperatures on copper substrate to investigate the saturated pool boiling enhancement of distilled water at atmospheric pressure. Surface structure topography and wickability were analyzed to investigate their relation to critical heat flux. Scanning electron microscope showed that the micro-clusters have nanostructures from cubic at 5°C to dendritic at 60°C electrolyte temperature. Rate-of-rise experiments demonstrated that dendritic copper structure has the best capillary performance. The experimental results of pool boiling heat transfer indicate that the critical heat flux increased with surface wickability. Electrodeposited porous surface in hot electrolyte showed the highest critical heat flux and heat transfer coefficient of the 124 W/cm2 and 17 W/cm2K, respectively, which is 50% and 270% higher than that of plain surface. However, the two-step electrodeposition and annealing were used in fabrication of surfaces, but the mechanical strength of layer needs more improvement by changing the electrochemical process parameters.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:296722a3-a5fc-4751-b85b-b05b398e02d9","http://resolver.tudelft.nl/uuid:296722a3-a5fc-4751-b85b-b05b398e02d9","Database building and interpolation for a safe flight envelope prediction system","Zhang, Y. (TU Delft Wind Energy); de Visser, C.C. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2018","This paper reports the latest progress in the development of a database-driven safe flight envelope prediction system. By building up a database containing safe flight envelopes of different damage and fault scenarios, the challenges associated with online flight envelope prediction can be circumvented. The database is designed for different flight conditions at which the flight envelopes are computed. Both longitudinal and lateral envelopes are computed via the level set method, which shows obvious shrinkage between damaged and undamaged aircraft. It is found that by interpolating between two retrieved envelopes in the database, more accurate results can be achieved.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","2019-01-31","","","Wind Energy","","",""
"uuid:b04ad264-c836-47ba-be91-75e47cb98052","http://resolver.tudelft.nl/uuid:b04ad264-c836-47ba-be91-75e47cb98052","In Situ Failure Detection of Electronic Control Units Using Piezoresistive Stress Sensor","Prisacaru, Alexandru (Robert Bosch GmbH); Palczynska, Alicja (Robert Bosch GmbH); Theissler, Andreas (Robert Bosch GmbH); Gromala, Przemyslaw (Robert Bosch GmbH); Han, Bongtae (University of Maryland); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Recent advancements in automotive technologies, most notably autonomous driving, demand electronic systems much more complex than those realized in the past. The automotive industry has been forced to adopt advanced consumer electronics to satisfy the demand, and thus it becomes more challenging to assess system reliability while adopting the new technologies. The system-level reliability can be enforced by implementing a process called condition monitoring. In this paper, a piezoresistive silicon-based stress sensor is implemented to recognize in situ failure in outer molded electronic control units subjected to reliability testing conditions. The test vehicle consists of six double decawatt package power packages and three stress sensors mounted on a printed circuit board. A unique algorithm is proposed and implemented to handle the data obtained from the piezoresistive stress-sensing cells. The accuracy of measured data is examined by finite-element method, and the physical changes are validated with scanning acoustic microscope. One-class support vector machines are used to autonomously classify data based on a training set of measurements from healthy state, and the reported results confirm that robust classification is possible based on data from the silicon stress sensor.","Delamination; Electronic control units (ECUs); fault detection; machine learning; outlier detection; Piezoresistance; Prognostics and health management; Stress; Stress measurement; stress sensor; Temperature measurement; Temperature sensors","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:bc40d84a-2766-40d6-9454-647cfe3d9610","http://resolver.tudelft.nl/uuid:bc40d84a-2766-40d6-9454-647cfe3d9610","Eigendecomposition-Based Partial FFT Demodulation for Differential OFDM in Underwater Acoustic Communications","Han, J. (Northwestern Polytechnical University); Zhang, Lingling (Northwestern Polytechnical University); Zhang, Qunfei (Northwestern Polytechnical University); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2018","Differential orthogonal frequency division multiplexing (OFDM) is practically attractive for underwater acoustic communications since it has the potential to obviate channel estimation. However, similar to coherent OFDM, it may suffer from severe inter-carrier interference over time-varying channels. To alleviate the induced performance degradation, we adopt the newly-emerging partial FFT demodulation technique in this paper and propose an eigendecomposition-based algorithm to compute the combining weights. Compared to existing adaptive methods, the new algorithm can avoid error propagation and eliminate the need for parameter tuning. Moreover, it guarantees global optimality under the narrowband Doppler assumption, with the optimal weight vector of partial FFT demodulation achieved by the eigenvector associated with the smallest eigenvalue of the pilot detection error matrix. Finally, the algorithm can also be extended straightforwardly to perform subband-wise computation to counteract wideband Doppler effects.","Differential OFDM; partial FFT demodulation; time-varying channels; underwater acoustic communications","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-31","","","Signal Processing Systems","","",""
"uuid:8fa9f59c-1ef4-4014-a18e-65ad05fd2f5d","http://resolver.tudelft.nl/uuid:8fa9f59c-1ef4-4014-a18e-65ad05fd2f5d","A hybrid segmentation method for partitioning the liver based on 4D DCE-MR images","Zhang, T. (TU Delft ImPhys/Quantitative Imaging); Wu, Z.; Runge, Jurgen H. (Universiteit van Amsterdam); Lavini, Cristina (Universiteit van Amsterdam); Stoker, Jaap (Universiteit van Amsterdam); Van Gulik, Thomas (Universiteit van Amsterdam); Cieslak, Kasia P. (Universiteit van Amsterdam); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging; TU Delft Applied Sciences); Vos, F.M. (TU Delft ImPhys/Quantitative Imaging; Universiteit van Amsterdam)","","2018","The Couinaud classification of hepatic anatomy partitions the liver into eight functionally independent segments. Detection and segmentation of the hepatic vein (HV), portal vein (PV) and inferior vena cava (IVC) plays an important role in the subsequent delineation of the liver segments. To facilitate pharmacokinetic modeling of the liver based on the same data, a 4D DCE-MR scan protocol was selected. This yields images with high temporal resolution but low spatial resolution. Since the liver's vasculature consists of many tiny branches, segmentation of these images is challenging. The proposed framework starts with registration of the 4D DCE-MRI series followed by region growing from manually annotated seeds in the main branches of key blood vessels in the liver. It calculates the Pearson correlation between the time intensity curves (TICs) of a seed and all voxels. A maximum correlation map for each vessel is obtained by combining the correlation maps for all branches of the same vessel through a maximum selection per voxel. The maximum correlation map is incorporated in a level set scheme to individually delineate the main vessels. Subsequently, the eight liver segments are segmented based on three vertical intersecting planes fit through the three skeleton branches of HV and IVC's center of mass as well as a horizontal plane fit through the skeleton of PV. Our segmentation regarding delineation of the vessels is more accurate than the results of two state-of-the-art techniques on five subjects in terms of the average symmetric surface distance (ASSD) and modified Hausdorff distance (MHD). Furthermore, the proposed liver partitioning achieves large overlap with manual reference segmentations (expressed in Dice Coefficient) in all but a small minority of segments (mean values between 87% and 94% for segments 2-8). The lower mean overlap for segment 1 (72%) is due to the limited spatial resolution of our DCE-MR scan protocol.","Couinaud classification; DCE-MRI; Functional liver segments; level set; time intensity curve (TIC)","en","conference paper","SPIE","","","","","","","","Applied Sciences","","ImPhys/Quantitative Imaging","","",""
"uuid:8f4a968b-f6a9-4083-a4e0-bc977b47f79d","http://resolver.tudelft.nl/uuid:8f4a968b-f6a9-4083-a4e0-bc977b47f79d","IFUP: Workshop on Multi-dimensional Information Fusion for User Modeling and Personalization","Zhu, Feida (Singapore Management University); Zhang, Yongfeng (Rutgers University); Yorke-Smith, N. (TU Delft Algorithmics; American University of Beirut); Guo, Guibing (Northeastern University); Chen, Xu (National Tsing Hua University)","","2018","Recommendation system has became an important component in many real applications, ranging from e-commerce, music app to video-sharing site and on-line book store. The key of a successful recommendation system lies in the accurate user/item profiling. With the advent of web 2.0, quite a lot of multimodal information has been accumulated, which provides us with the opportunity to profile users in a more comprehensive manner. However, directly integrating multimodal information into recommendation system is not a trivial task, because they may be either homogenous or heterogeneous, which requires more advanced method for both fusion and alignment. This workshop aims to provide a platform for discussing the challenges and corresponding innovative approaches in fusing multi-dimensional information for user modeling and recommender systems. We hope more advanced technologies can be proposed or inspired, and also we hope that the direction of integrating different types of information can catch much more attention in both academic and industry.","Information fusion; Multi-dimensional; User modeling","en","abstract","","","","","","","","","","","Algorithmics","","",""
"uuid:32e05268-de5b-4a8e-855a-d37adef923d9","http://resolver.tudelft.nl/uuid:32e05268-de5b-4a8e-855a-d37adef923d9","Effects of structural failure on the safe flight envelope of aircraft","Nabi, H.N. (TU Delft Control & Simulation; Politecnico di Milano); Lombaerts, T.J.J. (TU Delft Control & Simulation; Stinger Ghaffarian Technologies; NASA Ames Research Center); Zhang, Y. (TU Delft Wind Energy); van Kampen, E. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation)","","2018","The research presented in this paper focuses on the effects of structural failures on the safe flight envelope of an aircraft, which is the set of all the states in which safe maneuver of the aircraft can be assured. Nonlinear reachability analysis basedonan optimal control formulation is performed to estimate the safe flight envelope using actual aircraft control surface inputs. This approach uses the physical model of an aircraft, where the aerodynamic stability and control derivatives are calculated using Digital Datcom. Symmetrical damages to a Cessna Citation II are considered with 25, 50, 75, and 100% spanwise vertical tail tip losses, leading to gradual shrinkage in the safe flight envelope. Based on the estimated safe flight envelopes, a discussion on the effects of structural damages and different flight conditions on the safe flight envelope is presented. In particular, the interpolatibility of the resulting safe flight envelopes is demonstrated. This property is essential for a novel database-driven flight envelope prediction method, where a database of safe flight envelopes is created offline to be accessed later in real time.","","en","journal article","","","","","","","","2019-06-01","","","Control & Simulation","","",""
"uuid:04d6a588-a0fa-4fa6-a064-66afced8e2d6","http://resolver.tudelft.nl/uuid:04d6a588-a0fa-4fa6-a064-66afced8e2d6","Effects of Conformal Nanoscale Coatings on Thermal Performance of Vertically Aligned Carbon Nanotubes","Silvestri, C. (TU Delft Tera-Hertz Sensing); Riccio, Michele (Università degli Studi di Napoli Federico II); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Jovic, A. (TU Delft EKL Processing); Morana, B. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Irace, Andrea (Università degli Studi di Napoli Federico II); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials)","","2018","The high aspect ratio and the porous nature of spatially oriented forest-like carbon nanotube (CNT) structures represent a unique opportunity to engineer a novel class of nanoscale assemblies. By combining CNTs and conformal coatings, a 3D lightweight scaffold with tailored behavior can be achieved. The effect of nanoscale coatings, aluminum oxide (Al2O3) and nonstoichiometric amorphous silicon carbide (a-SiC), on the thermal transport efficiency of high aspect ratio vertically aligned CNTs, is reported herein. The thermal performance of the CNT-based nanostructure strongly depends on the achieved porosity, the coating material and its infiltration within the nanotube network. An unprecedented enhancement in terms of effective thermal conductivity in a-SiC coated CNTs has been obtained: 181% compared to the as-grown CNTs and Al2O3 coated CNTs. Furthermore, the integration of coated high aspect ratio CNTs in an epoxy molding compound demonstrates that, next to the required thermal conductivity, the mechanical compliance for thermal interface applications can also be achieved through coating infiltration into foam-like CNT forests.","Carbon nanotubes; Conformal coating; Epoxy molding; Thermal property; Vertically aligned","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-10-17","","","Tera-Hertz Sensing","","",""
"uuid:9d1e8e96-16b1-4df4-a125-9568d526c6ac","http://resolver.tudelft.nl/uuid:9d1e8e96-16b1-4df4-a125-9568d526c6ac","Two Robust Strategies toward Hydrogels from Quenched Block Copolymer Nanofibrillar Micelles","Zhang, K. (TU Delft Materials and Environment; TU Delft ChemE/Advanced Soft Matter); Suratkar, A.P. (TU Delft Applied Sciences); Vedaraman, S. (TU Delft ChemE/Advanced Soft Matter); Lakshminarayanan, V. (TU Delft ChemE/Advanced Soft Matter); Jennings, L. (TU Delft ChemE/Advanced Soft Matter); Glazer, P.J. (TU Delft Resources & Recycling); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter); Mendes, E. (TU Delft ChemE/Advanced Soft Matter)","","2018","While the formation of (tri)block copolymer hydrogels has been extensively investigated, such studies mostly focused on equilibrium self-assembling whereas the use of preformed structures as building blocks such as out of equilibrium, quenched, nanofibrillar micelles is still a challenge. Here, we demonstrate that quenched, ultralong polystyrene-b-poly(ethylene oxide) (PS-b-PEO) micelles can be used as robust precursors of hydrogels. Two cross-linking strategies, (i) thermal fusion of micellar cores and (ii) chemical cross-linking of preformed micellar coronas were studied. The gelation process and the structure of the micellar networks were investigated by in situ rheological measurements, confocal microscopy and transmission electron microscopy. Direct observation of core fusion of preformed quenched micelles is provided validating this method as a robust gelation route. Using time sweep rheological experiments, it was found for both cross-linking methods that these 3D ""mikado"" gels are formed in three different stages, containing (1) initiation, (2) transition (growth), and (3) stabilization regimes.","","en","journal article","","","","","","","","","Applied Sciences","","Materials and Environment","","",""
"uuid:0ea3ea0d-5da1-4a09-8ee8-73dc3cdff54d","http://resolver.tudelft.nl/uuid:0ea3ea0d-5da1-4a09-8ee8-73dc3cdff54d","A photovoltaic window with sun-tracking shading elements towards maximum power generation and non-glare daylighting","Gao, Y. (TU Delft Photovoltaic Materials and Devices; Nanjing University; Changzhou Institute of Technology Research for Solid State Lighting); Dong, J. (Chinese Academy of Sciences); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Tan, H. (Nanjing University); Zeman, M. (TU Delft Electrical Sustainable Energy); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Vertical space bears great potential of solar energy especially for congested urban areas, where photovoltaic (PV) windows in high-rise buildings can contribute to both power generation and daylight harvest. Previous studies on sun-tracking PV windows strayed into the trade-off between tracking performance and mutual shading, failing to achieve the maximum energy generation. Here we first build integrated models which couple the performance of sun-tracking PV windows to the rotation angles. Secondly, one-degree-of-freedom (DOF) and two-DOF sun tracking are mathematically proven to be not able to gain either maximum power generation or non-glare daylighting under reasonable assumptions. Then we derive the optimum rotation angles of the variable-pivot-three-degree-of-freedom (VP-3-DOF) sun-tracking elements and demonstrate that the optimum VP-3-DOF sun tracking can achieve the aforementioned goals. When the restriction of the proposed model is relaxed, the same performance can be achieved by the optimum one-DOF sun tracking with extended PV slats and particular design of cell layout, requiring less complicated mechanical structures. Simulation results of nine global cities show that the annual energy generation and average module efficiency are improved respectively by 27.40% and 19.17% via the optimum VP-3-DOF sun tracking over the conventional perpendicular sun tracking. The proposed optimum sun-tracking methods also reveal better protection against sun glare. The optimum VP-3-DOF sun tracking is also demonstrated to be applicable to horizontal PV windows, as those applied in the sun roof of a glass greenhouse.","photovoltaics; partial shading effects; sun-tracking methods; BIPV; solar energy","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:6449de96-ebee-4161-a1fc-5b317047c446","http://resolver.tudelft.nl/uuid:6449de96-ebee-4161-a1fc-5b317047c446","Selective aerobic oxidation reactions using a combination of photocatalytic water oxidation and enzymatic oxyfunctionalizations","Zhang, W. (TU Delft BT/Biocatalysis); Fernandez Fueyo, E. (TU Delft BN/Greg Bokinsky Lab); Ni, Y. (TU Delft BT/Biocatalysis); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Gacs, Jenö (Student TU Delft); Renirie, R. (TU Delft BT/Biocatalysis; Universiteit van Amsterdam); Wever, Ron (Universiteit van Amsterdam); Mutti, Francesco G. (Universiteit van Amsterdam); Rother, Dörte (Forschungszentrum Jülich GmbH); Alcalde, Miguel (Instituto de Microelectronica de Madrid (CSIC)); Hollmann, F. (TU Delft BT/Biocatalysis)","","2018","Peroxygenases offer an attractive means to address challenges in selective oxyfunctionalization chemistry. Despite this, their application in synthetic chemistry remains challenging due to their facile inactivation by the stoichiometric oxidant H2O2. Often atom-inefficient peroxide generation systems are required, which show little potential for large-scale implementation. Here, we show that visible-light-driven, catalytic water oxidation can be used for in situ generation of H2O2 from water, rendering the peroxygenase catalytically active. In this way, the stereoselective oxyfunctionalization of hydrocarbons can be achieved by simply using the catalytic system, water and visible light.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-06-30","","","BT/Biocatalysis","","",""
"uuid:fbae5c23-b48c-4b00-8e71-b21807c87dbf","http://resolver.tudelft.nl/uuid:fbae5c23-b48c-4b00-8e71-b21807c87dbf","A new hermetic sealing method for ceramic package using nanosilver sintering technology","Zhang, H. (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting); Liu, Yang (Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Jiajie (Hohai University; Beijing Research Center; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xuejun (Lamar University; Changzhou Institute of Technology Research for Solid State Lighting); Sun, Fenglian (Harbin University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting)","","2018","High reliable packaging materials are needed for electronics when they work at harsh environments. Among which, the nanosilver material has been widely studied and applied in power electronics due to its low processing temperature and high reliability. This paper investigates the bonding properties of nanosilver sintered hermetic cavity. There are two kinds of lids used in this study, including copper lid and silicon lid. The X-ray and C-Mode Scanning Acoustic Microscopy (C-SAM) results revealed that delamination tended to happen in Cu lid sintered cavity as the recovery of deformed Cu lid was hindered by sintered dense Ag layer. However, no delamination or cracks were found in Si lid sintered cavity. Finite element analysis (FEA) method was used to investigate the effects of lid materials on the stress distribution of lid. The results indicated that the Cu lid sintered cavity showed a much higher stress than the Si lid sintered cavity under the sintering parameters of 250 °C and 10 MPa. There is no obvious change in the stress distribution areas on Cu lid with the increasing of pressures from 5 to 30 MPa. However, the distribution area of stress on Si lid expanded obviously only when the sintering pressure increased to 30 MPa. With the increase of sintering pressures from 5 to 30 MPa, the maximum stresses on Cu lid are almost the same, while increasing trend was found on Si lid.","Harsh environments; Nanosilver; Sintered; Lid; Stress","en","journal article","","","","","","Accepted author manuscript","","2020-01-04","","","Electronic Components, Technology and Materials","","",""
"uuid:9b8a77b0-ed9a-4254-9347-33f123fd66c4","http://resolver.tudelft.nl/uuid:9b8a77b0-ed9a-4254-9347-33f123fd66c4","Effect of Sintering Pressure on the Porosity and the Shear Strength of the Pressure-Assisted Silver Sintering Bonding","Liu, Yang (Harbin University of Science and Technology); Zhang, H. (Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology)","","2018","The microstructure, thickness, porosity, and shear performance of the silver (Ag) sintering layers under different sintering pressures were investigated. Experimental results demonstrated that the thickness and the porosity of the sintering layer decreased when the sintering pressure varied from 5 MPa to 30 MPa. This densification phenomenon facilitated the enhancement of the Ag sintering layers. The shear strength was improved significantly from 44.19 MPa to 69.41 MPa when the sintering pressure increased from 5 MPa to 10 MPa. When the sintering pressure ranged from 10 MPa to 30 MPa, the shear strength presented a slow increase from 69.41 MPa to 73.38 MPa. According to the results of the failure analysis, fracture mode transformation was considered as the basic reason for this phenomenon. The increasing sintering pressure promoted the bonding of the nano-Ag particles during the sintering process. Consequently, the fracture of the sintered-Ag layer transformed from brittle fracture to ductile fracture because of the increasing sintering pressure. The delamination area between Cu and Ni layers coated on the bottom Mo plate was clearly enlarged with the increasing sintering pressure. The delamination between Cu and Ni layers coated on the bottom Mo plate turned to be the main failure mode when the sintering pressure was higher than 10 MPa.","Reliability; sintering; porosity; strength; bonding","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:09808c27-e933-4cf3-8629-a0bd66f404ea","http://resolver.tudelft.nl/uuid:09808c27-e933-4cf3-8629-a0bd66f404ea","Biocatalytic Oxidation Reactions: A Chemist's Perspective","Dong, J. (TU Delft BT/Biocatalysis); Fernandez Fueyo, E. (TU Delft BN/Greg Bokinsky Lab); Hollmann, F. (TU Delft BT/Biocatalysis); Paul, C.E. (TU Delft BT/Biocatalysis); Pesic, M. (TU Delft BT/Biocatalysis); Schmidt, S. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology); Younes, S.H.H. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis)","","2018","Oxidation chemistry using enzymes is approaching maturity and practical applicability in organic synthesis. Oxidoreductases (enzymes catalysing redox reactions) enable chemists to perform highly selective and efficient transformations ranging from simple alcohol oxidations to stereoselective halogenations of non‐activated C−H bonds. For many of these reactions, no “classical” chemical counterpart is known. Hence oxidoreductases open up shorter synthesis routes based on a more direct access to the target products. The generally very mild reaction conditions may also reduce the environmental impact of biocatalytic reactions compared to classical counterparts. In this Review, we critically summarise the most important recent developments in the field of biocatalytic oxidation chemistry and identify the most pressing bottlenecks as well as promising solutions.","German Edition :D OI:10.1002/ange.201800343 Bioc atalys is International Edition :DOI:10.1002/anie.201800343 Biocatalytic Oxidation Reactions :AChemist s Perspectiv e JiaJia Dong ,Elena Fern μ ndez-Fueyo ,Frank Hollmann,* Caroline E. Paul, Milja Pesic,Sandy Schmidt, Yonghua Wang,Sabry Younes,and Baeyer–Villiger oxidation; biocatalysis; halogenation; oxidation; oxyfunctionalisation","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:87a4bec8-2095-48e8-b37b-b7f8f0a1f573","http://resolver.tudelft.nl/uuid:87a4bec8-2095-48e8-b37b-b7f8f0a1f573","Designing a 100 [aF/nm] capacitive transducer","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Wei, J. (TU Delft EKL Processing); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials)","","2018","The mechanical part of inertial sensors can be designed to have a large mechanical sensitivity, but also requires the transduction mechanism which translates this displacement. The overall system resolution in mechanical inertial sensors is dictated by the noise contribution of each stage and the magnitude of each sensitivity, see also Figure 1. Maximizing the capacitive sensitivity, results in suppression of noise in the electronics domain. This work focuses on the design and realization of a mechanical to electrical transduction using a capacitive readout scheme. Design considerations and measures are taken to maximize the latter are considered and illustrated using FEM simulations. A capacitive transducer showing a sensitivity of 100 [aF/nm] was designed and realized, by exploiting the large displacement behavior of the inertial sensor which was considered.","Sensitivity; Fingers; Electrodes; Substrates; Mechanical sensors; Mathematical model","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:e520ce10-4846-459a-82b4-e1622f197d87","http://resolver.tudelft.nl/uuid:e520ce10-4846-459a-82b4-e1622f197d87","Rate-Distributed Spatial Filtering Based Noise Reduction in Wireless Acoustic Sensor Networks","Zhang, J. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2018","In wireless acoustic sensor networks (WASNs), sensors typically have a limited energy budget as they are often battery driven. Energy efficiency is therefore essential to the design of algorithms in WASNs. One way to reduce energy costs is to only select the sensors which are most informative, a problem known as sensor selection. In this way, only sensors that significantly contribute to the task at hand will be involved. In this work, we consider a more general approach, which is based on rate-distributed spatial filtering. Together with the distance over which transmission takes place, bit rate directly influences the energy consumption. We try to minimize the battery usage due to transmission, while constraining the noise reduction performance. This results in an efficient rate allocation strategy, which depends on the underlying signal statistics, as well as the distance from sensors to a fusion center (FC). Under the utilization of a linearly constrained minimum variance (LCMV) beamformer, the problem is derived as a semi-definite program. Furthermore, we show that rate allocation is more general than sensor selection, and sensor selection can be seen as a special case of the presented rate-allocation solution, e.g., the best microphone subset can be determined by thresholding the rates. Finally, numerical simulations for the application of estimating several target sources in a WASN demonstrate that the proposed method outperforms the microphone subset selection based approaches in the sense of energy usage, and we find that the sensors close to the FC and close to point sources are allocated with higher rates.","energy usage; LCMV beamforming; noise reduction; Rate allocation; sensor selection; sparsity; wireless acoustic sensor networks","en","journal article","","","","","","Accepted Author Manuscript","","","","","Signal Processing Systems","","",""
"uuid:d4d9f200-c2ae-4b65-9e78-44c88ac417a4","http://resolver.tudelft.nl/uuid:d4d9f200-c2ae-4b65-9e78-44c88ac417a4","Study on the Degradation of Optical Silicone Exposed to Harsh Environments","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); De Buyl, Francois (Dow Silicones); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Degradation mechanisms of silicone plates under harsh environment conditions are studied in this investigation. Environmental degradation of silicone free form, used as secondary optics in Light Emitting Diode LED lighting lamps and luminaires or any other applications requiring high quality optics being used, has negative implications for the optical performance. Degradation of silicone plates in harsh environment conditions was studied in salt bath and swimming water environments, using different light radiation and temperatures. Samples were exposed to harsh environment conditions for up to 4 months. Optical and chemical characteristics of exposed plates were studied using an Fourier transform infrared- attenuated total reflection FTIR-ATR spectrometer, an integrated sphere, and a Lambda 950 Ultraviolet-Visible UV-VIS spectrophotometer. Results show that 100 °C salt bath exposure had the most severe degrading effect on the optical characteristic of silicone plates. Increasing exposure time in the salt bath at that high temperature is associated with a significant deterioration of both optical (i.e., light transmission and relative radiant power value) and mechanical properties of silicone samples. On the contrary, silicone plates showed a great degree of stability against light exposure (UV at 360 nm and blue light at 450 nm)","LED; degradation; harsh environment; lighting; silicone; optic; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:2d298b63-ab34-4a54-ae05-bb2dd1d16696","http://resolver.tudelft.nl/uuid:2d298b63-ab34-4a54-ae05-bb2dd1d16696","Micromechanical modelling of cement paste using X-ray computed tomography and statistical nanoindentation","Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","Schlangen, Erik (editor); de Schutter, Geert (editor); Šavija, Branko (editor); Zhang, Hongzhi (editor); Romero Rodriguez, Claudia (editor)","2018","This work proposes a new method for micromechanical simulation of cement paste based on a combination of statistical nanoindentation and XCT technique without the need for explicit identification of distinct phases. A linear relationship between the greyscale level and local Young’s modulus was assumed and verified by the two-sample Kolmogorov-Smirnov statistic. Based on this assumption, the fracture behaviour of a digital cubic volume (100 μm) under uniaxial tension was simulated using a lattice fracture model. The proposed method was compared with the results obtained from a approach used previously by the authors in which discrete phases were considered. The two methods show similar crack patterns and stressstrain responses. The proposed method is regarded more promising as it captures also the gradient of material properties within the discrete phases.","","en","conference paper","Rilem","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-01","","","Materials and Environment","","",""
"uuid:e303d23c-9a89-4d58-8dc3-1115e7efc030","http://resolver.tudelft.nl/uuid:e303d23c-9a89-4d58-8dc3-1115e7efc030","Thermal Management on IGBT Power Electronic Devices and Modules","Qian, C. (Beihang University); Mirza Gheytaghi, Amir (TU Delft Electronic Components, Technology and Materials); Fan, J. (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Tang, H. (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting); Sun, B. (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","","2018","As an increasing attention towards sustainable development of energy and environment, the power electronics (PEs) are gaining more and more attraction on various energy systems. The insulated gate bipolar transistor (IGBT), as one of the PEs with numerous advantages and potentials for development of higher voltage and current ratings, has been used in a board range of applications. However, the continuing miniaturization and rapid increasing power ratings of IGBTs have remarkable high heat flux, which requires complex thermal management. In this paper, studies of the thermal management on IGBTs are generally reviewed including analyzing, comparing, and classifying the results originating from these researches. The thermal models to accurately calculate the dynamic heat dissipation are divided into analytical models, numerical models, and thermal network models, respectively. The thermal resistances of current IGBT modules are also studied. According to the current products on a number of IGBTs, we observe that the junction-to-case thermal resistance generally decreases inversely in terms of the total thermal power. In addition, the cooling solutions of IGBTs are reviewed and the performance of the various solutions are studied and compared. At last, we have proposed a quick and efficient evaluation judgment for the thermal management of the IGBTs depended on the requirements on the junction-to-case thermal resistance and equivalent heat transfer coefficient of the test samples.","Power electronics; IGBT; thermal management; cooling; qualifications; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:74b21e40-6dfc-4ff4-be4d-dffdc8ffba34","http://resolver.tudelft.nl/uuid:74b21e40-6dfc-4ff4-be4d-dffdc8ffba34","Artifact-free reverse time migration","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); van der Neut, J.R. (TU Delft ImPhys/Acoustical Wavefield Imaging); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics)","","2018","We have derived an improved reverse time migration (RTM) scheme to image the medium without artifacts arising from internal multiple reflections. This is based on a revised implementation of Marchenko redatuming using a new time-truncation operator. Because of the new truncation operator, we can use the time-reversed version of the standard wavefield-extrapolation operator as initial estimate for retrieving the upgoing focusing function. Then, the retrieved upgoing focusing function can be used to directly image the medium by correlating it with the standard wavefieldextrapolation operator. This imaging scheme can be seen as an artifact-free RTM scheme with two terms. The first term gives the conventional RTM image with the wrong amplitude and artifacts due to internal multiple reflections. The second term gives a correction image that can be used to correct the amplitude and remove artifacts in the image generated by the first term. We evaluated the success of the method with a 2D numerical example.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:00387f74-f43c-484f-9c31-175148be7dcf","http://resolver.tudelft.nl/uuid:00387f74-f43c-484f-9c31-175148be7dcf","Modelling tidal-induced sediment transport in a sand-silt mixed environment from days to years: Application to the Jiangsu coastal water, China","Yao, P. (TU Delft Coastal Engineering; Sun Yat-sen University); Su, M. (TU Delft Coastal Engineering; Sun Yat-sen University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); van Rijn, L.C. (Leo van Rijn Sediment Consultancy); Zhang, Changkuan (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering)","","2018","In the present study a new multi-fractional, depth-averaged sediment transport module was developed and embedded into a morphodynamic model for a sand-silt mixed shallow water environment. Subsequently, the model was applied to the case of the Jiangsu coast, which features a silt enriched sedimentary environment bordered by two large-scale geomorphological units: the Old Yellow River Delta (OYRD) in the north and the Radial Sand Ridge Field (RSRF) in the south. Based on this case, the predictive abilities of the present model are
assessed on both the short-term and the long-term. Comparisons with measurements over two successive tidal cycles indicate that the present model produces very good results on short-time scales. The model performance is
extended and further validated by comparing the overall annual Suspended Sediment Concentration (SSC) pattern, the annual morphological changes, the annual sediment budget and the evolution trend of the bed composition. Also, these long-term results agree well with existing observations over the past several decades. Hence, an essential feature of the present modelling approach is the ability to simulate sediment transport and morphological changes over a relatively long time span (i.e., time scale of years) in a sand-silt mixed sedimentary environment, based on its validated short-term performance.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-15","","","Coastal Engineering","","",""
"uuid:8eab8f9d-e63b-4956-97cc-7284e9e882f5","http://resolver.tudelft.nl/uuid:8eab8f9d-e63b-4956-97cc-7284e9e882f5","Size effect on splitting strength of hardened cement paste: Experimental and numerical study","Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2018","Cement paste possesses complex microstructural features including defects/pores over a range of length-scales, from nanometres to millimetres in size. As a consequence, it exhibits different behaviour under loading depending on the size. In this work, cubic specimens in a size range of 1: 400 were produced and tested by a one-sided splitting concept using different testing instruments. The smallest specimen with size of 100 μm showed a high nominal splitting strength (18.81 MPa), an order of magnitude higher than the measured strength of 40 mm specimen (1.8 MPa). The test results were used to fit existing analytical size effect models. Although a good fit can be found for the existing size effect models, special attention should be given to the physical meaning behind these empirical parameters. In addition, a multi-scale modelling strategy that considers microstructural features at different length scales was adopted to model the trend of decreasing strength with specimen size observed in experiments. A good agreement between experimental observations and modelling results indicates that the featured material structure dominates the observed size effect on measured strength in the size range considered.","Micro-mechanical testing; Multiple length scales; Splitting tensile strength; Lattice modelling; Hardened cement paste","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-25","","","Materials and Environment","","",""
"uuid:d3d84124-face-45af-82b9-93c270321529","http://resolver.tudelft.nl/uuid:d3d84124-face-45af-82b9-93c270321529","Multi-omics integrative analysis with genome-scale metabolic model simulation reveals global cellular adaptation of Aspergillus niger under industrial enzyme production condition","Lu, Hongzhong (East China University of Science and Technology); Cao, Weiqiang (East China University of Science and Technology); Liu, X. (East China University of Science and Technology); Sui, Yufei (East China University of Science and Technology); Ouyang, Liming (East China University of Science and Technology); Xia, Jianye (East China University of Science and Technology); Huang, Mingzhi (East China University of Science and Technology); Zhuang, Yingping (East China University of Science and Technology); Zhang, Siliang (East China University of Science and Technology); Noorman, H.J. (TU Delft BT/Bioprocess Engineering); Chu, Ju (East China University of Science and Technology)","","2018","Oxygen limitation is regarded as a useful strategy to improve enzyme production by mycelial fungus like Aspergillus niger. However, the intracellular metabolic response of A. niger to oxygen limitation is still obscure. To address this, the metabolism of A. niger was studied using multi-omics integrated analysis based on the latest GEMs (genome-scale metabolic model), including metabolomics, fluxomics and transcriptomics. Upon sharp reduction of the oxygen supply, A. niger metabolism shifted to higher redox level status, as well as lower energy supply, down-regulation of genes for fatty acid synthesis and a rapid decrease of the specific growth rate. The gene expression of the glyoxylate bypass was activated, which was consistent with flux analysis using the A. niger GEMs iHL1210. The increasing flux of the glyoxylate bypass was assumed to reduce the NADH formation from TCA cycle and benefit maintenance of the cellular redox balance under hypoxic conditions. In addition, the relative fluxes of the EMP pathway were increased, which possibly relieved the energy demand for cell metabolism. The above multi-omics integrative analysis provided new insights on metabolic regulatory mechanisms of A. niger associated with enzyme production under oxygen-limited condition, which will benefit systematic design and optimization of the A. niger microbial cell factory.","","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:c7f8dcad-6f58-43dc-bcc1-7d2817385dac","http://resolver.tudelft.nl/uuid:c7f8dcad-6f58-43dc-bcc1-7d2817385dac","Joint estimation of vertical total electron content (VTEC) and satellite differential code biases (SDCBs) using low-cost receivers","Zhang, Baocheng (Chinese Academy of Sciences); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University); Yuan, Yunbin (Chinese Academy of Sciences); Zhang, Hongxing (Chinese Academy of Sciences); Li, Min (Chinese Academy of Sciences)","","2018","Vertical total electron content (VTEC) parameters estimated using global navigation satellite system (GNSS) data are of great interest for ionosphere sensing. Satellite differential code biases (SDCBs) account for one source of error which, if left uncorrected, can deteriorate performance of positioning, timing and other applications. The customary approach to estimate VTEC along with SDCBs from dual-frequency GNSS data, hereinafter referred to as DF approach, consists of two sequential steps. The first step seeks to retrieve ionospheric observables through the carrier-to-code leveling technique. This observable, related to the slant total electron content (STEC) along the satellite–receiver line-of-sight, is biased also by the SDCBs and the receiver differential code biases (RDCBs). By means of thin-layer ionospheric model, in the second step one is able to isolate the VTEC, the SDCBs and the RDCBs from the ionospheric observables. In this work, we present a single-frequency (SF) approach, enabling the joint estimation of VTEC and SDCBs using low-cost receivers; this approach is also based on two steps and it differs from the DF approach only in the first step, where we turn to the precise point positioning technique to retrieve from the single-frequency GNSS data the ionospheric observables, interpreted as the combination of the STEC, the SDCBs and the biased receiver clocks at the pivot epoch. Our numerical analyses clarify how SF approach performs when being applied to GPS L1 data collected by a single receiver under both calm and disturbed ionospheric conditions. The daily time series of zenith VTEC estimates has an accuracy ranging from a few tenths of a TEC unit (TECU) to approximately 2 TECU. For 73–96% of GPS satellites in view, the daily estimates of SDCBs do not deviate, in absolute value, more than 1 ns from their ground truth values published by the Centre for Orbit Determination in Europe.","Carrier-to-code leveling (CCL); Global navigation satellite system (GNSS); Precise point positioning (PPP); Satellite differential code biases (SDCBs); Thin-layer ionospheric model; Vertical total electron content (VTEC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-02","","","Mathematical Geodesy and Positioning","","",""
"uuid:f55fdc03-ac5e-4311-8b1d-de068d0864a8","http://resolver.tudelft.nl/uuid:f55fdc03-ac5e-4311-8b1d-de068d0864a8","Parameterization of canopy resistance for modeling the energy partitioning of a paddy rice field","Yan, H. (TU Delft Water Resources; Jiangsu University); Zhang, C. (TU Delft Water Resources; Jiangsu University; Institute of Agricultural Engineering); Hiroki, Oue (Ehime University)","","2018","Models for predicting hourly canopy resistance (rc) and latent heat flux (LET) based on the Penman–Monteith (PM) and bulk transfer methods are presented. The micrometeorological data and LET were observed during paddy rice-growing seasons in 2010 in Japan. One approach to model rc was using an aerodynamic resistance (ra) and climatic resistance (r*), while another one was based on a relationship with solar radiation (SR). Nonlinear relationships between rc and r*, and between rc and SR were found for different growing stages of the rice crop. The constructed rc models were integrated to the PM and bulk transfer methods and compared with measured LET using a Bowen ratio–energy balance method. The root mean square errors (RMSEs) were 155.2 and 170.5 W m−2 for the bulk transfer method with rc estimated using r* and with a function of SR, respectively, while the RMSEs were 87.4 and 85.7 W m−2 for the PM method with rc estimated using r* and SR, respectively. The rc integrated PM equation provided better performance than the bulk transfer equation. The results also revealed that neglecting the effect of ra on rc did not yield a significant difference in predicting LET.","Bowen ratio–energy balance method; Bulk transfer method; Canopy resistance; Climate resistance; Latent heat flux; Meteorological data; Penman–Monteith model","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:9826f308-6e01-49c6-927c-f284bee4a893","http://resolver.tudelft.nl/uuid:9826f308-6e01-49c6-927c-f284bee4a893","A novel Lyapunov function for a non-weighted L2 gain of asynchronously switched linear systems","Yuan, S. (TU Delft Team Bart De Schutter); Zhang, Lixian (Harbin Institute of Technology); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter)","","2018","In this paper, a novel Lyapunov function is proposed to study switched linear systems with a switching delay between activation of system modes and activation of candidate controller modes. The novelty consists in continuity of the Lyapunov function at the switching instants and discontinuity when the system modes and controller modes are matched. This structure is exploited to construct a time-varying Lyapunov function that is non-increasing at time instants of discontinuity. Stability criteria based on the novel Lyapunov function are developed to guarantee global asymptotic stability in the noiseless case. Most importantly, when exogenous disturbances are considered, the proposed Lyapunov function can be used to guarantee a finite non-weighted L2 gain for asynchronously switched systems, for which Lyapunov functions proposed in literature are inconclusive. A numerical example illustrates the effectiveness of the proposed method.","Asynchronously switched linear systems; Dwell time; Lyapunov method; Non-weighted L gain","en","journal article","","","","","","","","2019-11-06","","","Team Bart De Schutter","","",""
"uuid:68abb606-5159-4501-9f32-e7ce5bdb9ec9","http://resolver.tudelft.nl/uuid:68abb606-5159-4501-9f32-e7ce5bdb9ec9","Aircraft Damage Identification and Classification for Database-driven Online Safe Flight Envelope Prediction","Zhang, Y. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2018","Safe flight-envelope prediction is essential for preventing aircraft loss of control after the occurrence of sudden structural damage and aerodynamic failures. Considering the unpredictable nature of such failures, many challenges remain in the process of implementing such a prediction system. In this paper, an approach to online safe flight-envelope prediction is proposed that is based on the retrieval of information from offline-assembled databases. One of the key steps of this approach is determining the structural damage of the state of the aircraft by using the identification, detection, and classification methods presented in this paper. The estimated damage cases will lead to structural damage indices in the database corresponding to those safe flight envelopes that are “closest” to the actual safe flight envelope of the damaged aircraft. The feasibility of the proposed database-driven approach is proved by simulation results, where three damage cases are successfully detected and classified.
While the indoor environmental quality of classrooms is a potential issue because it may affect the wellbeing of school children, the relations are still poorly studied. This study aimed to investigate the relations between classroom characteristics and health and comfort of school children.
Material and methods
A questionnaire was distributed among 1311 school children (8–12 years old, average 10) of 54 classrooms at 21 schools in The Netherlands. Additionally, the survey included an inspection of the school and its installations and an inspection of the classrooms surveyed using checklists, and monitoring of some environmental parameters (temperature, relative humidity and CO2 concentration) in the classrooms.
Results
Among the children studied, 87% was bothered by noise, 63% by smells, 42% by sunlight when shining, 35% didn't like the temperature in the classroom (too cold or too warm) and 34% experienced temperature changes. Main diseases reported comprised of allergies (26%), rhinitis (17%), hay fever (16%) and eczema (16%). Health and comfort in non-traditional schools was better than in the traditional schools studied (A non-traditional school is a school in which the way of educating children is different from the traditional way of education, according to a different educational theory). Physical building characteristics of the classrooms studied in the traditional schools were associated with the Classroom Symptom Index (location of school building, heating system, solar devices hampering opening windows or ventilation) and the Classroom Comfort Index (ventilation type, window frame colour, floor material and vacuum cleaning frequency).
Conclusions
Measures to improve acoustical, air, and thermal conditions of children in classrooms are needed. More research is required on the use of different lighting systems and use of different colours in classrooms.","Comfort; Health symptoms; Indoor environment; Traditional schools; Classrooms; School children","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:c1670e03-92a7-48c1-9770-98c8ad38df69","http://resolver.tudelft.nl/uuid:c1670e03-92a7-48c1-9770-98c8ad38df69","Asymmetric perceptual confounds between canonical lightings and materials","Zhang, F. (TU Delft Human Information Communication Design); de Ridder, H. (TU Delft Human Information Communication Design); Pont, S.C. (TU Delft Human Information Communication Design)","","2018","To better understand the interactions between material perception and light perception, we further developed our material probe MatMix 1.0 into MixIM 1.0, which allows optical mixing of canonical lighting modes. We selected three canonical lighting modes (ambient, focus, and brilliance) and created scenes to represent the three illuminations. Together with four canonical material modes (matte, velvety, specular, glittery), this resulted in 12 basis images (the ""bird set""). These images were optically mixed in our probing method. Three experiments were conducted with different groups of observers. In Experiment 1, observers were instructed to manipulate MixIM 1.0 and match optically mixed lighting modes while discounting the materials. In Experiment 2, observers were shown a pair of stimuli and instructed to simultaneously judge whether the materials and lightings were the same or different in a four-category discrimination task. In Experiment 3, observers performed both the matching and discrimination tasks in which only the ambient and focus light were implemented. Overall, the matching and discrimination results were comparable as (a) robust asymmetric perceptual confounds were found and confirmed in both types of tasks, (b) performances were consistent and all above chance levels, and (c) observers had higher sensitivities to our canonical materials than to our canonical lightings. The latter result may be explained in terms of a generic insensitivity for naturally occurring variations in light conditions. Our findings suggest that midlevel image features are more robust across different materials than across different lightings and, thus, more diagnostic for materials than for lightings, causing the asymmetric perceptual confounds.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:61a07eda-dc4d-4984-bd01-5def7fee09c4","http://resolver.tudelft.nl/uuid:61a07eda-dc4d-4984-bd01-5def7fee09c4","Light-Driven Enzymatic Decarboxylation of Fatty Acids","Huijbers, M.M.E. (TU Delft BT/Biocatalysis); Zhang, W. (TU Delft BT/Biocatalysis); Tonin, F. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2018","The photoenzymatic decarboxylation of fatty acids to alkanes is proposed as an alternative approach for the synthesis of biodiesel. By using a recently discovered photodecarboxylase from Chlorella variabilis NC64A (CvFAP) we demonstrate the irreversible preparation of alkanes from fatty acids and triglycerides. Several fatty acids and their triglycerides are converted by CvFAP in near-quantitative yield and exclusive selectivity upon illumination with blue light. Very promising turnover numbers of up to 8000 were achieved in this proof-of-concept study.","biocatalysis; biofuels; decarboxylation; fatty acids; photocatalysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-14","","","BT/Biocatalysis","","",""
"uuid:f93ec048-007c-44b7-ac51-976d249c3864","http://resolver.tudelft.nl/uuid:f93ec048-007c-44b7-ac51-976d249c3864","Triple-action self-healing protective coatings based on shape memory polymers containing dual-function microspheres","Huang, Yao (University of Science and Technology Beijing); Deng, Leping (University of Science and Technology Beijing); Ju, Pengfei (Shanghai Aerospace Equipment Manufacturer); Huang, Luyao (University of Science and Technology Beijing); Qian, H. (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Li, Xiaogang (University of Science and Technology Beijing); Terryn, H.A. (TU Delft (OLD) MSE-6; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6)","","2018","In this study, a new self-healing shape memory polymer (SMP) coating was prepared to protect the aluminum alloy 2024-T3 from corrosion by the incorporation of dual-function microspheres containing polycaprolactone and the corrosion inhibitor 8-hydroxyquinoline (8HQ). The self-healing properties of the coatings were investigated via scanning electron microscopy, electrochemical impedance spectroscopy, and scanning electrochemical microscopy following the application of different healing conditions. The results demonstrated that the coating possessed a triple-Action self-healing ability enabled by the cooperation of the 8HQ inhibitor, the SMP coating matrix, and the melted microspheres. The coating released 8HQ in a pH-dependent fashion and immediately suppressed corrosion within the coating scratch. After heat treatment, the scratched coating exhibited excellent recovery of its anticorrosion performance, which was attributed to the simultaneous initiation of scratch closure by the shape memory effect of the coating matrix, sealing of the scratch by the melted microspheres, and the synergistic effect of corrosion inhibition by 8HQ.","corrosion; corrosion inhibitor; self-healing coating; shape memory polymer; smart materials","en","journal article","","","","","","","","","","","(OLD) MSE-6","","",""
"uuid:a18674ca-abc2-4114-985b-26fa324249bb","http://resolver.tudelft.nl/uuid:a18674ca-abc2-4114-985b-26fa324249bb","Interface-Engineered Li7La3Zr2O12-Based Garnet Solid Electrolytes with Suppressed Li-Dendrite Formation and Enhanced Electrochemical Performance","Zhang, Zhaoshuai (Yanshan University); Zhang, Long (Yanshan University); Liu, Yanyan (Yanshan University); Wang, Hongqiang (Hebei University); Yu, C. (TU Delft RST/Storage of Electrochemical Energy); Zeng, Hong (China Iron & Steel Research Institute Group); Wang, L. (Yanshan University); Xu, B. (Yanshan University)","","2018","High grain-boundary resistance, Li-dendrite formation, and electrode/Li interfacial resistance are three major issues facing garnet-based solid electrolytes. Herein, interfacial architecture engineering by incorporating 1-butyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl) imide (BMP-TFSI) ionic liquid into a garnet oxide is proposed. The “soft” continuous BMP-TFSI coating with no added Li salt generates a conducting network facilitating Li+ transport and thus changes the ion conduction mode from point contacts to face contacts. The compacted microstructure suppresses Li-dendrite growth and shows good interfacial compatibility and interfacial wettability toward Li metal. Along with a broad electrochemical window larger than 5.5 V and an Li+ transference number that practically reaches unity, LiNi0.8Co0.1Mn0.1O2/Li and LiFePO4/Li solid-state batteries with the hybrid solid electrolyte exhibit superior cycling stability and low polarization, comparable to those with commercial liquid electrolytes, and excellent rate capability that is better than those of Li-salt-based ionic-liquid electrolytes.","batteries; garnet; interfaces; ionic liquids; solid electrolytes","en","journal article","","","","","","Accepted Author Manuscript","","2019-09-07","","","RST/Storage of Electrochemical Energy","","",""
"uuid:20e281ef-aecc-44d0-8245-23c9646ee134","http://resolver.tudelft.nl/uuid:20e281ef-aecc-44d0-8245-23c9646ee134","Influence of Pressure on the Mechanical and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals","Qin, Hongbo (Guilin University of Electronic Technology); Kuang, Tianfeng (Guilin University of Electronic Technology); Luan, Xinghe (Guilin University of Electronic Technology); Li, Wangyun (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology; Nanjing University of Science and Technology); Yang, Daoguo (Guilin University of Electronic Technology; Student TU Delft); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","The mechanical and electronic properties of two GaN crystals, wurtzite and zinc-blende GaN, under various hydrostatic pressures were investigated using first principles calculations. The results show that the lattice constants of the two GaN crystals calculated in this study are close to previous experimental results, and the two GaN crystals are stable under hydrostatic pressures up to 40 GPa. The pressure presents extremely similar trend effect on the volumes of unit cells and average Ga-N bond lengths of the two GaN crystals. The bulk modulus increases while the shear modulus decreases with the increase in pressure, resulting in the significant increase of the ratios of bulk moduli to shear moduli for the two GaN polycrystals. Different with the monotonic changes of bulk and shear moduli, the elastic moduli of the two GaN polycrystals may increase at first and then decrease with increasing pressure. The two GaN crystals are brittle materials at zero pressure, while they may exhibit ductile behaviour under high pressures. Moreover, the increase in pressure raises the elastic anisotropy of GaN crystals, and the anisotropy factors of the two GaN single crystals are quite different. Different with the obvious directional dependences of elastic modulus, shear modulus and Poisson’s ratio of the two GaN single crystals, there is no anisotropy for bulk modulus, especially for that of zinc-blende GaN. Furthermore, the band gaps of GaN crystals increase with increasing pressure, and zinc-blende GaN has a larger pressure coefficient. To further understand the pressure effect on the band gap, the band structure and density of states (DOSs) of GaN crystals were also analysed in this study.","Electronic property; First principle; Gan; Mechanical property; Pressure","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7e03b12d-9885-49ba-a240-9a98e5b44e66","http://resolver.tudelft.nl/uuid:7e03b12d-9885-49ba-a240-9a98e5b44e66","An improved stress recovery technique for low-order 3D finite elements","Sharma, Rahul; Zhang, J. (TU Delft Computational Design and Mechanics); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2018","In this paper, we propose a stress recovery procedure for low-order finite elements in 3D. For each finite element, the recovered stress field is obtained by satisfying equilibrium in an average sense and by projecting the directly calculated stress field onto a conveniently chosen space. Compared with existing recovery techniques, the current procedure gives more accurate stress fields, is simpler to implement, and can be applied to different types of elements without further modification. We demonstrate, through a set of examples in linear elasticity, that the recovered stresses converge at a higher rate than that of directly calculated stresses and that, in some cases, the rate of convergence is the same as that of the displacement field.","Finite element analysis; Low-order 3D finite elements; Post-processed stress; Stress convergence; Stress recovery","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:1d57be72-71f7-47bd-959b-94c5085b69c8","http://resolver.tudelft.nl/uuid:1d57be72-71f7-47bd-959b-94c5085b69c8","A nano-fibrous platform of copolymer patterned surfaces for controlled cell alignment","Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Gil Arranja, A. (TU Delft RST/Applied Radiation & Isotopes; TU Delft ChemE/Advanced Soft Matter; University Medical Center Utrecht); Chen, Hongyu (Virginia Tech); Mytnyk, S. (TU Delft ChemE/Product and Process Engineering); Wang, Y. (TU Delft ChemE/Advanced Soft Matter); Oldenhof, S. (TU Delft ChemE/Advanced Soft Matter; Netherlands Forensic Institute - NFI); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter); Mendes, E. (TU Delft ChemE/Advanced Soft Matter)","","2018","The last decade has witnessed great progress in understanding and manipulating self-assembly of block copolymers in solution. A wide variety of micellar structures can be created and many promising applications in bioscience have been reported. In particular, nano-fibrous micelles provide a great platform to mimic the filamentous structure of native extracellular matrix (ECM). However, the evaluation of this kind of filomicellar system with potential use in tissue engineering is virtually unexplored. The question behind it, such as if the block copolymer nano-fibrous micelles can regulate cellular response, has lingered for many years because of the difficulties in preparation and 3D manipulation of these tiny objects. Here, by using a combination approach of self-assembly of block copolymers and soft lithography, we establish a novel and unique nano-fibrous 2D platform of organized micelles and demonstrate that patterned micelles enable control over the cellular alignment behavior. The area density and orientation of fibrous micelles determine the alignment degree and directionality of cells, respectively. Furthermore, when cells were cultured on multi-directionally aligned micelles, a competitive response was observed. Due to the virtually infinite possibilities of functionalization of the micelle corona, our work opens a new route to further mimic the native fibrous networks with artificial micelles containing various functionalities.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:5d8722aa-6026-48dc-87ce-fa10d2d77658","http://resolver.tudelft.nl/uuid:5d8722aa-6026-48dc-87ce-fa10d2d77658","Effects of sediment disturbance regimes on Spartina seedling establishment: Implications for salt marsh creation and restoration","Cao, Haobing (Shanghai Estuarine and Coastal Science Research Center); Zhu, Zhenchang (Universiteit Utrecht); Balke, Thorsten (University of Glasgow); Zhang, Liquan (Shanghai Estuarine and Coastal Science Research Center); Bouma, T.J. (TU Delft Environmental Fluid Mechanics)","","2018","Seedling establishment is an important process relevant for the restoration of salt marsh within the framework of sustainable coastal defense schemes. Recent studies have increasingly highlighted how the short-term (i.e., the day-to-day) sediment dynamics can form major bottlenecks for seedling establishment. Until recently, studies on quantifying the threshold values of such short-term sediment dynamics for marsh seedlings remain rare. As accretion/erosion trends and dynamics may differ greatly under global change, we study the effects of short-term sediment disturbance-regimes on seedling establishment of two globally distributed foundation species: Spartina alterniflora and Spartina anglica. Seedlings with different disturbance-free periods were exposed to a set of different accretion/erosion-regimes in the laboratory. Seedling survival appeared to be much more sensitive to erosion than accretion, seedlings with short disturbance-free periods were more sensitive than seedlings with longer ones, and S. alterniflora was more sensitive than S. anglica. Seedlings were less sensitive to gradual changes in sediment height (accretion/erosion) than to abrupt changes where time for morphological adjustment is lacking. Critical erosion depth (the maximum erosion that seedlings are able to withstand) was shown to mainly depend on sedimentation history. Our results confirm that the establishment of Spartina seedlings requires a flooding disturbance-free “window of opportunity” and that sediment disturbances affect their survival both directly and via morphological adjustment. These results provide fundamental insights into seedling establishment that can be used for designing engineering measures to create suitable conditions and enable marsh creation/restoration for nature goals or as part of coastal defense schemes under global change.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","http://resolver.tudelft.nl/uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","The effects of graphene stacking on the performance of methane sensor: A first-principles study on the adsorption, band gap and doping of graphene","Yang, Ning (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology); Chen, Liangbiao (Lamar University); Liu, Dongjing (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Fan, Xuejun (Lamar University)","","2018","The effects of graphene stacking are investigated by comparing the results of methane adsorption energy, electronic performance, and the doping feasibility of five dopants (i.e., B, N, Al, Si, and P) via first-principles theory. Both zigzag and armchair graphenes are considered. It is found that the zigzag graphene with Bernal stacking has the largest adsorption energy on methane, while the armchair graphene with Order stacking is opposite. In addition, both the Order and Bernal stacked graphenes possess a positive linear relationship between adsorption energy and layer number. Furthermore, they always have larger adsorption energy in zigzag graphene. For electronic properties, the results show that the stacking effects on band gap are significant, but it does not cause big changes to band structure and density of states. In the comparison of distance, the average interlamellar spacing of the Order stacked graphene is the largest. Moreover, the adsorption effect is the result of the interactions between graphene and methane combined with the change of graphene’s structure. Lastly, the armchair graphene with Order stacking possesses the lowest formation energy in these five dopants. It could be the best choice for doping to improve the methane adsorption.","Adsorption; Doping; Electronic performance; First-principles theory; Graphene stacking; Methane sensor","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:36effcd5-3a0e-48f8-89dc-acefab823a53","http://resolver.tudelft.nl/uuid:36effcd5-3a0e-48f8-89dc-acefab823a53","Combined experimental and numerical study on micro-cube indentation splitting test of cement paste","Zhang, H. (TU Delft Microlab; TU Delft Materials and Environment); Šavija, B. (TU Delft Microlab; TU Delft Materials and Environment); Schlangen, E. (TU Delft Microlab; TU Delft Materials and Environment)","","2018","The aim of this paper is to investigate the fracture performance of cement paste at micro scale by both experimental and numerical methods. Micro cubic specimens with length of 100 µm were fabricated by precision cutting, grinding and micro-dicing, and tested by splitting with a wedge tip mounted on a nano-indenter. A nominal splitting tensile strength was derived from the maximum load of the recorded load-displacement diagram to represent the global fracture performance of the fractured micro-cube. To achieve this, an analogy was made between the micro-cube indentation splitting test and the standard Brazilian splitting test. To cope with the inherent heterogeneity of this material at micro scale, for cement paste with each water/cement (w/c) ratio (0.3, 0.4 and 0.5), more than hundred micro-cube specimens were fabricated, tested and analysed using Weibull statistics. The analysis shows that the splitting tensile strength of cement paste on the micro scale is much higher than on the macroscopic scale but lower than tensile strength of distinct hydrated cement phases measured on micro or nano scale. Furthermore, higher and less scattered values of splitting tensile strength were observed for the specimens with lower w/c ratio. In parallel with the experiments, a micro-structure informed lattice fracture model was adopted to simulate the fracture process of the micro-cube under indentation splitting. The simulated results were compared with the experimental one and have a good consistency in terms of the load-displacement curve and fracture pattern. With the method presented in this paper the framework for validation of the modelling results at micro scale is created.","Cement paste; Lattice modelling; Micro-cube splitting; Micromechanics; Splitting tensile strength","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-10-13","","","Microlab","","",""
"uuid:5a8fa606-444f-4cd8-b364-5db930111a32","http://resolver.tudelft.nl/uuid:5a8fa606-444f-4cd8-b364-5db930111a32","Coupling dynamics of epidemic spreading and information diffusion on complex networks","Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Zhou, Ge (Hangzhou Normal University; Shanghai Jiao Tong University); Zhang, Zi-Ke (Hangzhou Normal University; Shanghai Jiao Tong University); Sun, Gui-Quan (Shanxi University); Zhu, Jonathan J. H. (City University of Hong Kong); Jin, Zhen (Shanxi University)","","2018","The interaction between disease and disease information on complex networks has facilitated an interdisciplinary research area. When a disease begins to spread in the population, the corresponding information would also be transmitted among individuals, which in turn influence the spreading pattern of the disease. In this paper, firstly, we analyze the propagation of two representative diseases (H7N9 and Dengue fever) in the real-world population and their corresponding information on Internet, suggesting the high correlation of the two-type dynamical processes. Secondly, inspired by empirical analyses, we propose a nonlinear model to further interpret the coupling effect based on the SIS (Susceptible-Infected-Susceptible) model. Both simulation results and theoretical analysis show that a high prevalence of epidemic will lead to a slow information decay, consequently resulting in a high infected level, which shall in turn prevent the epidemic spreading. Finally, further theoretical analysis demonstrates that a multi-outbreak phenomenon emerges via the effect of coupling dynamics, which finds good agreement with empirical results. This work may shed light on the in-depth understanding of the interplay between the dynamics of epidemic spreading and information diffusion.","Coupling dynamics; Epidemic spreading; Information diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Multimedia Computing","","",""
"uuid:467a0784-3d30-4f4c-939e-4e324c29f918","http://resolver.tudelft.nl/uuid:467a0784-3d30-4f4c-939e-4e324c29f918","Epidemic dynamics on information-driven adaptive networks","Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Sun, Gui-Quan (Shanxi University); Zhang, Zi-Ke (Hangzhou Normal University; Shanghai Jiao Tong University; Alibaba Research Institute)","","2018","Research on the interplay between the dynamics on the network and the dynamics of the network has attracted much attention in recent years. In this work, we propose an information-driven adaptive model, where disease and disease information can evolve simultaneously. For the information-driven adaptive process, susceptible (infected) individuals who have abilities to recognize the disease would break the links of their infected (susceptible) neighbors to prevent the epidemic from further spreading. Simulation results and numerical analyses based on the pairwise approach indicate that the information-driven adaptive process can not only slow down the speed of epidemic spreading, but can also diminish the epidemic prevalence at the final state significantly. In addition, the disease spreading and information diffusion pattern on the lattice as well as on a real-world network give visual representations about how the disease is trapped into an isolated field with the information-driven adaptive process. Furthermore, we perform the local bifurcation analysis on four types of dynamical regions, including healthy, a continuous dynamic behavior, bistable and endemic, to understand the evolution of the observed dynamical behaviors. This work may shed some lights on understanding how information affects human activities on responding to epidemic spreading.","Adaptive model; Bifurcation analysis; Epidemic spreading; Information diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-31","","","Multimedia Computing","","",""
"uuid:4aeb9971-b567-4ba8-a9da-3776e0f69433","http://resolver.tudelft.nl/uuid:4aeb9971-b567-4ba8-a9da-3776e0f69433","Facile Synthesis toward the Optimal Structure-Conductivity Characteristics of the Argyrodite Li6PS5Cl Solid-State Electrolyte","Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ganapathy, S. (TU Delft RST/Storage of Electrochemical Energy); Hageman, J.G. (TU Delft Applied Sciences); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Van Eck, Ernst R.H. (Radboud Universiteit Nijmegen); Zhang, Long (Yanshan University); Schwietert, T.K. (TU Delft Applied Sciences); Basak, S. (TU Delft RST/Storage of Electrochemical Energy); Kelder, E.M. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2018","The high Li-ion conductivity of the argyrodite Li6PS5Cl makes it a promising solid electrolyte candidate for all-solid-state Li-ion batteries. For future application, it is essential to identify facile synthesis procedures and to relate the synthesis conditions to the solid electrolyte material performance. Here, a simple optimized synthesis route is investigated that avoids intensive ball milling by direct annealing of the mixed precursors at 550 °C for 10 h, resulting in argyrodite Li6PS5Cl with a high Li-ion conductivity of up to 4.96 × 10-3 S cm-1 at 26.2 °C. Both the temperature-dependent alternating current impedance conductivities and solid-state NMR spin-lattice relaxation rates demonstrate that the Li6PS5Cl prepared under these conditions results in a higher conductivity and Li-ion mobility compared to materials prepared by the traditional mechanical milling route. The origin of the improved conductivity appears to be a combination of the optimal local Cl structure and its homogeneous distribution in the material. All-solid-state cells consisting of an 80Li2S-20LiI cathode, the optimized Li6PS5Cl electrolyte, and an In anode showed a relatively good electrochemical performance with an initial discharge capacity of 662.6 mAh g-1 when a current density of 0.13 mA cm-2 was used, corresponding to a C-rate of approximately C/20. On direct comparison with a solid-state battery using a solid electrolyte prepared by the mechanical milling route, the battery made with the new material exhibits a higher initial discharge capacity and Coulombic efficiency at a higher current density with better cycling stability. Nevertheless, the cycling stability is limited by the electrolyte stability, which is a major concern for these types of solid-state batteries.","argyrodite; conductivity; solid-state batteries; structure; sulfide solid electrolyte","en","journal article","","","","","","","","","Applied Sciences","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:b72e36e4-b0c3-4b12-9998-79adc48ed4bf","http://resolver.tudelft.nl/uuid:b72e36e4-b0c3-4b12-9998-79adc48ed4bf","Applying agent based modelling and simulation for domino effect assessment in the chemical industries","Zhang, L. (TU Delft Safety and Security Science); Landucci, Gabriele (University of Pisa; Universiteit Leiden); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Ovidi, Federica (University of Pisa); Khakzad, N. (TU Delft Safety and Security Science); Zhou, Jianfeng (Guangdong University of Technology)","","2018","The propagation of accidents among process units may cause amplification of accident magnitude, resulting in a domino effect chain. Several catastrophic accidents occurred in the process and chemical industry presented these features. Hence, research efforts have been given to the analysis of the domino effects in order to enhance prevention and mitigation strategies. In this work, challenges of analysing domino effects in the chemical industries are discussed, highlighting that quantitative analytic approaches suffer from the complexity on assessing domino effects, especially when dealing with simultaneous accidents propagating among multiple units. Therefore, a bottom-up modelling approach, namely, the agent based modelling and simulation (ABM&S) approach, is introduced for analysing domino effects. Moreover, a prototype model for assessing domino effects in the chemical industries by using agent based modelling and simulation (DAMS) is given and further extensions of the prototype model is also discussed, highlighting the potential benefits.","","en","book chapter","Italian Association of Chemical Engineering","","","","","","","","","","Safety and Security Science","","",""
"uuid:63b115c9-6ec1-40d7-8bb3-33dcc6d4cb10","http://resolver.tudelft.nl/uuid:63b115c9-6ec1-40d7-8bb3-33dcc6d4cb10","Underwater Source Localization Using TDOA and FDOA Measurements with Unknown Propagation Speed and Sensor Parameter Errors","Zhang, Bingbing (National University of Defense Technology); Hu, Y. (TU Delft Signal Processing Systems); Wang, Hongying (National University of Defense Technology); Zhuang, Zhaowen (National University of Defense Technology)","","2018","Underwater source localization problems are complicated and challenging: a) the sound propagation speed is often unknown and the unpredictable ocean current might lead to the uncertainties of sensor parameters (i.e. position and velocity); b) the underwater acoustic signal travels much slower than the radio one in terrestrial environments, thus resulting into a significantly severe Doppler effect; c) energy-efficient techniques are urgently required and hence in favour of the design with a low computational complexity. Considering these issues, we propose a simple and efficient underwater source localization approach based on time difference of arrival (TDOA) and frequency difference of arrival (FDOA) measurements, which copes with unknown propagation speed and sensor parameter errors. The proposed method mitigates the impact of the Doppler effect for accurately inferring the source parameters (i.e. position and velocity). The Cramér-Rao lower bounds (CRLBs) for this kind of localization are derived and, moreover, the analytical study shows that our method can yield the performance that is very close to the CRLB, particularly under small noise. The numerical results not only confirm the above conclusions but also show that our method outperforms other competing approaches.","algebraic solution; Covariance matrices; Current measurement; Doppler effect; frequency difference of arrival (FDOA); Measurement uncertainty; Oceans; Sea measurements; sensor node uncertainty; sound propagation speed uncertainty; time difference of arrival (TDOA); Uncertainty; Underwater localization","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:ab948c51-e7e4-4acd-a612-4743b17d70df","http://resolver.tudelft.nl/uuid:ab948c51-e7e4-4acd-a612-4743b17d70df","Thermo – mechanical properties of SPS produced self-healing thermal barrier coatings containing pure and alloyed MoSi2 particles","Kulczyk-Malecka, Justyna (The University of Manchester); Zhang, Xun (The University of Manchester); Carr, James (The University of Manchester); Nozahic, Franck (Université de Toulouse); Estournès, Claude (Université de Toulouse); Monceau, Daniel (Université de Toulouse); Carabat, A.L. (TU Delft (OLD) MSE-1); Sloof, W.G. (TU Delft (OLD) MSE-1); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Withers, Philip J. (The University of Manchester); Xiao, Ping (The University of Manchester)","","2018","Yttria – partially stabilised zirconia (YPSZ) MoSi2 composites have been designed to prolong the lifetime of the matrix by self – healing cracks during thermal cycling. The healing reaction at high temperatures is based on the decomposition of MoSi2, leading to a volumetrically expanding reaction product, which seals the crack. In this work, coefficient of thermal expansion (CTE) and the fracture toughness of composites containing MoSi2 particles, produced by spark plasma sintering (SPS) have been compared to conventional YPSZ. The CTE mismatch between YPSZ and MoSi2 was found to be small, implying that thermally induced mismatch stresses will be small and the composites have a similar CTE to conventional YPSZ. Fracture toughness was found not to be affected by the particles and showed similar values to unreinforced YPSZ. Cracks introduced by indentation have been shown neither to prefer, or avoid, the particles suggesting that such a composite system is capable of autonomously activating the self – healing reaction.","Ceramic composites; Life time extension; Mechanical properties; Self – repairing materials; Thermal barrier coatings (TBC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-01","","","(OLD) MSE-1","","",""
"uuid:a3298a3e-45ee-4e40-a154-70d665ed57d0","http://resolver.tudelft.nl/uuid:a3298a3e-45ee-4e40-a154-70d665ed57d0","Effect of porosity variation strategy on the performance of functionally graded Ti-6Al-4V scaffolds for bone tissue engineering","Zhang, Xiang Yu (Tsinghua University); Fang, Gang (Tsinghua University); Xing, Lei Lei (Tsinghua University); Liu, Wei (Tsinghua University); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2018","Functionally graded scaffold (FGS) is designed to mimic the morphology, mechanical and biological properties of natural bone closely. Porosity variation strategy between different regions in FGS plays a crucial role in influencing its mechanical and biological performance. A combination of modeling tool and scripting language can effectively enhance the ability to optimize FGS design. This study was aimed at determining the effect of porosity variation strategy on the mechanical performance and permeability of the as-built and as-heat-treated FGSs. Ti-6Al-4V FGSs with sizes of 10 × 10 × 15 mm and diamond lattice structures were designed and fabricated by means of selective laser melting. A wide range of porosities in the FGSs (38–75%) were achieved by applying six different porosity variation strategies. The elastic modulus (3.7–5.7 GPa) and yield strength (27.1–84.7 MPa) of the as-built FGSs were found to vary between the corresponding mechanical properties of cancellous bone and cortical bone. Heat treatment reduced the strengths by 13–56%. Porosity variation strategy strongly affected the deformation behavior and failure mechanisms of the FGSs. The sigmoid function-controlled FGSs showed gradual failure behavior and sample Sigk0.5b8 showed superior overall performance. The results demonstrated that porosity variation strategy is a feasible means for tailor design of FGS.","Functionally graded scaffold; Mechanical properties; Permeability; Selective laser melting; Ti-6Al-4V","en","journal article","","","","","","Accepted Author Manuscript","","2020-08-08","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:20bd1de1-f419-47aa-a093-e7356ce6c20d","http://resolver.tudelft.nl/uuid:20bd1de1-f419-47aa-a093-e7356ce6c20d","Synthesis of severe lattice distorted MoS2 coupled with hetero-bonds as anode for superior lithium-ion batteries","Liu, Yanyan (Yanshan University); Zhang, Long (Yanshan University); Wang, Hongqiang (Hebei University); Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yan, Xinlin (Technische Universität Wien); Liu, Qiunan (Yanshan University); Xu, Bo (Yanshan University); Wang, Li min (Yanshan University)","","2018","Exploration of advanced anode materials is a highly relevant research topic for next generation lithium-ion batteries. Here, we report severe lattice distorted MoS2 nanosheets with a flower-like morphology prepared with PEG400 as additive, which acts not only as surfactant but importantly, also as reactant. Notably, in the absence of a carbon-related incorporation/decoration, it demonstrates superior electrochemical performance with a high reversible capacity, a good cycling stability, and an excellent rate capability, originated from the advantages of synthesized MoS2 including enlarged interlayer spacing, 1T-like metallic behavior, and coupling of Mo–O–C (and Mo–O) hetero-bonds. PEG-assisted synthesis is believed applicable to other anode materials with a layered structure for lithium-ion batteries.","Lattice distortion; Lithium-ion batteries; MoS; Oxygen-containing groups; Polyethylene glycol","en","journal article","","","","","","","","2020-02-05","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:27f2e570-dd24-473a-bdd2-d5ea67a8ef11","http://resolver.tudelft.nl/uuid:27f2e570-dd24-473a-bdd2-d5ea67a8ef11","A clustered and surrogate-based MDA use case for MDO scenarios in AGILE project","Lefebvre, Thierry (ENSIACET); Bartoli, Nathalie (ENSIACET); Dubreuil, Sylvain (ENSIACET); Panzeri, Marco (NOESIS Solutions N.V); Lombardi, Riccardo (NOESIS Solutions N.V); Lammen, Wim (Royal Netherlands Aerospace Centre NLR); Zhang, Mengmeng (AIRINNOVA AB); van Gent, I. (TU Delft Flight Performance and Propulsion); Ciampa, Pier Davide (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2018","In this paper methodological investigations regarding an innovative Multidisciplinary Design and Optimization (MDO) approach for conceptual aircraft design are presented. These research activities are part of the ongoing EU-funded research project AGILE. The next generation of aircraft MDO processes is developed in AGILE, which targets significant reductions in aircraft development cost and time to market, leading to cheaper and greener aircraft solutions. The paper introduces the AGILE project structure and recalls the achievements of the first year of activities where a reference distributed MDO system has been formulated, deployed and applied to the design and optimization of a reference conventional aircraft configuration. Then, investigations conducted in the second year are presented, all aiming at making the complex optimization workflows easier to handle, characterized by a high degree of discipline interdependencies, multi-level processes and multi-partner collaborative engineering activities. The paper focuses on an innovative approach in which knowledge-based engineering and collaborative engineering techniques are used to handle a complex aircraft design workflow. Surrogate models replacing clusters of analysis disciplines have been developed and applied to make workflow execution more efficient. The paper details the different steps of the developed approach to set up and operate this test case, involving a team of aircraft design and surrogate modelling specialists, and taking advantage of the AGILE MDO framework. To validate the approach, different executable workflows were generated automatically and used to efficiently compare different MDO formulations. The use of surrogate models for clusters of design competences have been proved to be efficient approach not only to decrease the computational time but also to benchmark different MDO formulations on a complex optimization problem.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","2019-09-01","","","Flight Performance and Propulsion","","",""
"uuid:4c742c25-ad37-4392-bb4b-16b6a69c577e","http://resolver.tudelft.nl/uuid:4c742c25-ad37-4392-bb4b-16b6a69c577e","Interfacial Failure Characterization of Electronic Packaging Component Using a Multiscale Modelling Approach","Cui, Z. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Yingying (Chongqing University); Yang, Qun (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2018","Interfacial properties of Cu/SiO2 in semiconductor devices has been a challenging study for many years because of its difficulties in experimentally quantifying the critical strength of interface. In this paper, a multi-scale modeling approach is built to characterize the interfacial properties between Cu and SiO2. The Cu and SiO2 are bonded by three types of chemical bonds, which cause three atomistic interfacial structures. The fracture of Cu-O and Cu-Si bonded interfaces occur at the interface, however, the fracture for Cu-OO interface occurs at copper layer near the interface, indicating two different fracture criterions coexist in Cu/SiO2 system.","Load modeling; Loading; Substrates; Copper; Adhesives; Solid modeling; Computational modeling","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9e215466-bc4a-40f1-96f9-6cdba36441e5","http://resolver.tudelft.nl/uuid:9e215466-bc4a-40f1-96f9-6cdba36441e5","Promoting sensitivity and selectivity of HCHO sensor based on strained InP3 monolayer: A DFT study","Yang, Huiru (Chongqing University); Wang, Zeping (Chongqing University); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Kai (Guangdong University of Technology); Chen, X. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Sensitive materials for formaldehyde (HCHO) sensor need high sensitivity and selectivity. The research on two dimensional (2D) sensitive material is growing, and most studies focus on the pristine or modified graphene. So it is essential to introduce other 2D materials into HCHO gas sensor. In this report, the adsorption behaviors of organic gas molecules including C2H6, C2H4, C2H2, C6H6, C2H5OH and HCHO over indium triphosphide (InP3) monolayer were studied by using first-principle atomistic simulations. The calculation results demonstrate that InP3 monolayer has a high sensitivity and selectivity to HCHO than others. By comparing the structures and adsorption results of InP3 monolayer, graphene and single-layered MoS2, it was found that the polarity bonds and steric effect of the site on monolayer play an important role in the detection of HCHO. The effect of strain on the gas/substrate adsorption systems was also studied, implying that the stained InP3 monolayer could enhance the sensitivity and selectivity to HCHO. This study provides useful insights into the gas-surface interaction that may assist future experimental development of 2D material for HCHO sensing and performance optimization based on strain.","First-principles calculation; HCHO sensing; InP monolayer; Strain","en","journal article","","","","","","Accepted author manuscript","","2020-08-09","","","Electronic Components, Technology and Materials","","",""
"uuid:80b27f08-38b8-46c3-b92d-7613e0884666","http://resolver.tudelft.nl/uuid:80b27f08-38b8-46c3-b92d-7613e0884666","Nonconventional regeneration of redox enzymes-a practical approach for organic synthesis?","Zhang, W. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2018","Oxidoreductases have become useful tools in the hands of chemists to perform selective and mild oxidation and reduction reactions. Instead of mimicking native catalytic cycles, generally involving costly and unstable nicotinamide cofactors, more direct, NAD(P)-independent methodologies are being developed. The promise of these approaches not only lies with simpler and cheaper reaction schemes but also with higher selectivity as compared to whole cell approaches and their mimics.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-10-19","","","BT/Biocatalysis","","",""
"uuid:4efdd42b-7eac-40ee-8036-4d7b313a7dff","http://resolver.tudelft.nl/uuid:4efdd42b-7eac-40ee-8036-4d7b313a7dff","Analysis of the interaction between moving α/γ interfaces and interphase precipitated carbides during cyclic phase transformations in a Nb-containing Fe-C-Mn alloy","Dong, Haokai (Tsinghua University); Chen, Hao (Tsinghua University); Wang, Wei (Baosteel Research Institute); Zhang, Yongjie (Tohoku University); Miyamoto, Goro (Tohoku University); Furuhara, Tadashi (Tohoku University); Zhang, Chi (Tsinghua University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2018","The interaction between moving α/γ interfaces and interphase precipitated (IPd) carbides during the austenite (γ) to ferrite (α) and the ferrite (α) to austenite (γ) transformation has been systematically investigated through cyclic phase transformation experiments for a 0.1C-1.5Mn alloy containing 0.1 wt% Niobium (Nb) and its Nb-free counterpart. Shifts in the critical reaction temperatures during continuous heating and cooling are observed, which are attributed to the pinning force (PF) originating from the IPd carbides present. By applying the Gibbs energy balance (GEB) model to analyze experimental results, the PF was derived to be about 15 J/mol for the α→γ transformation and about 5 J/mol for the γ→α transformation, respectively, both of which are quite small compared to chemical driving force of phase transformations. Moreover, various modified Zener pinning equations have also been used to predict the PF, and it was found that these values are comparable with those obtained from experiments, which suggests that the classical Zener theory still has promising potential for carbide-interface interaction analysis.","Carbide; Interface migration; Interphase precipitation; Phase transformation; Pinning force","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-04-01","","","Novel Aerospace Materials","","",""
"uuid:3a3320a8-5fdc-4e40-a605-afc18b96ea4b","http://resolver.tudelft.nl/uuid:3a3320a8-5fdc-4e40-a605-afc18b96ea4b","Lithium halide coating as an effective intergrain engineering for garnet-type solid electrolytes avoiding high temperature sintering","Zhang, Zhaoshuai (Yanshan University); Zhang, Long (Yanshan University); Yu, C. (TU Delft RST/Storage of Electrochemical Energy); Yan, Xinlin (Technische Universität Wien); Xu, Bo (Yanshan University); Wang, L. (Yanshan University)","","2018","Garnet-type Li7La3Zr2O12 solid electrolytes were commonly prepared by two steps solid-state reaction method, which undergoes high temperature over 1000 °C and thus inevitable for lithium volatilization and formation of secondary phases. Here, we propose a new intergrain architecture engineering of a solution method, to avoid high temperature sintering for preparing lithium halide (LiX) coated garnet-type solid electrolytes, which contain Al and Ta co-doped Li7La3Zr2O12 (Li6.75La3Zr1.75Ta0.25O12, LLZTO) synthesized at 900 °C with cubic structure. Owing to the increased relative density, the improved formability, and the altered ion transport mode from point to face conduction by LiX coating on LLZTO grains, LiX-coated LLZTO samples demonstrate a good Li dendrite suppression ability and a high ionic conductivity that is three orders of magnitude higher than pristine LLZTO. In another way, this result demonstrates the critical role of the grain boundaries on the ion transport for oxide superionic conductors. The present coating method provides a new strategy to prepare brittle solid electrolytes avoiding high temperature sintering.","Coating; Garnet; LiLaZrO; Lithium halides; Solid electrolytes","en","journal article","","","","","","Accepted Author Manuscript","","2020-09-13","","","RST/Storage of Electrochemical Energy","","",""
"uuid:cf8e91f5-3df1-4fb9-8601-e63702a00de3","http://resolver.tudelft.nl/uuid:cf8e91f5-3df1-4fb9-8601-e63702a00de3","Microstructure and hardness of SAC305 and SAC305-0.3Ni solder on Cu, high temperature treated Cu, and graphene-coated Cu substrates","Li, Shengli (Harbin University of Science and Technology); Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Cai, Hongming (Harbin University of Science and Technology); Sun, Fenglian (Harbin University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","In this study, SAC305 and SAC305-0.3Ni solder balls were soldered onto Cu, high temperature treated Cu (H-Cu) and graphene coated Cu (G-Cu) substrates, respectively. The microstructure, the interfacial reaction, and the hardness of the solder joints were investigated. The interfacial intermetallic compound (IMC) is Cu6Sn5 in the solder joints of SAC305/Cu, SAC305/H-Cu, and SAC305/G-Cu. With the addition of 0.3 wt% Ni in the SAC305 solder, the interfacial IMC on Cu, H-Cu, and G-Cu transforms from Cu6Sn5 into (Cu, Ni)6Sn5. The thickness of Cu6Sn5 and (Cu, Ni)6Sn5 is the lowest on G-Cu substrate. Meanwhile, smooth (Cu, Ni)6Sn5 interfacial IMC layers are obtained in SAC305-0.3Ni/H-Cu and SAC305-0.3Ni/G-Cu solder joints. Both the SAC305 and the SAC305-0.3Ni solder bulks have the highest β-Sn content and the lowest concentration of eutectic phases on G-Cu substrate. Consequently, the hardness of the solder bulks on G-Cu is lower than that on the other two kinds of substrates.","Graphene; Hardness; Microstructure; Sn-Ag-Cu; Soldering","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:df844ae0-ee35-410f-8ac7-06154732c702","http://resolver.tudelft.nl/uuid:df844ae0-ee35-410f-8ac7-06154732c702","Electric field tunable superconductor-semiconductor coupling in Majorana nanowires","de Moor, M.W.A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Xu, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Winkler, Georg W. (Microsoft Research); Antipov, Andrey E. (Microsoft Research); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Loo, N. (TU Delft Applied Sciences; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Gazibegovic, S. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Car, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft); Zhang, H. (TU Delft Applied Sciences; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2018","We study the effect of external electric fields on superconductor-semiconductor coupling by measuring the electron transport in InSb semiconductor nanowires coupled to an epitaxially grown Al superconductor. We find that the gate voltage induced electric fields can greatly modify the coupling strength, which has consequences for the proximity induced superconducting gap, effective g-factor, and spin-orbit coupling, which all play a key role in understanding Majorana physics. We further show that level repulsion due to spin-orbit coupling in a finite size system can lead to seemingly stable zero bias conductance peaks, which mimic the behavior of Majorana zero modes. Our results improve the understanding of realistic Majorana nanowire systems.","Majorana fermions; nanowires; spin-orbit coupling; superconductivity","en","journal article","","","","","","","","","Applied Sciences","","QRD/Kouwenhoven Lab","","",""
"uuid:5e1f7ffd-61a7-4d07-baa7-9ac2d3c85a6e","http://resolver.tudelft.nl/uuid:5e1f7ffd-61a7-4d07-baa7-9ac2d3c85a6e","A review on discoloration and high accelerated testing of optical materials in LED based-products","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials; Isfahan University of Technology); Toroghinejad, M.R. (Isfahan University of Technology); Karimzadeh, F. (Isfahan University of Technology); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (Isfahan University of Technology)","","2018","Reduction of intensity of light output is one of the most common degradation modes in light-emitting diode (LED) systems. It starts from the failure of the various components in the system, including the chip, the driver, and optical components (i.e. phosphorous layer). The kinetics of degradation in real life applications is relatively slow and in most cases it takes several years to see an obvious deterioration of optical properties. Highly Accelerated Stress Testing (HAST) set-up and a methodology to extrapolate the results to real time applications are therefore needed to test the reliability of LED packages and lens materials. Using HAST concept in LED industry is inevitable due to the necessity of assessing the reliability of new products in a short period of time. This paper aims at briefly clarifying the degradation mechanisms of optical components in LED packages and explaining how they contribute to the depreciation of light output of the LED systems. The concept of HAST and the way the reliability of LED packages can be evaluated will also be discussed.","Encapsulant; LED; Lens/encapsulant; Lumen depreciation; Reliability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-28","","","Electronic Components, Technology and Materials","","",""
"uuid:5b47f226-b284-4198-badb-b0de8f6e4079","http://resolver.tudelft.nl/uuid:5b47f226-b284-4198-badb-b0de8f6e4079","A stochastic process based reliability prediction method for LED driver","Sun, Bo (Guangdong University of Technology); Fan, Xuejun (Lamar University); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Cui, Chengqiang (Guangdong University of Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","In this study, we present a general methodology that combines the reliability theory with physics of failure for reliability prediction of an LED driver. More specifically, an integrated LED lamp, which includes an LED light source with statistical distribution of luminous flux, and a driver with a few critical components, is considered. The Wiener process is introduced to describe the randomness of lumen depreciation. The driver's survival probability is described using a general Markov Chain method. The system compact thermal model (physics of failure model) is developed to couple with the reliability methods used. Two scenarios are studied: Scenario S1 considers constant driver's operation temperature, while Scenario S2 considers driver's temperature rise due to lumen depreciation. It has been found that the wide life distribution of LEDs will lead to a large range of the driver's survival probability. The proposed analysis provides a general approach for an electronic system to integrate the reliability method with physics models.","LED driver; LED lamp; Lumen depreciation; Reliability prediction; Stochastic process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-28","","","Electronic Components, Technology and Materials","","",""
"uuid:016c7d54-2ab8-4e07-b055-70c54934bd64","http://resolver.tudelft.nl/uuid:016c7d54-2ab8-4e07-b055-70c54934bd64","Hydrogen sulfide detection properties of Pt-gated AlGaN/GaN HEMT-sensor","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; State Key Laboratory of Solid State Lighting); Zhang, Jian (Fudan University; Southern University of Science and Technology); Iervolino, E. (Southern University of Science and Technology); Zhao, Changhui (Southern University of Science and Technology); Santagata, F. (TU Delft Electronic Components, Technology and Materials); Wang, F. (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Yu, Hongyu (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","AlGaN/GaN high electron mobility transistor (HEMT)-based sensors with catalytic platinum gate were micro-fabricated on commercially available epitaxial wafers and extensively characterized for ppm level hydrogen sulfide (H2S) detection for industrial safety applications. High operating temperature above 150 °C enabled large signal variation (ΔIDS) of 2.17 and sensing response of 112% for 90 ppm H2S in dry air as well as high stability across a wide range of biasing conditions. Transient response measurements demonstrated stable operation, superb response and recovery, with good repeatability. The measured sensing signal rise (fall) times reduced from 476 (1316) s to 219 (507) s when the temperature was increased from 200 °C to 250 °C. The response to 90 ppm H2S was 4.5x larger than to H2 and the device showed stable operation over an extended time period.","2DEG; AlGaN/GaN; Gas sensor; HS; HEMT; Pt","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-03","","","Electronic Components, Technology and Materials","","",""
"uuid:feaedc8f-708b-4a18-aeba-7a9840f8e66a","http://resolver.tudelft.nl/uuid:feaedc8f-708b-4a18-aeba-7a9840f8e66a","Mechanical properties of bi- and poly-crystalline ice","Cao, Pinqiang (China University of Geosciences, Wuhan; Xiamen University); Wu, Jianyang (Xiamen University; Norwegian University of Science and Technology (NTNU)); Zhang, Zhisen (Xiamen University); Fang, Bin (China University of Geosciences, Wuhan); Peng, Li (China University of Geosciences, Wuhan); Li, Tianshu (The George Washington University); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Ning, Fulong (China University of Geosciences, Wuhan)","","2018","A sound knowledge of fundamental mechanical properties of water ice is of crucial importance to address a wide range of applications in earth science, engineering, as well as ice sculpture and winter sports, such as ice skating, ice fishing, ice climbing, bobsleighs, and so on. Here, we report large-scale molecular dynamics (MD) simulations of mechanical properties of bi- and poly-crystalline hexagonal ice (Ih) under mechanical loads. Results show that bicrystals, upon tension, exhibit either brittle or ductile fracture, depending on the microstructure of grain boundaries (GBs), whereas they show ductile fracture by amorphization and crystallographic slips emitted from GBs under compression. Under shearing, the strength of bicrystals exhibits a characteristic plateau or sawtooth behavior drawn out the initial elastic strains. Nanograined polycrystals are destabilized by strain-induced amorphization and collective GB sliding. Their mechanical responses depend on the grain size. Both tensile and compressive strengths decrease as grain size decreases, showing inverse Hall-Petch weakening behavior. Large fraction of amorphous water structure in polycrystals with small grain size is mainly responsible for the inverse Hall-Petch softening. Dislocation nucleation and propagation are also identified in nanograined ice, which is in good agreement with experimental measurements. Beyond the elastic strain, a combination of GB sliding, grain rotation, amorphization and recrystallization, phase transformation, and dislocation nucleation dominate the plastic deformation in both bicrystals and polycrystals.","Polycrystalline material; Chemical processes; Ductility; Crystallization; Materials analysis; Geophysics; Molecular dynamics; Deformation; Phase transitions; Crystallographic defects","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:a34f65cb-80c3-4097-9e38-818fae3b37ac","http://resolver.tudelft.nl/uuid:a34f65cb-80c3-4097-9e38-818fae3b37ac","Estimation of network level benefits of reliability improvements in intermodal freight transport","Zhang, Rong (Tongji University); Jian, W. (TU Delft Transport and Planning; Tongji University); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2018","An important problem in the assessment of reliability benefits of transport projects is that link level improvements must be translated to network level, so that they can be economically valued based on users’ trips from origins to destinations. For intermodal transport, shipments follow a chain with more than one mode. Generally, this requires aggregation of travel time distributions that are not additive. We propose an approach that estimates the change in transport time reliability of an intermodal transport chain based on the changes for links of that chain. We demonstrate the framework of reliability assessment for a case study of network improvement for rail-truck intermodal transport in China. Also, we demonstrate the application in a cost-benefit analysis context with user valuations of transport reliabilities from the case at hand. The application leads to the result that projects for the renovation and expansion of the transshipment terminal perform better compared with project that improve rail haulage speed. Another finding is that the effect of reliability improvement projects can be super-additive at network level. In comparison with traditional methods, we conclude that the proposed method can better estimate transport time reliability benefits when the distribution of link travel times is highly skewed. Also, it opens new possibilities for further research for measuring correlated reliability measures within networks and for performing network resilience analysis.","Cost-benefit analysis; Error components model; Hasofer lind-rackwitz fiessler method; intermodal freight transport; Network design; Reliability assessment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-04-04","","","Transport and Planning","","",""
"uuid:cb60696d-11f4-4e07-adc4-2aca485f3b87","http://resolver.tudelft.nl/uuid:cb60696d-11f4-4e07-adc4-2aca485f3b87","Non-linear bulk micromachined accelerometer for high sensitivity applications","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Wei, J. (TU Delft Else Kooi Laboratory; TU Delft EKL Processing)","Roy, A. (editor); Gianchandani, Y. (editor)","2018","This work describes the design, modelling and realisation of the mechanical part of a non-linear MEMS accelerometer intended for large displacement behaviour. For this, a mass/spring system was designed with an extremely low resonance frequency. In this work the mechanical behaviour was verified by measurements done using an optical setup, including a laser and photodiode. The results are a resonance frequency of 12.6 Hz, which can be further tuned depending on the application by varying the mass, beam thickness and tilt of the structure. This results in a mechanical sensitivity of 0.16 [mm/ms-2]. The future goal of this work is to integrate a read-out scheme on wafer level, for example electrostatically.","accelerometer; large displacement behaviour; non-linear MEMS; optical readout","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","Else Kooi Laboratory","Electronic Components, Technology and Materials","","",""
"uuid:0edeacba-9181-4933-b9d4-9f71e9be2cd3","http://resolver.tudelft.nl/uuid:0edeacba-9181-4933-b9d4-9f71e9be2cd3","Leveraging smart lights for passive localization","Wang, Weizheng (Student TU Delft); Zhang, Junwei (Student TU Delft); Wang, Q. (Katholieke Universiteit Leuven); Zuniga, Marco (TU Delft Embedded Systems)","O'Conner, Lisa (editor)","2018","Localization based on visible light is gaining significant attention. But most existing studies rely on a key requirement: the object of interest needs to carry an optical receiver (camera or photodiode). We remove this requirement and investigate the possibility of achieving accurate localization in a passive manner, that is, without requiring objects to carry any optical receiver. To achieve this goal, we exploit the reflective surfaces of objects and the unique propagation properties of LED luminaires. We present geometric models, a testbed implementation, and empirical evaluations to showcase the opportunities and challenges posed by this new type of localization. Overall, we show that our method can track with high accuracy (few centimeters) a subset of an object’s trajectory and it can also identify passively the object’s ID.","Light emitting diodes; Object recognition; Guidelines; Photodiodes; Mirrors; Optical receivers","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Embedded Systems","","",""
"uuid:893a1db8-0dbc-44e6-995c-b043bfec882d","http://resolver.tudelft.nl/uuid:893a1db8-0dbc-44e6-995c-b043bfec882d","The I in Team: Mining Personal Social Interaction Routine with Topic Models from Long-Term Team Data","Zhang, Y. (TU Delft Pattern Recognition and Bioinformatics); Olenick, Jeffrey (Michigan State University); Chang, Chu-Hsiang (Michigan State University); Kozlowski, Steve W.J. (Michigan State University); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2018","Social interaction plays a key role in assessing teamwork and collaboration. It becomes particularly critical in team performance when coupled with isolated, confined, and extreme conditions such as undersea missions. This work investigates how social interactions of individual members in a small team evolve during the course of a long duration mission. We propose to use a topic model to mine individual social interaction patterns and examine how the dynamics of these patterns have an effect on self-assessment of mood and team cohesion. Specifically, we analyzed data from a 6-person crew wearing Sociometric badges over a 4-month mission. Our results show that our method can extract the latent structure of social contexts without supervision. We demonstrate how the extracted patterns based on probabilistic models can provide insights on common behaviors at various temporal resolutions and exhibit links with self-report affective states and team cohesion.","Machine learning; Team dynamics; Wearable","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-08","","","Pattern Recognition and Bioinformatics","","",""
"uuid:d0fc73d6-3110-45ba-a1d6-cf2f6fa30565","http://resolver.tudelft.nl/uuid:d0fc73d6-3110-45ba-a1d6-cf2f6fa30565","Germanene on single-layer ZnSe substrate: Novel electronic and optical properties","Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Hu, F.F. (Chongqing University); Tang, H. (TU Delft Electronic Components, Technology and Materials); Yang, L.W. (General Research Institute for Nonferrous Metals); Chen, X.P. (Chongqing University); Wang, L.G. (General Research Institute for Nonferrous Metals); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","In this work, the structural, electronic and optical properties of germanene and ZnSe substrate nanocomposites have been investigated using first-principles calculations. We found that the large direct-gap ZnSe semiconductors and zero-gap germanene form a typical orbital hybridization heterostructure with a strong binding energy, which shows a moderate direct band gap of 0.503 eV in the most stable pattern. Furthermore, the heterostructure undergoes semiconductor-to-metal band gap transition when subjected to external out-of-plane electric field. We also found that applying external strain and compressing the interlayer distance are two simple ways of tuning the electronic structure. An unexpected indirect-direct band gap transition is also observed in the AAII pattern via adjusting the interlayer distance. Quite interestingly, the calculated results exhibit that the germanene/ZnSe heterobilayer structure has perfect optical absorption in the solar spectrum as well as the infrared and UV light zones, which is superior to that of the individual ZnSe substrate and germanene. The staggered interfacial gap and tunability of the energy band structure via interlayer distance and external electric field and strain thus make the germanene/ZnSe heterostructure a promising candidate for field effect transistors (FETs) and nanoelectronic applications.","","en","journal article","","","","","","Accepted author manuscript","","2019-06-21","","","Electronic Components, Technology and Materials","","",""
"uuid:2251f265-9a9b-4050-b434-f6acbccf2bb2","http://resolver.tudelft.nl/uuid:2251f265-9a9b-4050-b434-f6acbccf2bb2","Temperature dependence of the stacking-fault Gibbs energy for Al, Cu, and Ni","Zhang, X. (Max-Planck-Institut für Eisenforschung); Grabowski, Blazej (Max-Planck-Institut für Eisenforschung); Körmann, F.H.W. (TU Delft (OLD) MSE-7; Max-Planck-Institut für Eisenforschung); Ruban, Andrei V. (KTH Royal Institute of Technology; Materials Center Leoben GmbH); Gong, Yilun (University of Oxford); Reed, Roger C. (Max-Planck-Institut für Eisenforschung; University of Oxford); Hickel, Tilmann (Max-Planck-Institut für Eisenforschung); Neugebauer, Jörg (Max-Planck-Institut für Eisenforschung)","","2018","The temperature-dependent intrinsic stacking fault Gibbs energy is computed based on highly converged density-functional-theory (DFT) calculations for the three prototype face-centered cubic metals Al, Cu, and Ni. All relevant temperature-dependent contributions are considered including electronic, vibrational, magnetic, and explicit anharmonic Gibbs energy contributions as well as coupling terms employing state-of-the-art statistical sampling techniques. Particular emphasis is put on a careful comparison of different theoretical concepts to derive the stacking fault energy such as the axial-next-nearest-neighbor-Ising (ANNNI) model or the vacuum-slab approach. Our theoretical results are compared with an extensive set of previous theoretical and experimental data. Large uncertainties in the experimental data highlight the necessity of complementary parameter-free calculations. Specifically, the temperature dependence is experimentally unknown and poorly described by thermodynamic databases. Whereas calphad derived data shows an increase of the stacking fault energy with temperature for two of the systems (Cu and Ni), our results predict a decrease for all studied systems. For Ni, the temperature induced change is in fact so strong that in the temperature interval relevant for super-alloy applications the stacking fault energy falls below one third of the low temperature value. Such large changes clearly call for a revision of the stacking fault energy when modeling or designing alloys based on such elements.","","en","journal article","","","","","","","","","","","(OLD) MSE-7","","",""
"uuid:a72381ea-5458-4d43-beb8-13fcb7658042","http://resolver.tudelft.nl/uuid:a72381ea-5458-4d43-beb8-13fcb7658042","AlGaN/GaN HEMT micro-sensor technology for gas sensing applications","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, J. (Fudan University); Jiang, Yang (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Chen, Ganhui (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Yu, Hongyu (Southern University of Science and Technology; Shenzhen Key Laboratory of the Third Generation Semi-conductor)","Jiang, Yu-Long (editor); Tang, Ting-Ao (editor); Ye, Fan (editor)","2018","Wide bandgap gallium nitride material has highly favorable electronic properties for next generation power and high frequency electronic devices. A less widely studied application is highly miniaturized chemical and gas sensors capable of operating in harsh environment conditions. In this work we present our recent developments on design, fabrication and testing of AlGaN/GaN high electron mobility transistor (HEMT) based sensors for detection of various gases. First, the method of as-fabricated device baseline value stabilization is demonstrated. Secondly, the impact of sensor design is discussed with the emphasis on gate electrode geometry optimizations to enhance sensing performance. Then we present the sensing characteristics of Pt-HEMTs towards H 2 S and compare them to H 2 and NO 2 . Finally we demonstrate recent results of NO 2 detection with Ti/Au based HEMT sensors, which are superior to those using Pt based devices.","approximation theory; extrapolation; Fourier transforms; image enhancement; image fusion; image resolution; inverse transforms; matrix algebra; microwave imaging","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:500f9f3f-310d-4a7b-b236-5e1d935204de","http://resolver.tudelft.nl/uuid:500f9f3f-310d-4a7b-b236-5e1d935204de","Investigation on the DC CB Performance during a Current Interruption Failure at First Current Zero","Liu, S. (Xi’an Jiaotong University); Geng, Yingsan (Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Wang, Jianhua (Xi’an Jiaotong University); Liu, Zhiyuan (Xi’an Jiaotong University); Zhang, Bojian (Xi’an Jiaotong University)","Falkingham, Lesli T. (editor); Kaneko, Eiji (editor)","2018","The vacuum interrupter is used as the key component of an active DCCB due to its excellent interruption and dielectric recovery characteristics after current zero. The vacuum interrupter can only interrupt the fault currents below the limitation of a critical di/dt and TIV, otherwise it causes a reignition and the interruption failure. In this paper, a detailed active injection DC CB model is developed, considering operation delay of switches, parasitic parameters of switches and thorough control logic. The limitation dielectric strength between the vacuum gap is defined by the cold break down voltage. Based on the numerical modelling, investigation will be performed to see the performance of DC CB with a failure interruption on the first current zero. The simulation results can help to optimize the injection circuit parameters when DC CB has a failure on the first current zero and has to interrupt in the next current zeros. This algorithm will consider predefined threshold of di/dt, chopping current and variable operation time in different scenarios.","active injection; di/dt; fault interruption; HVDC circuit breaker; PSCAD simulation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-03","","","Intelligent Electrical Power Grids","","",""
"uuid:065e62f5-8841-438e-9060-037352e04085","http://resolver.tudelft.nl/uuid:065e62f5-8841-438e-9060-037352e04085","System in package (SiP) technology: Fundamentals, design and applications","Santagata, F. (Guangdong Dongguan Quality Supervision Testing Center); Sun, J. (TU Delft Electronic Components, Technology and Materials); Iervolino, E. (Southern University of Science and Technology); Yu, H. (Southern University of Science and Technology); Wang, F. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Guoyi","","2018","Purpose: The purpose of this paper is to demonstrate a novel 3D system-in-package (SiP) approach. This new packaging approach is based on stacked silicon submount technology. As demonstrators, a smart lighting module and a sensor systems were successfully developed by using the fabrication and assembly process described in this paper. Design/methodology/approach: The stacked module consists of multiple layers of silicon submounts which can be designed and fabricated in parallel. The 3D stacking design offers higher silicon efficiency and miniaturized package form factor. This platform consists of silicon submount design and fabrication, module packaging, system assembling and testing and analyzing. Findings: In this paper, a smart light emitting diode system and sensor system will be described based on stacked silicon submount and 3D SiP technology. The integrated smart lighting module meets the optical requirements of general lighting applications. The developed SiP design is also implemented into the miniaturization of particular matter sensors and gas sensor detection system. Originality/value: SiP has great potential of integrating multiple components into a single compact package, which has potential implementation in intelligent applications.","Gas sensor system; LED module; PM sensor; System-in-package (SiP)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-29","","","Electronic Components, Technology and Materials","","",""
"uuid:a958f938-3376-42ea-b0df-55cd6d3fc18c","http://resolver.tudelft.nl/uuid:a958f938-3376-42ea-b0df-55cd6d3fc18c","Lane-Change Intention Estimation for Car-Following Control in Autonomous Driving","Zhang, Yihuan (Tongji University); Lin, Q. (TU Delft Cyber Security); Wang, Jun (Tongji University); Verwer, S.E. (TU Delft Cyber Security); Dolan, John M. (Carnegie Mellon University)","","2018","Car-following is the most general behavior in highway driving. It is crucial to recognize the cut-in intention of vehicles from an adjacent lane for safe and cooperative driving. In this paper, a method of behavior estimation is proposed to recognize and predict the lane change intentions based on the contextual traffic information. A model predictive controller is designed to optimize the acceleration sequences by incorporating the lane-change intentions of other vehicles. The public data set of next generation simulation is labeled and then published as a benchmarking platform for the research community. Experimental results demonstrate that the proposed method can accurately estimate vehicle behavior and therefore outperform the traditional car-following control.","Cooperative car-following; driving behavior estimation; lane change prediction; model predictive control","en","journal article","","","","","","Accepted author manuscript","","","","","Cyber Security","","",""
"uuid:50a78356-0bd7-49f1-8867-d8cbe96c45e4","http://resolver.tudelft.nl/uuid:50a78356-0bd7-49f1-8867-d8cbe96c45e4","Reliability Prediction of Integrated LED Lamps with Electrolytic Capacitor-Less LED Drivers","Sun, B (Beijing Research Center); Fan, Xuejun (State Key Laboratory of Solid State Lighting; Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting)","van Driel, W.D. (editor); van Driel, Willem Dirk (editor); Fan, Xuejun (editor); Zhang, Guo Qi (editor)","2018","This chapter investigates the reliability of the integrated LED lamps with electrolytic capacitor-less LED drivers. Firstly, the impact of the interaction between the degradations of the LED light source and the driver on the lumen depreciation is studied. The electronic-thermal simulation was carried out to obtain the history of temperatures of LED and driver, the driver’s output current, and the luminous flux considering the variations of temperature and current throughout the operation life. It is found that the ultimate lamp’s lifetime is significantly less than the individual lifetimes of the preselected LED and driver. It is concluded that it is necessary to apply the electronic-thermal simulations to predict the lifetime of LED lamps when driver’s lifetime is comparable to the LED’s lifetime. Secondly, this chapter focuses on predicting the catastrophic failure of an electrolytic capacitor-free LED driver during the lumen depreciation process. Electronic-thermal simulations are utilized to obtain the lamp’s dynamic history of temperature and electrical current for two distinct modes: constant current mode (CCM) and the constant optical output (CLO) mode, respectively. A fault tree method is applied to calculate the system’s MTTF, and the LED’s lifetime also is calculated. The CLO mode increases the LED’s current exponentially to maintain the constant light output. As a result, junction temperatures of LEDs, MOSFET, and diode rise significantly, leading a shorter lifetime and MTTF. Compare with the current of the MOSFET, the increased junction temperature has larger effects on the failure rate. The MOSFET contributes more to the driver’s failure rate than the diode. For the CCM mode, junction temperatures increase slightly and have a little shorter lifetime and MTTF.","Lifetime prediction; Mean Time To Failure (MTTF); Maximum likelihood estimation; LED driver; Reliability; Electronic-thermal simulation","en","book chapter","Springer","","","","","","","2021-05-28","","","Electronic Components, Technology and Materials","","",""
"uuid:5c27d5d1-91c4-4515-a852-79ba9d0621f0","http://resolver.tudelft.nl/uuid:5c27d5d1-91c4-4515-a852-79ba9d0621f0","LED-Based Luminaire Color Shift Acceleration and Prediction","Lu, G. (TU Delft Electronic Components, Technology and Materials; Beijing Research Center; State Key Laboratory of Solid State Lighting); Fan, Xuejun (Lamar University; State Key Laboratory of Solid State Lighting); Fan, Jiajie (Hohai University; Beijing Research Center; State Key Laboratory of Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","van Driel, W.D. (editor); van Driel, Willem Dirk (editor); Fan, Xuejun (editor); Zhang, Guo Qi (editor)","2018","Color stability is of major concern for LED-based products. Currently, much effort is done on lumen maintenance, and for color shift, no agreed method currently exists, be it from testing or from prediction side. To investigate the physics of color shift, we present experiments of each individual part failure of each individual part that are present in LED-based products. In order to develop a color shift prediction method, it is imperative to investigate the color shift contribution by each individual part. We present a new method to predict color shift on a system level, which we named the view factor approach. We compare this prediction method with experiments on luminaire level to conclude that we have taken satisfactory first steps in the field of color shift predictions for LED-based systems.","Color shift; Degradation; Oxidation","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-28","","","Electronic Components, Technology and Materials","","",""
"uuid:e81d3d9b-3a8f-4e32-8920-7bc0c04d6b54","http://resolver.tudelft.nl/uuid:e81d3d9b-3a8f-4e32-8920-7bc0c04d6b54","Reliability and Lifetime Assessment of Optical Materials in LED-Based Products","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting)","van Driel, Willem Dirk (editor); Fan, Xuejun (editor); Zhang, Guo Qi (editor)","2018","Lumen depreciation is one of the major failure modes in light-emitting diode (LED) systems. It originates from the degradation of the different components within the system, including the chip, the driver, and the optical materials (i.e., phosphorous layer). The kinetics of degradation in real-life applications is relatively slow, and in most cases, it takes several years to see an obvious deterioration of optical properties. A highly accelerated stress testing (HAST) setup and a methodology to extrapolate the results to real applications are therefore needed to test the reliability of LED package and lens materials. Employing HAST concept in LED industry is inevitable due to the necessity of assessing the reliability of new products in a short period of time. This chapter aims at briefly explaining the degradation mechanism of optical components in LED package and how they contribute to the lumen depreciation of the LED package. The concept of HAST and the way the reliability of LED packages can be assessed will also be explained.","Encapsulants; Yellowing; Blue light exposure; Oxidation; Stress testing","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-28","","","Electronic Components, Technology and Materials","","",""
"uuid:0c04626d-1bcb-4f14-a12c-261f11b97794","http://resolver.tudelft.nl/uuid:0c04626d-1bcb-4f14-a12c-261f11b97794","Multi-GNSS PPP-RTK: Mixed-receiver network and user scenarios","Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University); Khodabandeh, A. (Curtin University); Zhang, B. (Curtin University)","Freymueller, Jeffrey T. (editor); Sánchez, Laura (editor)","2018","In this contribution, we present full-rank observation equations of the network and user receivers, of mixed types, through an application of S-system theory. We discuss the important roles played by the inter system biases (ISBs), and we show how the three-component structure of PPP-RTK is affected by the inclusion of the ISBs as extra parameters in the model.","GNSS; Inter system bias (ISB); ISB look-up table; PPP-RTK","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-07-01","","","Mathematical Geodesy and Positioning","","",""
"uuid:af888805-4d4a-4521-81ed-8a95a98000a9","http://resolver.tudelft.nl/uuid:af888805-4d4a-4521-81ed-8a95a98000a9","Identifying Spreading Sources and Influential Nodes of Hot Events on Social Networks","Zhou, Nan (Hangzhou Normal University); Zhan, X. (TU Delft Multimedia Computing); Ma, Qiang (Hangzhou Normal University); Lin, Song (Hangzhou Normal University); Zhang, Jun (Shanghai Surfing City Information S&T Co. Ltd); Zhang, Zi-Ke (Hangzhou Normal University)","Cherifi, C. (editor); Cherifi, H. (editor); Karsai, M. (editor); Musolesi, M. (editor)","2018","The rapid development of World Wide Web accelerates information spreading in various ways. Thanks to the emergence of multiple social platforms, some events which are not much attractive in the past can become social hot spots nowadays. In this paper, we study the information diffusion process of “IP MAN3 box office fraud”, which is widely diffused in the largest Chinese microblogging system, namely Sina Weibo, in March 2016. Based on the temporal metric we have proposed, we succeed in finding out the sources of the information, and constructing the panorama of the diffusion process. In addition, a portion of nodes that promote the diffusion are identified by using the node importance algorithms. Finally, the users with abnormal behaviors in the process of event development are identified.","Critical nodes identification; Information diffusion; Source tracing","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-31","","","Multimedia Computing","","",""
"uuid:449945b8-db7c-4faf-88be-4f98606efd09","http://resolver.tudelft.nl/uuid:449945b8-db7c-4faf-88be-4f98606efd09","Advances in delamination modeling of metal/polymer systems: Atomistic aspects","Van Der Sluis, Olaf (Philips Research; Eindhoven University of Technology); Iwamoto, Nancy (Honeywell Performance Materials and Technologies); Qu, Jianmin (Tufts University); Yang, Shaorui (Apple Inc.); Yuan, C.A. (TU Delft Electronic Components, Technology and Materials; Ichijouriki LS R and D); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Adhesion and delamination have been pervasive problems hampering the performance and reliability of micro-and nano-electronic devices. In order to understand, predict, and ultimately prevent interface failure in electronic devices, development of accurate, robust, and efficient delamination testing and prediction methods is crucial. Adhesion is essentially a multi-scale phenomenon: at the smallest scale possible, it is defined by the thermodynamic work of adhesion. At larger scales, additional dissipative mechanisms may be active which results in enhanced adhesion at the macroscopic scale and are the main cause for the mode angle dependency of the interface toughness. Undoubtedly, the macroscopic adhesion properties are a complex function of all dissipation mechanisms across the scales. Thorough understanding of the significance of each of these dissipative mechanisms is of utmost importance in order to establish physically correct, unambiguous, values of the adhesion properties, which can only be achieved by proper multi-scale techniques. The topic “Advances in Delamination Modeling” has been split into two separate chapters: this chapter discusses the atomistic aspects of delamination, while the preceding chapter deals with the atomistic aspects of interface separation. The chapter starts with a concise overview of molecular simulation strategies. Next, examples are provided which represent actual materials being developed for electronic packaging: (1) the prediction of thermomechanical properties of an epoxy molding compound (EMC) and the adhesion properties of an EMC/copper interface by means of MD and CG MD approaches; (2) the modeling of wetting, adhesion, and reliability cycling of die attach and via fills; (3) model scaling to discrete element modeling (DEM) for understanding underfill flow; (4) CG modeling of an epoxy molding compound which relates to the first example; (5) molecular modeling of silicate layers used in planarization and encapsulant layers for flat panel displays; (6) mesoscale modeling of diffusion of organic bases which is of concern to photoresist poisoning; and (7) the prediction of thermomechanical properties of a low-k dielectric material, SiOC:H.","","en","book chapter","Springer","","","","","","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:5b0da969-6e43-4cfa-bd33-09494ecfe199","http://resolver.tudelft.nl/uuid:5b0da969-6e43-4cfa-bd33-09494ecfe199","Comparative and ensemble visualization of diffusion tensor fields","Zhang, C. (TU Delft Computer Graphics and Visualisation)","Eisemann, E. (promotor); Vilanova Bartroli, A. (copromotor); Delft University of Technology (degree granting institution)","2017","Scientific visualization of tensor fields is challenging due to the complex and multivariate nature of tensor data. The visualization of multiple tensor fields becomes even more difficult, and still in its infancy. This thesis aims at contributing visual analysis techniques for multiple 3D tensor fields.
We focus specifically on the visual analysis of Diffusion Tensor Imaging (DTI)
datasets. DTI is a magnetic resonance imaging (MRI) based modality, which is commonly used in neuroscience to investigate brain white matter in vivo. It requires a long scanning time compared to other imaging modalities. Acceleration of MRI acquisitions has the potential to improve the applicability of DTI. Compressed sensing (CS) is a signal reconstruction technique that is used to accelerate MRI acquisitions. The traditional CS method aims at optimizing the global quality of the reconstructed image.
However, in practice, the quality of local structures is often of more interest. Therefore, we investigate CS for this purpose and contribute in this direction by adapting the traditional CS reconstruction method to focus on the quality of local structures.","Diffusion Tensor Fields; Comparative Visualization; Ensemble Visualization; Glyph Design; Visual Analysis","en","doctoral thesis","","978-94-92516-96-1","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:7309d2be-9105-44a4-999d-dad3c0bc67d3","http://resolver.tudelft.nl/uuid:7309d2be-9105-44a4-999d-dad3c0bc67d3","Cyclic behavior of low rise concrete shear walls containing recycled coarse and fine aggregates","Qiao, Qiyun (Beijing University of Technology); Cao, Wanlin (Beijing University of Technology); Qian, Zhiwei (TU Delft Railway Engineering); Li, Xiangyu (Beijing University of Technology); Zhang, Wenwen (Beijing University of Technology); Liu, Wenchao (Beijing University of Technology)","","2017","In this study, the cyclic behaviors of low rise concrete shear walls using recycled coarse or fine aggregates were investigated. Eight low rise Recycled Aggregates Concrete (RAC) shear wall specimens were designed and tested under a cyclic loading. The following parameters were varied: replacement percentages of recycled coarse or fine aggregates, reinforcement ratio, axial force ratio and X-shaped rebars brace. The failure characteristics, hysteretic behavior, strength and deformation capacity, strain characteristics and stiffness were studied. Test results showed that the using of the Recycled Coarse Aggregates (RCA) and its replacement ratio had almost no influence on the mechanical behavior of the shear wall; however, the using of Recycled Fine Aggregates (RFA) had a certain influence on the ductility of the shear wall. When the reinforcement ratio increased, the strength and ductility also increased. By increasing the axial force ratio, the strength increased but the ductility decreased significantly. The encased brace had a significant effect on enhancing the RAC shear walls. The experimental maximum strengths were evaluated with existing design codes, it was indicated that the strength evaluation of the low rise RAC shear walls can follow the existing design codes of the conventional concrete shear walls.","Cyclic behavior; Low rise shear wall; Recycled coarse aggregates; Recycled fine aggregates; Strength evaluation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:5bd0b964-ed2d-463b-bcdf-d61221a0a259","http://resolver.tudelft.nl/uuid:5bd0b964-ed2d-463b-bcdf-d61221a0a259","Health diagnosis of major transportation infrastructures in Shanghai metropolis using high- resolution persistent scatterer interferometry","Qin, Xiaoqiong (Wuhan University; Ministry of Land and Resources); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Zhang, L. (Wuhan University); Yang, Tianliang (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources)","","2017","Since the Persistent Scatterer Synthetic Aperture Radar (SAR) Interferometry (PSI) technology allows the detection of ground subsidence with millimeter accuracy, it is becoming one of the most powerful and economical means for health diagnosis of major transportation infrastructures. However, structures of different types may suffer from various levels of localized subsidence due to the different structural characteristics and subsidence mechanisms. Moreover, in the complex urban scenery, some segments of these infrastructures may be sheltered by surrounding buildings in SAR images, obscuring the desirable signals. Therefore, the subsidence characteristics on different types of structures should be discussed separately and the accuracy of persistent scatterers (PSs) should be optimized. In this study, the PSI-based subsidence mapping over the entire transportation network of Shanghai (more than 10,000 km) is illustrated, achieving the city-wide monitoring specifically along the elevated roads, ground highways and underground subways. The precise geolocation and structural characteristics of infrastructures were combined to effectively guide more accurate identification and separation of PSs along the structures. The experimental results from two neighboring TerraSAR-X stacks from 2013 to 2016 were integrated by joint estimating the measurements in the overlapping area, performing large-scale subsidence mapping and were validated by leveling data, showing highly consistent in terms of subsidence velocities and time-series displacements. Spatial-temporal subsidence patterns on each type of infrastructures are strongly dependent on the operational durations and structural characteristics, as well as the variation of the foundation soil layers.","Deformation; High-resolution InSAR; Large infrastructures; Shanghai","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:de1da970-7f88-4a6c-b28a-025635c662c0","http://resolver.tudelft.nl/uuid:de1da970-7f88-4a6c-b28a-025635c662c0","Waste glass as partial mineral precursor in alkali-activated slag/fly ash system","Zhang, Shizhe (TU Delft Materials and Environment); Keulen, Arno (Van Gansewinkel Minerals; Eindhoven University of Technology); Arbi Ghanmi, K. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2017","The feasibility of a waste glass powder residue (GP) from glass recycling as partial mineral precursor to produce alkali-activated materials is investigated. GP served as powder coal fly ash (PCFA) replacement within a reference system composed of 50% PCFA and 50% ground granulated blast furnace slag (GGBS). Compared with PCFA, GP was better involved in the alkali activation process by having a higher silica and Ca dissolution. Furthermore, increasing GP replacement up to 30% prolonged the induction period, facilitated the gel formation and yielded a 35% higher 28-day compressive strength. These observations are similar to the effect of using both sodium hydroxide and sodium silicate as alkali activator in alkali-activated slag/fly ash systems. A higher polymerization of the gel network was also observed. Microstructure analysis indicated that the main reaction product is a calcium silicate hydrate type gel substituted with Al and Na (C-(N)-A-S-H type gel). This work largely contributes to the understanding of the reactivity and potential of GP and promotes its practical utilization as a mineral precursor in the production of alkaline cements.","Alkali activated material; Fly ash; Microstructure; Slag; Waste glass","en","journal article","","","","","","","","2019-10-18","","","Materials and Environment","","",""
"uuid:349c75cd-11cb-41b2-a403-ce4b1dd3be0d","http://resolver.tudelft.nl/uuid:349c75cd-11cb-41b2-a403-ce4b1dd3be0d","Early stage precipitation in aluminum alloys: An ab initio study","Zhang, X. (TU Delft (OLD) MSE-7)","Thijsse, B.J. (promotor); Sluiter, M.H.F. (copromotor); Delft University of Technology (degree granting institution)","2017","Multiscale computational materials science has reached a stage where many complicated phenomena or properties that are of great importance to manufacturing can be predicted or explained. The word “ab initio study” becomes commonplace as the development of density functional theory has enabled the predictions to be independent of experimental data or empirical parameters. For some crucial phenomena, e.g., precipitation processes in multicomponent alloys, however, challenges exist due to the requirement of an accurate and efficient description of both energetics and kinetics of a complex system. In the present thesis, a systematic methodology has been established for predicting the morphology and realistic formation kinetics of precipitates in multicomponent alloys. Aluminum alloys are chosen as prototype applications of the present methodology, because of the well-known strengthening mechanism—age or precipitation hardening which is a typical and important precipitation process utilized in industrial materials. As one of the main computational approaches, cluster expansion technique is applied to study vacancy properties in concentrated Cu-Ni alloys. Diffusion kinetics in dilute Al-Cu alloys including the role of multiple diffusion barriers has been investigated by kinetic Monte Carlo simulations. At finite temperature, electronic entropy contribution to the free energies of the transition metals is also discussed.","Aluminum alloy; precipitation; ab initio; cluster expansion; kinetic Monte Carlo simulation","en","doctoral thesis","","978-94-028-0881-0","","","","","","","","","(OLD) MSE-7","","",""
"uuid:a08b0b00-d13c-4b6f-a73c-99c5952e0c32","http://resolver.tudelft.nl/uuid:a08b0b00-d13c-4b6f-a73c-99c5952e0c32","Selective Activation of C−H Bonds in a Cascade Process Combining Photochemistry and Biocatalysis","Zhang, W. (TU Delft BT/Biocatalysis); Burek, Bastien O. (DECHEMA Research Institute); Fernandez Fueyo, E. (CSIC - Centro de Investigaciones Biologicas (CIB)); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); Bloh, Jonathan Z. (DECHEMA Research Institute); Hollmann, F. (TU Delft BT/Biocatalysis)","","2017","Selective oxyfunctionalizations of inert C−H bonds can be achieved under mild conditions by using peroxygenases. This approach, however, suffers from the poor robustness of these enzymes in the presence of hydrogen peroxide as the stoichiometric oxidant. Herein, we demonstrate that inorganic photocatalysts such as gold–titanium dioxide efficiently provide H2O2 through the methanol-driven reductive activation of ambient oxygen in amounts that ensure that the enzyme remains highly active and stable. Using this approach, the stereoselective hydroxylation of ethylbenzene to (R)-1-phenylethanol was achieved with high enantioselectivity (>98 % ee) and excellent turnover numbers for the biocatalyst (>71 000).","Biocatalysis; oxyfunctionalization; peroxygenases; photocatalysis; TiO","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:cdd9f9ef-3bd1-4f4b-8d89-a51c0b3724b0","http://resolver.tudelft.nl/uuid:cdd9f9ef-3bd1-4f4b-8d89-a51c0b3724b0","Optimizing Tailored Bus Bridging Paths","Gu, Wei (Tongji University); Yu, Jie (University of Wisconsin-Milwaukee); Ji, Yuxiong (Tongji University); van der Gun, J.P.T. (TU Delft Transport and Planning); Pel, A.J. (TU Delft Transport and Planning); Zhang, H. Michael (University of California; Tongji University); van Arem, B. (TU Delft Transport and Planning)","","2017","Metro disruptions due to unexpected events reduce transit system reliability, resulting in significant productivity loss and long passenger delays. Bus bridging strategy is often used to connect stations affected by metro disruptions such that passengers could continue their journey. The literature usually designed bridging routes and then allocated buses to designed routes with specific frequencies. The restriction that each bus can only operate on a route greatly limits the service flexibility and decreases operation efficiency. We propose a flexible bus bridging strategy to deal with the disruptions of metro networks. The proposed strategy optimizes a tailored bridging path for each bus. The path dictates the stations that a bus should visit in sequence once it is dispatched from the depot. A two-stage model that balances the needs of transit agency and passengers is developed to optimize the tailored bridging paths based on affected metro stations, reserved buses, bus capacity, passenger demands and bus travel times. The Stage I model produces schematic bridging paths by minimizing the maximum bus bridging time. The Stage II model further details the paths by minimizing average passenger delay. The superiority of the proposed strategy to a traditional strategy is demonstrated in a case study in Rotterdam, The Netherlands.","Bus bridging; Metro network disruptions; Tailored bridging paths; Two-stage model; Integer linear programming","en","conference paper","","","","","","","","2019-03-01","","","Transport and Planning","","",""
"uuid:4f3dad57-ac30-435d-9ca3-38f7eaa05633","http://resolver.tudelft.nl/uuid:4f3dad57-ac30-435d-9ca3-38f7eaa05633","Observation of Conductance Quantization in InSb Nanowire Networks","Fadaly, E.M.T. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Car, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Plissard, Sébastien R. (Eindhoven University of Technology); op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Kölling, Sebastian (Eindhoven University of Technology); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Microsoft Quantum Lab Delft; Kavli institute of nanoscience Delft); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft)","","2017","Majorana zero modes (MZMs) are prime candidates for robust topological quantum bits, holding a great promise for quantum computing. Semiconducting nanowires with strong spin orbit coupling offer a promising platform to harness one-dimensional electron transport for Majorana physics. Demonstrating the topological nature of MZMs relies on braiding, accomplished by moving MZMs around each other in a certain sequence. Most of the proposed Majorana braiding circuits require nanowire networks with minimal disorder. Here, the electronic transport across a junction between two merged InSb nanowires is studied to investigate how disordered these nanowire networks are. Conductance quantization plateaus are observed in most of the contact pairs of the epitaxial InSb nanowire networks: the hallmark of ballistic transport behavior.","ballistic transport; conductance quantization; indium antimonide; networks; Semiconducting nanowires","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:fee046a7-6358-4a58-aa7b-3dc0c7e1f3be","http://resolver.tudelft.nl/uuid:fee046a7-6358-4a58-aa7b-3dc0c7e1f3be","On the relations between the bandgap, structure and composition of the M–Si–N (M = alkali, alkaline earth or rare-earth metal) nitridosilicates","ten Kate, O.M. (TU Delft ChemE/Product and Process Engineering); Zhang, Zhijun (Shanghai University); Hintzen, H.T.J.M. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2017","Relations between the bandgap and structural properties and composition of the M–Si–N nitridosilicates (M = alkali, alkaline earth or rare earth metal) have been obtained, using experimental data collected from literature; and qualitative models are presented to explain the observed trends. Compounds with a higher degree of condensation, i.e. a higher Si/N ratio, generally have longer M–N bonds and shorter Si–N bonds. The observations can be explained based on the effective charge of N, dependent on its coordination with Si (NSix). With increasing Si/N ratio the coordination number of N by Si increases, making the effective charge of the nitrogen atom less negative, resulting in a longer and less covalent M–N bond. This also shifts the N 2p levels down in energy, lowering the top of the valence band (mainly composed of N orbitals); while decreasing the Si–N distance shifts the bottom of the conduction band (mainly composed of Si and M orbitals) upward. Some nitridosilicates show deviations to the general trends, such as γ-Si3N4 and several Li-containing compounds. These deviations have been discussed and possible explanations have been given based on peculiarities in their structural characteristics.","","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:ff792e10-311b-45b1-82ca-f0ab80ff720d","http://resolver.tudelft.nl/uuid:ff792e10-311b-45b1-82ca-f0ab80ff720d","Revealing the relation between the structure, Li-ion conductivity and solid state battery performance for the argyrodite Li6PS5Br solid electrolyte","Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ganapathy, S. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Eck, Ernst R H (Radboud Universiteit Nijmegen); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Basak, S.; Liu, Yanyan (State Key Laboratory of Metastable, Materials Science and Technology, Yanshan University); Zhang, Long (State Key Laboratory of Metastable, Materials Science and Technology, Yanshan University); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab); Wagemaker, M. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2017","Based on its high Li-ion conductivity, argyrodite Li6PS5Br is a promising solid electrolyte for all-solid-state batteries. However, more understanding is required on the relation between the solid electrolyte conductivity and the solid-state battery performance with the argyrodite structure, crystallinity and particle size that depend on the synthesis conditions. In the present study, this relationship is investigated using neutron and X-ray diffraction to determine the detailed structure and impedance as well as 7Li solid state NMR spectroscopy to study the Li-ion kinetics. It is found that depending on the synthesis conditions the distribution of the Br dopant over the crystallographic sites in Li6PS5Br is inhomogeneous, and that this may be responsible for a larger mobile Li-ion fraction at the interface regions in the annealed argyrodite materials. Comparing the bulk and interface properties of the differently prepared Li6PS5Br materials, it is proposed that optimal solid-state battery performance requires a different particle size for the solid electrolyte only region and the solid electrolyte in the cathode mixture. In the electrolyte region, the grain boundary resistance is minimized by annealing the argyrodite Li6PS5Br resulting in relatively large crystallites. In the cathode mixture however, additional particle size reduction of the Li6PS5Br is required to provide abundant Li6PS5Br-Li2S interfaces that reduce the resistance of this rate limiting step in Li-ion transport. Thereby the results give insight in how to improve solidstate battery performance by controlling the solid electrolyte structure.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:6dcee8bd-6d25-4cc1-8124-5c04bbe0c433","http://resolver.tudelft.nl/uuid:6dcee8bd-6d25-4cc1-8124-5c04bbe0c433","Texture Design for Reducing Tactile Friction Independent of Sliding Orientation on Stainless Steel Sheet","Zhang, S. (University of Twente; Tsinghua University); Zeng, X. (University of Twente; Chinese Academy of Sciences); Igartua, A. (IK4-Tekniker); Rodriguez-Vidal, E. (IK4-Tekniker); van der Heide, E. (TU Delft Railway Engineering; University of Twente)","","2017","Surface texture is important for contact mechanical and tribological phenomena such as the contact area and friction. In this research, three different types of geometrical microstructures were designed and fabricated by pulsed laser surface texturing as semi-symmetric (grooved channel), asymmetric fractal (Hilbert curve), and symmetric patterns (grid). A conventionally finished surface as a reference sample from the same stainless steel sheet material was compared. From the experimental approach, a multiaxis force/torque transducer was used to investigate the functionality of surface texture based on measuring the tactile friction in three different sliding directions: perpendicular, parallel, and 45° to the textures. According to the dynamic friction measurements, the grid texture was indeed orientation independent. The other samples showed orientation-dependent frictional behavior, especially the grooved channel texture and reference sample. Furthermore, an analytical approach was applied to estimate the values of the friction coefficient by the pressure distribution method. From both the experimental and analytical approaches, the grid pattern was validated to be the optimal texture design in the concern of friction reduction and orientation-independent behavior.","Microfabrication; Skin friction; Sliding; Surface texture","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e4d19fdf-0eda-4d8b-8c52-90795a702444","http://resolver.tudelft.nl/uuid:e4d19fdf-0eda-4d8b-8c52-90795a702444","The MEXICO rotor aerodynamic loads prediction: ZigZag tape effects and laminar-turbulent transition modeling in CFD","Zhang, Y. (TU Delft Wind Energy); van Zuijlen, A.H. (TU Delft Aerodynamics); van Bussel, G.J.W. (TU Delft Wind Energy)","","2017","This paper aims to provide an explanation for the overprediction of aerodynamic loads by CFD compared to experiments for the MEXICO wind turbine rotor and improve the CFD prediction by considering laminar-turbulent transition modeling. Large deviations between CFD results and experimental measurements are observed in terms of sectional normal and tangential forces at the blade tip (r/R=0.82 and 0.92) of the MEXICO rotor operating in axial condition at the design tip speed ratio λ=6.7. The first part of this study identifies the effects of ZigZag tape, which is used in the experiment to trigger boundary layer transition, by analyzing the available experimental data of a single, non-rotating MEXICO rotor blade. The analysis indicates that ZigZag tape has a significant impact on sectional aerodynamic tip loads: it alters the boundary layer thickness and additionally reduces the effective airfoil camber besides the expected tripping. These additional effects most likely also occur in the rotating MEXICO experiment, reducing the sectional loads and hence lead to an overprediction by CFD. To eliminate the ZigZag tape interference, experimental data with an untripped blade is preferred to be used as validation case. In the second part of this study, a transitional flow simulation for the MEXICO rotor is performed by using RANS-based transition model k−kL−ω within OpenFOAM-2.1.1. The numerical results are compared against experimental data obtained from the untripped, new MEXICO experiments. The comparison gives that transitional simulation present a very good tip loads prediction for the untripped blade. The measured data also confirms that the ZigZag tape indeed has a significant influence on the blade tip loads in rotating conditions. The transition onset over 3D MEXICO blade is visualized and transition locations are identified. The results shown in the present study can explain the causes of the large differences between CFD and experiment observed in the MEXICO blind comparisons.","Laminar-turbulent transition; OpenFOAM; Tip loads overprediction; Wind turbine rotor simulation; ZigZag tape effects","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:80653ae8-8b91-4eb2-b37e-8183eef2f5c5","http://resolver.tudelft.nl/uuid:80653ae8-8b91-4eb2-b37e-8183eef2f5c5","Intercomparison of NOx emission inventories over East Asia","Ding, J. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Miyazaki, Kazuyuki (Japan Agency for Marine-Earth Science and Technology; California Institute of Technology); Johannes Van Der A, Ronald (Royal Netherlands Meteorological Institute (KNMI); Nanjing University of Information Sciences and Technology); Mijling, Bas (Royal Netherlands Meteorological Institute (KNMI)); Kurokawa, Jun Ichi (Asia Center for Air Pollution Research); Cho, Seog Yeon (Inha University, Incheon); Janssens-Maenhout, Greet (Joint Research Centre); Zhang, Qiang (Tsinghua University); Liu, Fei (Royal Netherlands Meteorological Institute (KNMI)); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI))","","2017","We compare nine emission inventories of nitrogen oxides including four satellite-derived NOx inventories and the following bottom-up inventories for East Asia: REAS (Regional Emission inventory in ASia), MEIC (Multiresolution Emission Inventory for China), CAPSS (Clean Air Policy Support System) and EDGAR (Emissions Database for Global Atmospheric Research). Two of the satellitederived inventories are estimated by using the DECSO (Daily Emission derived Constrained by Satellite Observations) algorithm, which is based on an extended Kalman filter applied to observations from OMI or from GOME-2. The other two are derived with the EnKF algorithm, which is based on an ensemble Kalman filter applied to observations of multiple species using either the chemical transport model CHASER and MIROC-chem. The temporal behaviour and spatial distribution of the inventories are compared on a national and regional scale. A distinction is also made between urban and rural areas. The intercomparison of all inventories shows good agreement in total NOx emissions over mainland China, especially for trends, with an average bias of about 20% for yearly emissions. All the inventories show the typical emission reduction of 10% during the Chinese New Year and a peak in December. Satellite-derived approaches using OMI show a summer peak due to strong emissions from soil and biomass burning in this season. Biases in NOx emissions and uncertainties in temporal variability increase quickly when the spatial scale decreases. The analyses of the differences show the importance of using observations from multiple instruments and a high spatial resolution model for the satellite-derived inventories, while for bottom-up inventories, accurate emission factors and activity information are required. The advantage of the satellite-derived approach is that the emissions are soon available after observation, while the strength of the bottom-up inventories is that they include detailed information of emissions for each source category.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:6cd9173e-7c76-472b-9824-5d3656b0a9b5","http://resolver.tudelft.nl/uuid:6cd9173e-7c76-472b-9824-5d3656b0a9b5","CitRec 2017: International Workshop on Recommender Systems for Citizens","Yang, J. (TU Delft Web Information Systems); Sun, Zhu (Nanyang Technological University); Bozzon, A. (TU Delft Web Information Systems); Zhang, J. (Nanyang Technological University); Larson, M.A. (TU Delft Multimedia Computing; Radboud Universiteit Nijmegen)","","2017","The ""International Workshop on Recommender Systems for Citizens"" (CitRec) is focused on a novel type of recommender systems both in terms of ownership and purpose: recommender systems run by citizens and serving society as a whole.","Citizens; Recommender systems; Smart Cities; Spatio-temporal context-aware recommendation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:5f756020-9197-4b75-8ce6-71b7e78959d0","http://resolver.tudelft.nl/uuid:5f756020-9197-4b75-8ce6-71b7e78959d0","Experimental study on mechanical behavior of shear connectors of square concrete filled steel tube","Qiao, Qiyun (Beijing University of Technology); Zhang, Wenwen (Beijing University of Technology); Qian, Zhiwei (TU Delft Railway Engineering); Cao, Wanlin (Beijing University of Technology); Liu, Wenchao (Beijing University of Technology)","","2017","In order to quantitatively evaluate the shear-bearing capacity of shear connectors of square concrete filled steel tube (CFST), push-out tests on 14 square CFSTs with shear connectors have been carried out. Among the 14 CFSTs, there are 13 specimens with steel plate connectors and one specimen with steel bar connectors. The following factors are investigated to figure out their influences on the performance of CFSTs, which are the width to thickness ratio of steel tube, thickness of steel plate, length of steel plate, strength of concrete, welding condition of steel plate, number of steel plate layer and interlayer spacing. The test results show that the ultimate bearing capacity and the elastic stiffness increase with decreasing width to thickness ratio of the steel tube, and increasing thickness and length of the steel plate. With increasing concrete strength, the ultimate bearing capacity also increases. However, the welding condition has no effect on the ultimate bearing capacity. The ultimate bearing capacity of the CFST with double-layer steel plate is greater than that with single-layer steel plate. The ultimate bearing capacity of steel bar type shear connector is 87% greater than that of the steel plate type shear connector, and the steel bar specimen shows good ductility. A formula for calculating the shear-bearing capacity of shear connectors has been developed, and the calculated shear-bearing capacities are in good agreement with the test data.","Push-out test; Shear connector; Shear-bearing capacity; Square CFSTs","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:1397c49e-4df9-4ff2-84d7-6a8511757062","http://resolver.tudelft.nl/uuid:1397c49e-4df9-4ff2-84d7-6a8511757062","Numerical thermal analysis and optimization of multi-chip LED module using response surface methodology and genetic algorithm","Tang, H. (TU Delft Electronic Components, Technology and Materials); Ye, Huai-Yu (Chongqing University); Chen, Xian-Ping (Chongqing University); Qian, Cheng (Chinese Academy of Sciences; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xue-Jun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","In this paper, the heat transfer performance of the multi-chip (MC) LED module is investigated numerically by using a general analytical solution. The configuration of the module is optimized with genetic algorithm (GA) combined with a response surface methodology. The space between chips, the thickness of the metal core printed circuit board (MCPCB), and the thickness of the base plate are considered as three optimal parameters, while the total thermal resistance (Rtot) is considered as a single objective function. After optimizing objectives with GA, the optimal design parameters of three types of MC LED modules are determined. The results show that the thickness of MCPCB has a stronger influence on the total thermal resistance than other parameters. In addition, the sensitivity analysis is performed based on the optimum data. It reveals thatRtot increases with the increased thickness of MCPCB, and reduces as the space between chips increases. The effect of the thickness of base plate is far less than that of the thickness of MCPCB. After optimization, three types of MC LED modules obtain lower Tj andRtot. Moreover, the optimized modules can emit large luminous energy under high-power input conditions. Therefore, the optimization results are of great significance in the selection of configuration parameters to improve the performance of the MC LED module.","genetic algorithm; Multi-chip LED module; optimization; response surface methodology; thermal resistance; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a2cd3bbc-eaac-4c4f-9ffd-2d87e1010df0","http://resolver.tudelft.nl/uuid:a2cd3bbc-eaac-4c4f-9ffd-2d87e1010df0","Electromagnetic Marchenko scheme based internal multiple elimination for lossless media","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2017","Iterative substitution of the Marchenko equation has been introduced recently to integrate internal multiple reflection in the seismic and electromagnetic imaging process. In the so-called Marchenko imaging, solving the Marchenko equation at each imaging point is required to meet this objective. It makes the scheme seriously expensive. Inspired by this limitation, we present an Electromagnetic Marchenko equation based one dimensional scheme to eliminate the internal multiples of the single-sided lossless surface ground penetrating radar data layer by layer, such that the conventional imaging schemes can be applied to get the internal multiple related artifacts free imaging result without the need of solving Marchenko equation at each imaging point. We show with an example that the method works well for a sample in a synthetic waveguide that could be used for measurements in laboratory and field.","internal multiple elimination; iterative substitution; lossless; Marchenko equation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:d9509685-ec42-4dba-82d7-f23ff1c1661c","http://resolver.tudelft.nl/uuid:d9509685-ec42-4dba-82d7-f23ff1c1661c","Influence of microencapsulated phase change material (PCM) addition on (micro) mechanical properties of cement paste","Šavija, B. (TU Delft Materials and Environment); Zhang, H. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2017","Excessive cracking can be a serious durability problem for reinforced concrete structures. In recent years, addition of microencapsulated phase change materials (PCMs) to concrete has been proposed as a possible solution to crack formation related to temperature gradients. However, the addition of PCM microcapsules to cementitious materials can have some drawbacks, mainly related to strength reduction. In this work, a range of experimental techniques has been used to characterize the microcapsules and their effect on properties of composite cement pastes. On the capsule level, it was shown that they are spherical, enabling good distribution in the material during the mixing process. Force needed to break the microcapsules was shown to depend on the capsule diameter and the temperature, i.e., whether it is below or above the phase change temperature. On the cement paste level, a marked drop of compressive strength with increasing PCM inclusion level was observed. The indentation modulus has also shown to decrease, probably due to the capsules themselves, and to a lesser extent due to changes in porosity caused by their inclusion. Finally, a novel micro-cube splitting technique was used to characterize the tensile strength of the material on the micro-meter length scale. It was shown that the strength decreases with increasing PCM inclusion percentage, but this is accompanied by a decrease in measurement variability. This study will contribute to future developments of cementitious composites incorporating phase change materials for a variety of applications.","Cement paste; Microcapsules; Nanoindentation; PCM; Porosity; Tensile strength; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0895480b-77c7-46a3-b013-f2ef0cb34019","http://resolver.tudelft.nl/uuid:0895480b-77c7-46a3-b013-f2ef0cb34019","Structural Health and Stability Assessment of High-Speed Railways via Thermal Dilation Mapping With Time-Series InSAR Analysis","Qin, Xiaoqiong (Wuhan University); Liao, Mingsheng (Wuhan University); Zhang, L (Wuhan University); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University)","","2017","Thermal dilation is a vital component of deformation along the extensive railway network infrastructure. To monitor subtle deformation, the synthetic aperture radar interferometry (InSAR) technique has been adopted as a space-borne geodetic tool. However, InSAR applications in railway stability surveillance have been largely limited by the sparseness of detectable point-like targets (PTs). Moreover, only one-dimensional linear displacements in radar line-of-sight direction can be measured by a single data stack. To address these issues, we developed an improved persistent scatterers InSAR approach that can retrieve thermal dilation effects with an increased number of PTs along the railways. This proposed strategy effectively combines SAR amplitude, interferometric phase, and the spatial information of railway structures to maximize the number of PTs. A least square fitting of the residual phase obtained by iterative spatial-temporal filtering with respect to temperature difference is used to estimate the thermal dilation of metal and concrete-asphalt materials. To validate the effectiveness of this approach, case studies using ENVISAT ASAR (ASAR) and TerraSAR-X (TSX) datasets were carried out on the railways of Beijing–Tianjin, Beijing–Shanghai, and Shanghai–Hangzhou. Subsidence velocity, gradient, and thermal dilation were used to identify hazardous grades along each railway. Furthermore, linear deformation rates in two dimensions, i.e., vertical and west-east directions, along Shanghai–Hangzhou Railway were inverted from ascending ASAR and descending TSX observations to reveal track conditions at a high level of detail.","Gradient; high-speed railway; Monitoring; Radar tracking; Rail transportation; Remote sensing; risk assessment; Stability analysis; Target tracking; thermal dilation; Thermal stability","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:f186bf2d-7fe4-4206-b2fb-b97f817e5292","http://resolver.tudelft.nl/uuid:f186bf2d-7fe4-4206-b2fb-b97f817e5292","The physics behind Van der Burgh's empirical equation, providing a new predictive equation for salinity intrusion in estuaries","Zhang, Z. (TU Delft Water Resources); Savenije, Hubert (TU Delft Water Resources)","","2017","The practical value of the surprisingly simple Van der Burgh equation in predicting saline water intrusion in alluvial estuaries is well documented, but the physical foundation of the equation is still weak. In this paper we provide a connection between the empirical equation and the theoretical literature, leading to a theoretical range of Van der Burgh's coefficient of 1ĝ•2 < K < 2ĝ•3 for density-driven mixing which falls within the feasible range of 0 < K < 1. In addition, we developed a one-dimensional predictive equation for the dispersion of salinity as a function of local hydraulic parameters that can vary along the estuary axis, including mixing due to tide-driven residual circulation. This type of mixing is relevant in the wider part of alluvial estuaries where preferential ebb and flood channels appear. Subsequently, this dispersion equation is combined with the salt balance equation to obtain a new predictive analytical equation for the longitudinal salinity distribution. Finally, the new equation was tested and applied to a large database of observations in alluvial estuaries, whereby the calibrated K values appeared to correspond well to the theoretical range.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:550b2ad8-8db6-4986-8271-853620a624f8","http://resolver.tudelft.nl/uuid:550b2ad8-8db6-4986-8271-853620a624f8","A novel lifetime prediction for integrated LED lamps by electronic-thermal simulation","Sun, B. (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting); Fan, Xuejun (Lamar University; State Key Laboratory of Solid State Lighting); Ye, H. (Chongqing University); Fan, Jiajie (Hohai University; State Key Laboratory of Solid State Lighting); Qian, Cheng (Chinese Academy of Sciences); van Driel, W.D. (Philips Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","In this paper, an integrated LED lamp with an electrolytic capacitor-free driver is considered to study the coupling effects of both LED and driver's degradations on lamp's lifetime. An electrolytic capacitor-less buck-boost driver is used. The physics of failure (PoF) based electronic thermal simulation is carried out to simulate the lamp's lifetime in three different scenarios: Scenario 1 considers LED degradation only, Scenario 2 considers the driver degradation only, and Scenario 3 considers both degradations from LED and driver simultaneously. When these two degradations are both considered, the lamp's lifetime is reduced by about 22% compared to the initial target of 25,000 h. The results of Scenario 1 and 3 are close to each other. Scenario 2 gives erroneous results in terms of luminous flux as the LED's degradation over time is not taken into consideration. This implies that LED's degradation must be taken into considerations when LED and driver's lifetimes are comparable.","Degradation; Electronic-thermal simulation; LED; LED driver; Lifetime prediction","en","journal article","","","","","","Accepted author manuscript","","2019-02-27","","","Electronic Components, Technology and Materials","","",""
"uuid:fd2760b5-b7a5-4bdd-a053-9f7e01c5886b","http://resolver.tudelft.nl/uuid:fd2760b5-b7a5-4bdd-a053-9f7e01c5886b","Determination of the intrinsic α/γ interface mobility during massive transformations in interstitial free Fe-X alloys","Zhu, Jianing (Tsinghua University); Luo, Haiwen (University of Science and Technology Beijing); Yang, Zhigang (Tsinghua University); Zhang, Chi (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Chen, H. (Tsinghua University)","","2017","Kinetics of the austenite (γ) to ferrite (α) transformation and the reverse ferrite (α) to austenite (γ) transformation in a series of Fe-X (X = Ni, Mn and Co) binary alloys has been experimentally and theoretically investigated. A transition from partitioning to partitionless transformation has been predicted to occur during both the γ→α and α→γ transformations by a so called Gibbs Energy Balance (GEB) model, in which the chemical driving force is assumed to be equal to the energy dissipation due to interface friction and diffusion of X inside the migrating interfaces. The transition temperature is found to depend on the kind of X and its concentration, which is in good agreement with experimental results. The intrinsic mobility of the α/γ interface has been derived from the kinetic curves of both the γ→α and α→γ transformations in the investigated alloys, and its value seems to be marginally affected by the transformation direction and alloying elements.","Austenite; Ferrite; Interface mobility; Massive transformation","en","journal article","","","","","","","","2019-05-29","","","Novel Aerospace Materials","","",""
"uuid:886516fe-764c-4d15-8968-406ad9ee2eb1","http://resolver.tudelft.nl/uuid:886516fe-764c-4d15-8968-406ad9ee2eb1","Car-following Behavior Model Learning Using Timed Automata","Zhang, Yihuan (Tongji University); Lin, Q. (TU Delft Cyber Security); Wang, Jun (Tongji University); Verwer, S.E. (TU Delft Cyber Security)","Dochain, D. (editor); Henrion, D. (editor); Peaucelle, D. (editor)","2017","Learning driving behavior is fundamental for autonomous vehicles to “understand” traffic situations. This paper proposes a novel method for learning a behavioral model of car-following using automata learning algorithms. The model is interpretable for car-following behavior analysis. Frequent common state sequences are extracted from the model and clustered as driving patterns. The Next Generation SIMulation dataset on the I-80 highway is used for learning and evaluating. The experimental results demonstrate high accuracy of car-following model fitting.","real-time automata learning; state sequence clustering; car-following behavior; piece-wise fitting","en","conference paper","Elsevier","","","","","","","","","","Cyber Security","","",""
"uuid:f8112b0f-d697-4e5c-bbff-ea7eae5ab50c","http://resolver.tudelft.nl/uuid:f8112b0f-d697-4e5c-bbff-ea7eae5ab50c","Wind turbine rotor aerodynamics: The IEA MEXICO rotor explained","Zhang, Y. (TU Delft Wind Energy)","van Zuijlen, A.H. (promotor); van Bussel, G.J.W. (promotor); Delft University of Technology (degree granting institution)","2017","Wind turbines are operating under very complex and uncontrolled environmental conditions, including atmospheric turbulence, atmospheric boundary layer effects, directional and spatial variations in wind shear, etc. Over the past decades, the size of a commercial wind turbine has increased considerably. All the complex and uncontrolled conditions mentioned above result in uncertainties of aerodynamic loads calculation on very large wind turbine blades and thus better numerical codes are needed for predicting the loads in the design phase. With the aim to eliminate these uncontrolled effects and improve the aerodynamic models, in last decades, several important experimental campaigns of different wind turbine models have been performed in large wind tunnels. The objective of such experiments (e.g. using the NREL wind turbine and the MEXICO rotor) is to provide high quality measurement data which can be used to validate numerical models and improve different fidelity numerical codes, particularly for predicting wind turbine aerodynamic loads.","MEXICO rotor; rotor aerodynamics; CFD; OpenFOAM; ZigZag effects; loads overprediction; transition modeling; turbulence modeling; detached eddy simulation; PIV","en","doctoral thesis","","978-94-6186-815-2","","","","","","","","","Wind Energy","","",""
"uuid:69642b42-8479-4bd6-b54b-ff6cb0810628","http://resolver.tudelft.nl/uuid:69642b42-8479-4bd6-b54b-ff6cb0810628","Finger pad friction and tactile perception of laser treated, stamped and cold rolled micro-structured stainless steel sheet surfaces","Zhang, S. (Tsinghua University; University of Twente); Zeng, X. (Chinese Academy of Sciences); Matthews, D. T A (University of Twente; Tata Steel); Igartua, A. (IK4-Tekniker); Rodriguez-Vidal, E. (IK4-Tekniker); Contreras Fortes, J. (Acerinox Europa SAU); van der Heide, E. (TU Delft Railway Engineering; University of Twente)","","2017","Tactile perception is a complex system, which depends on frictional interactions between skin and counter-body. The contact mechanics of tactile friction is governed by many factors such as the state and properties of skin and counter-body. In order to discover the connection between perception and tactile friction on textured stainless steel sheets, both perception experiments (subjective) and tactile friction measurements (objective) were performed in this research. The perception experiments were carried out by using a panel test method to identify the perceived roughness, perceived stickiness and comfort level from the participants. For the friction experiments, tactile friction was measured by a multi-axis force/torque transducer in vivo. The perceived stickiness was illustrated as an effective subjective stimulus, which has a negative correlation to the comfort perception. No significant evidence was revealed to the connection between the perceived roughness and comfort perception, and this relationship may be influenced by the participants’ individual experience, gender and moisture level of skin. Furthermore, the kinetic tactile friction was concluded as an objective stimulus to the comfort perception with a negative correlation.","biotribology; perception; pleasant touch; tactile friction","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:63c5aacc-9a52-43f5-bc0b-171958a675cf","http://resolver.tudelft.nl/uuid:63c5aacc-9a52-43f5-bc0b-171958a675cf","Condition Monitoring Algorithm for Piezoresistive Silicon-Based Stress Sensor Data Obtained from Electronic Control Units","Prisacaru, Alexandru (Robert Bosch GmbH); Palczynska, Alicja (Robert Bosch GmbH); Gromala, Przemyslaw Jakub (Robert Bosch GmbH); Han, Bongtae (University of Maryland); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","Recent advancements in automotive technologies, most notably autonomous driving, demand electronic systemsmuch more complex than realized in the past. The automotiveindustry has been forced to adopt advanced consumerelectronics to satisfy the demand, and thus it becomes morechallenging to assess system reliability while adopting the newtechnologies. The system level reliability can be enforced byimplementing a process called condition monitoring. In thispaper, a piezoresistive silicon based stress sensor isimplemented to detect physical damages in outer moldedelectronic control units (ECU) subjected to reliability testingconditions. The test vehicle consists of six DPAK powerpackages and three stress sensors mounted on a PrintedCircuit Board (PCB). A unique algorithm is proposed andimplemented to handle the data obtained from thepiezoresistive stress sensing cells. The accuracy of measureddata is examined by Finite Element method (FEM), and thephysical changes are validated with Scanning AcousticMicroscope (SAM).","Condition Monitoring; Electronic Control Units; Fault Detection; Stress Sensor","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a29ccc13-6e1c-4919-ba0a-9ccbd599d7ef","http://resolver.tudelft.nl/uuid:a29ccc13-6e1c-4919-ba0a-9ccbd599d7ef","Effect of Interfacial Polarization and Water Absorption on the Dielectric Properties of Epoxy-Nanocomposites","Marx, Philipp (Polymer Competence Center Leoben; Montanuniversität Leoben); Wanner, Andrea (Polymer Competence Center Leoben; Montanuniversität Leoben); Zhang, Zucong (Polymer Competence Center Leoben); Jin, H. (TU Delft DC systems, Energy conversion & Storage); Tsekmes, I.A. (TU Delft DC systems, Energy conversion & Storage; Montanuniversität Leoben); Smit, J.J. (TU Delft EEMS - General); Kern, Wolfgang (Polymer Competence Center Leoben; Montan University of Leoben); Wiesbrock, Frank (Polymer Competence Center Leoben)","","2017","Five types of nanofillers, namely, silica, surface-silylated silica, alumina, surface-silylated alumina, and boron nitride, were tested in this study. Nanocomposites composed of an epoxy/amine resin and one of the five types of nanoparticles were tested as dielectrics with a focus on (i) the surface functionalization of the nanoparticles and (ii) the water absorption by the materials. The dispersability of the nanoparticles in the resin correlated with the composition (OH content) of their surfaces. The interfacial polarization of the thoroughly dried samples was found to increase at lowered frequencies and increased temperatures. The β relaxation, unlike the interfacial polarization, was not significantly increased at elevated temperatures (below the glass-transition temperature). Upon the absorption of water under ambient conditions, the interfacial polarization increased significantly, and the insulating properties decreased or even deteriorated. This effect was most pronounced in the nanocomposite containing silica, and occurred as well in the nanocomposites containing silylated silica or non-functionalized alumina. The alternating current (AC) breakdown strength of all specimens was in the range of 30 to 35 kV·mm−1. In direct current (DC) breakdown tests, the epoxy resin exhibited the lowest strength of 110 kV·mm−1; the nanocomposite containing surface-silylated alumina had a strength of 170 kV·mm−1. In summary, water absorption had the most relevant impact on the dielectric properties of nanocomposites containing nanoparticles, the surfaces of which interacted with the water molecules. Nanocomposites containing silylated alumina particles or boron nitride showed the best dielectric properties in this study.","epoxy resins; nanoparticles; surface functionalization; silylating agent; water uptake; permittivity; loss factor; interfacial polarization; thermal conductivity","en","contribution to periodical","","","","","","Special Issue ""Polymer Nanocomposites""","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:efc38f3f-d528-401f-940d-c6e53e9579c9","http://resolver.tudelft.nl/uuid:efc38f3f-d528-401f-940d-c6e53e9579c9","Life cycle assessment in wastewater treatment:: Influence of site-oriented normalization factors, life cycle impact assessment methods, and weighting methods","Bai, Shunwen (Harbin Institute of Technology); Wang, Xiuheng (Harbin Institute of Technology); Zhang, X. (TU Delft Sanitary Engineering); Zhao, Xinyue (Harbin Institute of Technology); Ren, Nanqi (Harbin Institute of Technology)","","2017","This present study aims to analyze the differences in results of different site-directional life cycle assessment
(LCA) methods applied in the field of wastewater treatment. Site-generic methods were employed and
compared with China-specific methods on a full-scale wastewater treatment case. A set of Chinese
normalized factors were developed and employed to compare with world normalization factors. No
substantial discrepancies in results were obtained from the two different sets of normalization factors. In
the phase of life cycle impact assessment, the e-Balance showed substantial discrepancies in results,
compared with the CML method that is widely applied in LCA. The discrepancies were mainly attributed
to the cause that in e-Balance more emphasis is on regional water pollution potential (that is: chemical
oxygen demand (COD) as an independent impact category). Moreover, discrepancies in the results were
also investigated by applying different site-directional weighting methods. Besides the specific locations
where the weighting methods were designed for, this study showed that employing different
environmental indicators in impact categories was another important factor that resulted in differences
in the LCA results of the different weighting methods.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:25530022-84b7-4bc1-a6ca-d9207840ed64","http://resolver.tudelft.nl/uuid:25530022-84b7-4bc1-a6ca-d9207840ed64","Visible-Light-Driven Photooxidation of alcohols using surface-doped graphitic carbon nitride","Zhang, W. (TU Delft BT/Biocatalysis); Bariotaki,, A. (University of Crete); Smonou, I. (University of Crete); Hollmann, F. (TU Delft BT/Biocatalysis)","","2017","Carbon-nanodot-doped g-C3N4 is used as a photocatalyst to promote the aerobic oxidation of alcohols and oxyfunctionalisation of activated hydrocarbons. A critical E-factor analysis of the current reaction system reveals its limitations en route to environmentally acceptable oxidation procedures.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:58943e6d-10d4-48c9-8ef0-0db2702cab3e","http://resolver.tudelft.nl/uuid:58943e6d-10d4-48c9-8ef0-0db2702cab3e","Influence of surgical suture properties on the tribological interactions with artificial skin by a capstan experiment approach","Zhang, Gangqiang (Shanghai Jiao Tong University); Ren, Tianhui (Shanghai Jiao Tong University); Zeng, Xiangqiong (University of Twente); van der Heide, E. (TU Delft Railway Engineering; University of Twente)","","2017","Tribological interactions between surgical suture and human tissue play an important role in the stitching process. The purpose of the paper is to understanding the tribological behavior of surgical suture interacting with artificial skin, with respect to surgical suture material and structure, by means of a capstan experiment approach and a contact area model. The results indicated that structure and surface topography of the surgical suture had a pronounced effect on the tribological interactions. The apparent coefficient of friction of vicryl surgical suture was the smallest among the three surgical suture materials. As the sliding velocity increased, or the applied load decreased, the coefficient of friction increased. Furthermore, stick-slip phenomena were observed during the sliding procedure.","artificial skin; capstan experiment; friction; sliding; surgical suture","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:0c3a6c7d-5a68-46dc-8683-dc8d47bbbdf8","http://resolver.tudelft.nl/uuid:0c3a6c7d-5a68-46dc-8683-dc8d47bbbdf8","Simultaneous photocatalytic and microbial degradation of dye-containing wastewater by a novel g-C3 N4 -P25 /photosynthetic bacteria composite","Zhang, Xinying (Fuzhou University); Wu, Yan (Fuzhou University); Xiao, Gao (Fuzhou University); Tang, Zhenping (Fuzhou University); Wang, Meiyin (Fuzhou University); Liu, Fuchang (Fuzhou University); Zhu, X. (TU Delft Sanitary Engineering)","","2017","Azo dyes are very resistant to light-induced fading and biodegradation. Existing advanced oxidative pre-treatment methods based on the generation of non-selective radicals cannot efficiently remove these dyes from wastewater streams, and post-treatment oxidative dye removal is problematic because it may leave many byproducts with unknown toxicity profiles in the outgoing water, or cause expensive complete mineralization. These problems could potentially be overcome by combining photocatalysis and biodegradation. A novel visiblelight-responsive hybrid dye removal agent featuring both photocatalysts (g-C3 N4 -P25 ) and photosynthetic bacteria encapsulated in calcium alginate beads was prepared by selfassembly. This system achieved a removal efficiency of 94% for the dye reactive brilliant red X-3b and also reduced the COD of synthetic wastewater samples by 84.7%, successfully decolorized synthetic dye-contaminated wastewater and reduced its COD, demonstrating the advantages of combining photocatalysis and biocatalysis for wastewater purification. The composite apparently degrades X-3b by initially converting the dye into aniline and phenol derivatives whose aryl moieties are then attacked by free radicals to form alkyl derivatives, preventing the accumulation of aromatic hydrocarbons that might suppress microbial activity. These alkyl intermediates are finally degraded by the photosynthetic bacteria.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:f930539b-e9ca-4ba0-aeb2-9f5a71a70004","http://resolver.tudelft.nl/uuid:f930539b-e9ca-4ba0-aeb2-9f5a71a70004","Look together: Using gaze for assisting co-located collaborative search","Zhang, Y. (TU Delft Pattern Recognition and Bioinformatics); Pfeuffer, Ken (University of Lancaster); Chong, Ming Ki (Hong Kong); Alexander, Jason (University of Lancaster); Bulling, Andreas (Max Planck Institut für Informatik); Gellersen, Hans (University of Lancaster)","","2017","Gaze information provides indication of users focus which complements remote collaboration tasks, as distant users can see their partner’s focus. In this paper, we apply gaze for co-located collaboration, where users’ gaze locations are presented on the same display, to help collaboration between partners. We integrated various types of gaze indicators on the user interface of a collaborative search system, and we conducted two user studies to understand how gaze enhances coordination and communication between co-located users. Our results show that gaze indeed enhances co-located collaboration, but with a trade-off between visibility of gaze indicators and user distraction. Users acknowledged that seeing gaze indicators eases communication, because it let them be aware of their partner’s interests and attention. However, users can be reluctant to share their gaze information due to trust and privacy, as gaze potentially divulges their interests.","Collaborative task; Eye tracking; Gaze awareness; Gaze interaction; Large pervasive display; Multi-user","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:dead25f2-ec5b-4a5a-a35d-661754f52425","http://resolver.tudelft.nl/uuid:dead25f2-ec5b-4a5a-a35d-661754f52425","Lake seasonality across the Tibetan Plateau and their varying relationship with regional mass changes and local hydrology","Lei, Yanbin (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Yao, Tandong (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Yang, Kun (Chinese Academy of Sciences); Sheng, Yongwei (University of California); Kleinherenbrink, M. (TU Delft Physical and Space Geodesy); Yi, Shuang (Chinese Academy of Sciences); Bird, Broxton W. (Indiana University - Purdue University); Zhang, Xiaowen (Chinese Academy of Sciences); Zhu, La (Chinese Academy of Sciences); Zhang, Guoqing (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System)","","2017","The recent growth and deepening of inland lakes in the Tibetan Plateau (TP) may be a salient indicator of the consequences of climate change. The seasonal dynamics of these lakes is poorly understood despite this being potentially crucial for disentangling contributions from glacier melt and precipitation, which are all sensitive to climate, to lake water budget. Using in situ observations, satellite altimetry and gravimetry data, we identified two patterns of lake level seasonality. In the central, northern, and northeastern TP, lake levels are characterized by considerable increases during warm seasons and decreases during cold seasons, which is consistent with regional mass changes related to monsoon precipitation and evaporation. In the northwestern TP, however, lake levels exhibit dramatic increases during both warm and cold seasons, which deviate from regional mass changes. This appears to be more connected with high spring snowfall and large summer glacier melt. The variable lake level response to different drivers indicates heterogeneous sensitivity to climate change between the northwestern TP and other regions.","cryosphere hydrolgoy; lake seasonality; Tibetan Plateau","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:2e7b60df-5fde-478b-a0fb-f70da6e9f7ed","http://resolver.tudelft.nl/uuid:2e7b60df-5fde-478b-a0fb-f70da6e9f7ed","Energy repartition in the nonequilibrium steady state","Yan, Peng (University of Electronic Science and Technology of China); Bauer, G.E. (TU Delft QN/Bauer Group; Tohoku University); Zhang, Huaiwu (University of Electronic Science and Technology of China)","","2017","The concept of temperature in nonequilibrium thermodynamics is an outstanding theoretical issue. We propose an energy repartition principle that leads to a spectral (mode-dependent) temperature in steady-state nonequilibrium systems. The general concepts are illustrated by analytic solutions of the classical Heisenberg spin chain connected to Langevin heat reservoirs with arbitrary temperature profiles. Gradients of external magnetic fields are shown to localize spin waves in a Wannier-Zeemann fashion, while magnon interactions renormalize the spectral temperature. Our generic results are applicable to other thermodynamic systems such as Newtonian liquids, elastic solids, and Josephson junctions.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:efcf655b-e0b1-48b7-9102-87c8c9764e2b","http://resolver.tudelft.nl/uuid:efcf655b-e0b1-48b7-9102-87c8c9764e2b","Hybrid Plasmonics Slot THz Waveguide for Subwavelength Field Confinement and Crosstalk between Two Waveguides","Xiao, Jing (Guilin University of Electronic Technology); Wei, Qi-Qin (Guilin University of Electronic Technology); Yang, Duo Guo (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology); He, Ning (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xian Ping (Chongqing University)","","2017","The slot waveguide has attracted considerable attention because of its ability to confine and guide electromagnetic energy at the subwavelength scale beyond the diffraction limit. We propose a novel terahertz slot waveguide structure to achieve a better tradeoff between propagation length and field confinement capacity, the novel waveguide consisting of a two slot structure. The performances of terahertz waveguides were investigated using the finite-element method. The results demonstrated that the hybrid slot waveguide (HSW) provides significantly enhanced field confinement in low index slot regions: more than five times that of traditional low index slot waveguides (LISWs). An optimized HSW structure was achieved by tuning the tradeoff between mode confinement and propagation length. We also showed that its integration in conventional planar waveguide circuits was greatly improved compared with the LISWs, by comparing their crosstalk. The proposed new HSW structure has great potential to enable THz production of compact integration and could lead to true semiconductor-basedTHz applications with high performance.","crosstalk; enhanced field; propagation length; slot THz waveguide; Terahertz radiation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:543c0bc2-f491-4c98-b9f0-c27bcb3d571e","http://resolver.tudelft.nl/uuid:543c0bc2-f491-4c98-b9f0-c27bcb3d571e","Identification and Robust Control of the Nonlinear Photoelectrothermal Dynamics of LED Systems","Dong, J. (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","","2017","In lighting systems consisting light-emitting diodes (LEDs), excessive temperature is a main cause of degraded luminous efficacy, which leads to reduced average illuminance and distorted illumination rendering. Modeling the thermal dynamics of LEDs is hence essential in designing thermal dissipators and controllers for maintaining constant illuminance or chromaticity. In the existing literature, both physical modeling and system identification have been proposed, which all find the dependence of the temperature on the input power. When the power fluctuates, e.g., due to dimming control, the thermal dynamics becomes nonlinear. Moreover, when a photoelectrothermal model is used in the control synthesis, the nonlinearity due to the product of the temperature-dependent efficacy and the input power must be considered. These nonlinearities are either ignored or linearized in most existing methods. The main contribution of this work is treating the aforementioned nonlinearities in a linear parameter varying (LPV) framework. First, the nonlinear thermal dynamics is identified by LPV system identification techniques. Then, a controller to track reference illuminance is designed by H∞ control techniques to be robust to both the temperature and the disturbance from ambient light. The identification data and the designed controller are collected from and verified on a real experimental setup.","H control; light-emitting diodes (LEDs); linear parameter varying (LPV); nonlinear systems; photoelectrothermal (PET) dynamics; system identification","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:bfe855fb-6191-4c24-818f-2a68f8a3546a","http://resolver.tudelft.nl/uuid:bfe855fb-6191-4c24-818f-2a68f8a3546a","A PoF and statistics combined reliability prediction for LED arrays in lamps","Sun, B. (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting); Fan, Xuejun (Lamar University; State Key Laboratory of Solid State Lighting); Fan, J. (Hohai University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Qian, Cheng (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting)","","2017","In this work, a physics-of-failure (PoF) reliability prediction methodology is combined with statistical models to consider the interaction between the lumen depreciation and catastrophic failures of LEDs. The current in each LED may redistribute when the catastrophic failure occurs in one of LEDs in an array, thus affecting the operation conditions of the entire LED array. A physics-of-failure based reliability prediction methodology is combined with statistical models to consider the interaction between the lumen depreciation and the catastrophic failure. Electronic-thermal simulations are utilized to obtain operation conditions, including temperature and current. Meanwhile, statistical models are applied to calculate possibilities of the catastrophic failure in different operation conditions.","Electrolytic Capacitor-Free LED Driver; MOSFET; Reliability","en","conference paper","IEEE","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:232f25dd-724e-42e1-a0ec-050dd880da54","http://resolver.tudelft.nl/uuid:232f25dd-724e-42e1-a0ec-050dd880da54","Color Shift Failure Prediction for Phosphor-Converted White LEDs by Modeling Features of Spectral Power Distribution with a Nonlinear Filter Approach","Fan, Jiajie (Hohai University; Beijing Research Center; Changzhou Institute of Technology Research for Solid State Lighting); Mohamed, Moumouni Guero (Hohai University); Qian, Cheng (Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xuejun (Lamar University; Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting; Beijing Research Center); Pecht, Micheal (University of Maryland)","","2017","With the expanding application of light-emitting diodes (LEDs), the color quality of white LEDs has attracted much attention in several color-sensitive application fields, such as museum lighting, healthcare lighting and displays. Reliability concerns for white LEDs are changing from the luminous efficiency to color quality. However, most of the current available research on the reliability of LEDs is still focused on luminous flux depreciation rather than color shift failure. The spectral power distribution (SPD), defined as the radiant power distribution emitted by a light source at a range of visible wavelength, contains the most fundamental luminescence mechanisms of a light source. SPD is used as the quantitative inference of an LED's optical characteristics, including color coordinates that are widely used to represent the color shift process. Thus, to model the color shift failure of white LEDs during aging, this paper first extracts the features of an SPD, representing the characteristics of blue LED chips and phosphors, by multi-peak curve-fitting and modeling them with statistical functions. Then, because the shift processes of extracted features in aged LEDs are always nonlinear, a nonlinear state-space model is then developed to predict the color shift failure time within a self-adaptive particle filter framework. The results show that: (1) the failure mechanisms of LEDs can be identified by analyzing the extracted features of SPD with statistical curve-fitting and (2) the developed method can dynamically and accurately predict the color coordinates, correlated color temperatures (CCTs), and color rendering indexes (CRIs) of phosphor-converted (pc)-white LEDs, and also can estimate the residual color life","LEDs; color shift failure; nonlinear filter; reliability and failure analysis; spectral power distribution","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7b72c04b-7f03-4134-a3be-6dde1aa843c4","http://resolver.tudelft.nl/uuid:7b72c04b-7f03-4134-a3be-6dde1aa843c4","Accurate electronic free energies of the 3d,4d, and 5d transition metals at high temperatures","Zhang, Xi (Max-Planck-Institut für Eisenforschung); Grabowski, Blazej (Max-Planck-Institut für Eisenforschung); Freysoldt, Christoph (Max-Planck-Institut für Eisenforschung); Körmann, F.H.W. (TU Delft (OLD) MSE-7; Max-Planck-Institut für Eisenforschung); Neugebauer, Jörg (Max-Planck-Institut für Eisenforschung)","","2017","Free energies of bulk materials are nowadays routinely computed by density functional theory. In particular for metals, electronic excitations can significantly contribute to the free energy. For an ideal static lattice, this contribution can be obtained at low computational cost, e.g., from the electronic density of states derived at T=0 K or by utilizing the Sommerfeld approximation. The error introduced by these approximations at elevated temperatures is rarely known. The error arising from the ideal lattice approximation is likewise unexplored but computationally much more challenging to overcome. In order to shed light on these issues we have computed the electronic free energies for all 3d,4d, and 5d transition elements on the ideal lattices of the bcc, fcc, and hcp structures using finite-temperature density-functional theory. For a subset of elements we have explored the impact of explicit thermal vibrations on the electronic free energies by using ab initio molecular dynamics simulations. We provide an analysis of the observed chemical trends in terms of the electronic density of states and the canonical d band model and quantify the errors in the approximate methods. The electronic contribution to the heat capacities and the corresponding errors due to the different approximations are studied as well.","","en","journal article","","","","","","","","","","","(OLD) MSE-7","","",""
"uuid:073519a2-5585-4fe7-9c7d-547e3fd7d39e","http://resolver.tudelft.nl/uuid:073519a2-5585-4fe7-9c7d-547e3fd7d39e","Exploratory morphodynamic hindcast of the evolution of the abandoned Yellow River delta, 1578-1855","Su, M. (TU Delft Coastal Engineering; Sun Yat-sen University; Guangdong Provincial Key Laboratory of Marine Resources and Coastal Engineering); Yao, P. (TU Delft Coastal Engineering; Sun Yat-sen University; Guangdong Provincial Key Laboratory of Marine Resources and Coastal Engineering); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares; Hohai University); Zhang, C.K. (Guangdong Provincial Key Laboratory of Marine Resources and Coastal Engineering); Stive, M.J.F. (TU Delft Coastal Engineering)","","2017","The Abandoned Yellow River Delta (AYD), which formed when the Yellow River flowed into the Southern Yellow Sea between 1128 and 1855 AD, is a representative example of the sensitivity of deltas to modifications in their environments. In this study, we established a process-based morphodynamic model to explore the morphological evolution of one such largescale fine-grained delta (the AYD before 1855). The uncertainties in the model settings, which are inevitable when historical data are insufficient, were assessed together with the corresponding influences on the evolution of the deltaic system by considering a series of scenarios. The results indicate that the strength of local tidal forcing is the key factor that determines the shape and evolutionary trend of the delta. Sediment input discharge and the slope of the initial coastal profile have a considerable effect on the overall size of the delta and the relative ratio between subaerial and subaqueous parts of the delta, respectively. Based on the evaluation of the uncertainties and a comparison with historical maps, the simulated AYD was evaluated to be reliable. Through an analysis of the temporal delta evolution and residual sediment transport, the morphological evolution of the AYD before 1855 AD was investigated. The southern delta grew as the shoals merged with the mainland, which is in agreement with an existing hypothesis (Zhang, 1984), whereas the accretion of the northern delta was independent from the shoals in the northern part. Additionally, suggestions are made regarding the distribution of the AYD at the end of its progradation stage, which provides fundamental information for analyzing subsequent erosion processes since 1855 AD. This study differs from existing studies on the AYD, which are all based on geological approaches. It provides insight into the evolution of the AYD through an alternative means, viz. a process-based morphodynamic-modeling approach.","","en","journal article","","","","","","","","2018-12-31","","","Coastal Engineering","","",""
"uuid:b8de0170-889b-4576-ac20-80f575352cea","http://resolver.tudelft.nl/uuid:b8de0170-889b-4576-ac20-80f575352cea","A mathematical model in charactering chloride diffusivity in unsaturated cementitious material","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","Pecur, I.B. (editor); Baricevic, A. (editor); Stirmer, N (editor); Bjegovic, D. (editor)","2017","In this paper, a new analytic model for predicting chloride diffusivity in unsaturated cementitious materials is developed based on conductivity theory and Nernst-Einstein equation. The model specifies that chloride diffusivity in unsaturated cementitious materials can be mathematically described as a function of chloride diffusivity in saturated state, water saturation and average pore diameter of the material. A series of experiments were conducted in order to validate the model. Mortar samples with varying cementitious mixtures were cast and cured for one year, followed by oven drying at 50 °C until desired water saturation levels (18 to 100 %) and homogeneous moisture distribution were obtained. The electrical conductivities of mortar specimens at various water saturations were measured and then converted into chloride diffusivities by using Nernst-Einstein equation. It is found that the experimental results can be well described by the analytic model proposed in this work.","Flexible mould; rheology; thixotropy; deformation; cracking","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:e693923f-4907-445b-a6f9-5085383bdc0d","http://resolver.tudelft.nl/uuid:e693923f-4907-445b-a6f9-5085383bdc0d","An Anti-windup Fault Tolerant Control Scheme with Guaranteed Transient Performance for Tailless Flying Wing Aircraft","Shuang, Weifang (Nanjing University of Aeronautics and Astronautics); Zhang, S. (Nanjing University of Aeronautics and Astronautics); Wu, Xue (Nanjing University of Aeronautics and Astronautics); van Kampen, E. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2017","br","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","2018-01-06","","","Control & Simulation","","",""
"uuid:887703bd-302f-4657-9da2-e4b91e16944c","http://resolver.tudelft.nl/uuid:887703bd-302f-4657-9da2-e4b91e16944c","Hard Superconducting Gap in InSb Nanowires","Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Vries, F.K. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Veen, J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zuo, K. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mourik, V. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Nowak, M.P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; AGH University of Science and Technology; Kavli institute of nanoscience Delft); van Woerkom, D.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Quintero Perez, M. (TU Delft BUS/General; TU Delft QuTech Advanced Research Centre); Cassidy, M.C. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Geresdi, A. (TU Delft QRD/Geresdi Lab; TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Koelling, Sebastian (Eindhoven University of Technology); Car, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology; Kavli institute of nanoscience Delft); Plissard, S.R. (TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Eindhoven University of Technology); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2017","Topological superconductivity is a state of matter that can host Majorana modes, the building blocks of a topological quantum computer. Many experimental platforms predicted to show such a topological state rely on proximity-induced superconductivity. However, accessing the topological properties requires an induced hard superconducting gap, which is challenging to achieve for most material systems. We have systematically studied how the interface between an InSb semiconductor nanowire and a NbTiN superconductor affects the induced superconducting properties. Step by step, we improve the homogeneity of the interface while ensuring a barrier-free electrical contact to the superconductor and obtain a hard gap in the InSb nanowire. The magnetic field stability of NbTiN allows the InSb nanowire to maintain a hard gap and a supercurrent in the presence of magnetic fields (∼0.5 T), a requirement for topological superconductivity in one-dimensional systems. Our study provides a guideline to induce superconductivity in various experimental platforms such as semiconductor nanowires, two-dimensional electron gases, and topological insulators and holds relevance for topological superconductivity and quantum computation.","Majorana; topological superconductivity; hard gap; InSb; semiconductor nanowire; hybrid device","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:9c75b403-76be-4891-ab15-0e41bed999e7","http://resolver.tudelft.nl/uuid:9c75b403-76be-4891-ab15-0e41bed999e7","Surface PEG Grafting Density Determines Magnetic Relaxation Properties of Gd-Loaded Porous Nanoparticles for MR Imaging Applications","Zhang, W. (TU Delft BT/Biocatalysis); Martinelli, J. (TU Delft BT/Biocatalysis); Peters, J.A. (TU Delft BT/Biocatalysis); van Hengst, Jacob M.A.; Bouwmeester, Hans (RIKILT Wageningen Research; Wageningen University & Research); Kramer, Evelien (RIKILT Wageningen Research); Bonnet, Celia S. (CNRS); Szeremeta, Frederic (CNRS); Toth, Eva (CNRS); Djanashvili, K. (TU Delft BT/Biocatalysis; CNRS; Loire Valley Institute for Advanced Studies)","","2017","Surface PEGylation of nanoparticles designed for biomedical applications is a common and straightforward way to stabilize the materials for in vivo administration and to increase their circulation time. This strategy becomes less trivial when MRI active porous nanomaterials are concerned as their function relies on water/proton-exchange between the pores and bulk water. Here we present a comprehensive study on the effects of PEGylation on the relaxometric properties of nanozeolite LTL (dimensions of 20 × 40 nm) ion-exchanged with paramagnetic GdIII ions. We evidence that as long as the surface grafting density of the PEG chains does not exceed the “mushroom” regime (conjugation of up to 6.2 wt % of PEG), Gd-LTL retains a remarkable longitudinal relaxivity (38 s–1 mM–1 at 7 T and 25 °C) as well as the pH-dependence of the longitudinal and transverse relaxation times. At higher PEG content, the more compact PEG layer (brush regime) limits proton/water diffusion and exchange between the interior of LTL and the bulk, with detrimental consequences on relaxivity. Furthermore, PEGylation of Gd-LTL dramatically decreases the leakage of toxic GdIII ions in biological media and in the presence of competing anions, which together with minimal cytotoxicity renders these materials promising probes for MRI applications.","MRI contrast agents; PEGylation; porous nanoparticles; relaxivity; water exchange; zeolites","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:613b3263-be3c-47fd-9d31-3a7f45e16ee0","http://resolver.tudelft.nl/uuid:613b3263-be3c-47fd-9d31-3a7f45e16ee0","Hotspots for selected metal elements and microbes accumulation and the corresponding water quality deterioration potential in an unchlorinated drinking water distribution system","Liu, G. (Oasen); Tao, Yu (Imperial College London); Zhang, Ya (University of Illinois at Urbana-Champaign); Lut, M.C. (Oasen); Knibbe, Willem Jan (Oasen); van der Wielen, Paul (KWR Water Research Institute; Wageningen University & Research); Liu, Wentso (University of Illinois at Urbana-Champaign); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute); van der Meer, W.G.J. (Oasen; University of Twente)","","2017","Biofilm formation, loose deposit accumulation and water quality deterioration in drinking water distribution systems have been widely reported. However, the accumulation and distribution of harbored elements and microbes in the different niches (loose deposits, PVC-U biofilm, and HDPE biofilm) and their corresponding potential contribution to water quality deterioration remain unknown. This precludes an in-depth understanding of water quality deterioration and the development of proactive management strategies. The present study quantitatively evaluated the distribution of elements, ATP, Aeromonas spp., and bacterial communities in distribution pipes (PVC-U, D = 110 mm, loose deposit and biofilm niches) and household connection pipes (HDPE, D = 32 mm, HDPE biofilm niches) at ten locations in an unchlorinated distribution system. The results show that loose deposits in PVC-U pipes, acting as sinks, constitute a hotspot (highest total amount per meter pipe) for elements, ATP, and target bacteria groups (e.g., Aeromonas spp., Mycobacterium spp., and Legionella spp.). When drinking water distribution system niches with harbored elements and microbes become sources in the event of disturbances, the highest quality deterioration potential (QDP) is that of HDPE biofilm; this can be attributed to its high surface-to-volume ratio. 16s rRNA analysis demonstrates that, at the genus level, the bacterial communities in the water, loose deposits, PVC-U biofilm, and HDPE biofilm were dominated, respectively, by Polaromonas spp. (2–23%), Nitrosipra spp. (1–47%), Flavobacterium spp. (1–36%), and Flavobacterium spp. (5–67%). The combined results of elemental composition and bacterial community analyses indicate that different dominant bio-chemical processes might occur within the different niches—for example, iron-arsenic oxidizing in loose deposits, bio-calumniation in PVC-U biofilm, and methane oxidizing in HDPE biofilm. The release of 20% loose deposits, 20% PVC-U biofilm and 10% HDPE biofilm will cause significant changes of water bacterial community.","Drinking water distribution system; Hotspot; Material accumulation; Next generation sequencing; Quality deterioration potential","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cd5e4f56-a96a-4758-b84b-1698e3fa931d","http://resolver.tudelft.nl/uuid:cd5e4f56-a96a-4758-b84b-1698e3fa931d","Binaural Sound Localization Based on Reverberation Weighting and Generalized Parametric Mapping","Pang, Cheng (Peking University); Liu, Hong (Peking University); Zhang, J. (TU Delft Signal Processing Systems); Li, Xiaofei (Inria Grenoble Rhône-Alpes)","","2017","Binaural sound source localization is an important technique for speech enhancement, video conferencing, and human-robot interaction, etc. However, in realistic scenarios, the reverberation and environmental noise would degrade the precision of sound direction estimation. Therefore, reliable sound localization is essential to practical applications. To deal with these disturbances, this paper presents a novel binaural sound source localization approach based on reverberation weighting and generalized parametric mapping. First, the reverberation weighting as a preprocessing stage, is used to separately suppress the early and late reverberation, while preserving interaural cues. Then, two binaural cues, i.e., interaural time and intensity differences, are extracted from the frequency-domain representations of dereverberated binaural signals for the online localization. Their corresponding templates are established using the training data. Furthermore, the generalized parametric mapping is proposed to build a generalized parametric model for describing relationships between azimuth and binaural cues analytically. Finally, a two-step sound localization process is introduced to refine azimuth estimation based on the generalized parametric model and template matching. Experiments in both simulated and real scenarios validate that the proposed method can achieve better localization performance compared to state-of-the-art methods.","Binaural localization; reverberation weighting; generalized parametric mapping; template matching","en","journal article","","","","","","Accepted Author Manuscript","","","","","Signal Processing Systems","","",""
"uuid:8405475a-3ea1-498f-9d63-6b1d2a089ab5","http://resolver.tudelft.nl/uuid:8405475a-3ea1-498f-9d63-6b1d2a089ab5","Modeling nonlinear moisture diffusion in inhomogeneous media","Chen, Liangbiao (Lamar University); Zhou, Jiang (Lamar University); Chu, Hsing-wei (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University)","","2017","While moisture diffusion in microelectronic device and packaging has been studied for decades, the problems involving complex nonlinear moisture diffusion in multi-material assembly have not been fully studied. This paper has developed a general nonlinear diffusion model by adopting water activity, a continuous state variable, as the field variable. The generalized solubility is introduced, which is temperature- and water activity-dependent. The effective diffusivity is defined and derived in terms of generalized solubility and water activity. By comparing the water activity-based model with the existing various normalized models, the present theory can unify and generalize the current approaches. More importantly, the present model can solve both linear and nonlinear moisture diffusion in inhomogeneous material system without normalization. The commercial finite element software has been applied to solve the nonlinear generalized moisture diffusion problem using the analogy of water activity and temperature. A source code of user-defined subroutines in ABAQUS has been provided in the Appendix of the paper. The mathematical formulation and the numerical implementation method presented in this paper can be applied to any nonlinear sorption or diffusion problems in inhomogeneous material system.","Electronic packaging; Microelectronics; Moisture diffusion; Multi-material systems; Nonlinear sorption isotherm; Water activity","en","journal article","","","","","","Accepted author manuscript","","2019-08-10","","","Electronic Components, Technology and Materials","","",""
"uuid:08d9c02c-1aa8-45b0-9afd-7954b962ae0f","http://resolver.tudelft.nl/uuid:08d9c02c-1aa8-45b0-9afd-7954b962ae0f","Prognostics and health monitoring of electronic system: A review","Prisacaru, Alexandru (Robert Bosch GmbH); Gromala, Przemyslaw Jakub (Robert Bosch GmbH); Jeromio, Mateus Bagetti (Robert Bosch GmbH); Han, Bongtae (University of Maryland); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","Miniaturization of electronics, reduction of time to market and new functionalities in the current context of autonomous driving, electrification and connectivity, are bringing new reliability challenges. Prognostics and Health Management (PHM) can be used effectively to address some of the key challenges, in particular new challenges associated with the transfer of consumer electronics to automotive industry. The concept of PHM is not new, but its application to electronic systems is relatively new. It is expected that the PHM demand for electronic systems would continuously increase as autonomous driving is being realized. This paper attempts to summarize the recent studies in the system-level PHM of electronic systems. Condition monitoring (CM) techniques and prognostics methods used for the PHM of electronic systems are reviewed first. Various implementation examples are followed using different system classifications. The findings from this review is expected to offer a technical summary of accomplishments and challenges during the course of applying PHM for electronic systems, and to identify future research tasks to be performed to make the PHM a more viable tool for reliability assessment of electronic systems.","Prognostics and health management; Consumer electronics; Sensor systems; Monitoring; Reliability; Data models","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:39a87fe9-1aa5-43f3-addf-7535fc659b4d","http://resolver.tudelft.nl/uuid:39a87fe9-1aa5-43f3-addf-7535fc659b4d","High aspect ratio spiral resonators for process variation investigation and MEMS applications","Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); el Mansouri, B. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Poelma, René H. (TU Delft Electronic Components, Technology and Materials)","","2017","In this work a method is described to investigate process variations across a wafer. Through wafer MEMS spiral resonators were designed, simulated, fabricated and characterized by measuring the eigenfrequency and corresponding mode shapes. Measuring the eigenfrequency and resulting spectral behavior of resonators on different locations on the wafer was performed by using an optical measurement setup. Two laser beams were used where one is modulated by the periodic movement of the center mass of the resonator. One of the beams is reflected back from the modulated resonator and this beam hits a photo diode. Variations in light intensity due to movement of the resonator is providing a measurement signal correlated to movement. Preliminary measurements showed that measured eigenfrequencies are in correspondence with the simulations within a range of 0-10% deviation.","bulk micromachining; DRIE etching; MEMS; process variations; Resonators","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:e545569e-9516-4243-a8df-df1c06abe017","http://resolver.tudelft.nl/uuid:e545569e-9516-4243-a8df-df1c06abe017","A Reliability Prediction for Integrated LED Lamp with Electrolytic Capacitor-Free Driver","Sun, B. (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting); Fan, Xuejun (Lamar University; State Key Laboratory of Solid State Lighting); Li, Lei (State Key Laboratory of Solid State Lighting); Ye, H. (Chongqing University); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting)","","2017","This paper studies the interaction of catastrophic failure of the driver and LED luminous flux decay for an integrated LED lamp with an electrolytic capacitor-free LED driver. Electronic thermal simulations are utilized to obtain the lamp's dynamic history of temperature and current for two distinct operation modes: constant current mode (CCM) and constant light output (CLO) mode, respectively. Driver's mean time to failure (MTTF) and the LED's lifetime in terms of luminous flux are calculated. Under CLO mode, the LED's current increases exponentially to maintain the constant light output. As a result, the junction temperatures of LEDs, MOSFETs, and power diodes in driver rise significantly, leading to a much shorter MTTF and faster luminous flux depreciation. However, under the CCM, the junction temperatures of LEDs, MOSFETs, and diodes change modestly; therefore, the driver's MTTF and LED's luminous flux decay are not affected much by the variation of temperatures during LED's degradation process.","Catastrophic failure; electrolytic capacitor-free driver; electronic simulation; fault tree; LED lamp; lifetime; reliability; thermal simulation","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7db2d2d5-0ab5-4e63-8943-6431818a7fda","http://resolver.tudelft.nl/uuid:7db2d2d5-0ab5-4e63-8943-6431818a7fda","MRLR: Multi-level representation learning for personalized ranking in recommendation","Sun, Zhu (Nanyang Technological University); Yang, J. (TU Delft Web Information Systems); Zhang, Jie (Nanyang Technological University); Bozzon, A. (TU Delft Web Information Systems); Chen, Yu (Nanyang Technological University); Xu, Chi (Singapore Institute of Manufacturing Technology)","Sierra, C. (editor)","2017","Representation learning (RL) has recently proven to be effective in capturing local item relationships by modeling item co-occurrence in individual user's interaction record. However, the value of RL for recommendation has not reached the full potential due to two major drawbacks: 1) recommendation is modeled as a rating prediction problem but should essentially be a personalized ranking one; 2) multi-level organizations of items are neglected for fine-grained item relationships. We design a unified Bayesian framework MRLR to learn user and item embeddings from a multi-level item organization, thus benefiting from RL as well as achieving the goal of personalized ranking. Extensive validation on real-world datasets shows that MRLR consistently outperforms state-of-the-art algorithms.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Web Information Systems","","",""
"uuid:09192ff6-c894-4862-9ce8-999c9b84f3e6","http://resolver.tudelft.nl/uuid:09192ff6-c894-4862-9ce8-999c9b84f3e6","Potential impact of methyl isobutyl ketone (MIBK) on phenols degradation in an UASB reactor and its degradation properties","Wang, Wei (Hefei University of Technology); Yang, Kai (Hefei University of Technology); Muñoz Sierra, Julian (TU Delft Sanitary Engineering); Zhang, X. (TU Delft Sanitary Engineering); Yuan, Shoujun (Hefei University of Technology); Hu, Zhenhu (Hefei University of Technology)","","2017","Methyl isobutyl ketone (MIBK) as a solvent is extensively used for the phenols extraction from the wastewater, so it is unavoidable to expose in the effluent due to the solubility and leakage problem. The present study evaluated the impact of MIBK on phenols degradation in an UASB reactor and analyzed its degradation properties. The results indicated that the continuous dosing (0.1 g L−1) and impact (10 g L−1) of MIBK had limited effect on phenols removal (1–2% reduction) in the UASB reactor, but the specific methanogenic activity (SMA) values of sludge decreased by 45–75% after MIBK exposure. Anaerobic degradation rate of MIBK fitted well to a pseudo-first-order kinetic equation with respect to the initial concentration of 35 mg L−1 (k = 0.0115 h−1, R2 = 0.9664). Furthermore, the relative methane generation rate constants of MIBK were 0.00816, 0.00613, 0.00273, and 0.00207 d−1 at the initial concentrations of 0.1, 0.5, 5, and 10 g L−1, respectively. MIBK showed higher inhibitory effect on the methanogenesis than on phenols degradation. This study pointed out that the industrial installations should consider the influence of solvent on anaerobic treatment of phenolic wastewater.","Methyl isobutyl ketone; Phenolic compounds; Solvent; Specific methanogenic activity; UASB","en","journal article","","","","","","","","2019-03-22","","","Sanitary Engineering","","",""
"uuid:86869ecb-84f1-457b-bf87-202dd5f06274","http://resolver.tudelft.nl/uuid:86869ecb-84f1-457b-bf87-202dd5f06274","Video Acceleration Magnification","Zhang, Yichao (External organisation); Pintea, S. (TU Delft Pattern Recognition and Bioinformatics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","O'Conner, L. (editor)","2017","The ability to amplify or reduce subtle image changes over time is useful in contexts such as video editing, medical video analysis, product quality control and sports. In these contexts there is often large motion present which severely distorts current video amplification methods that magnify change linearly. In this work we propose a method to cope with large motions while still magnifying small changes. We make the following two observations: i) large motions are linear on the temporal scale of the small changes, ii) small changes deviate from this linearity. We ignore linear motion and propose to magnify acceleration. Our method is pure Eulerian and does not require any optical flow, temporal alignment or region annotations. We link temporal second-order derivative filtering to spatial acceleration magnification. We apply our method to moving objects where we show motion magnification and color magnification. We provide quantitative as well as qualitative evidence for our method while comparing to the state-of-the-art.","Acceleration; Cameras; Tracking; Feature extraction; Laplace equations; Linearity; Taylor series","en","conference paper","IEEE","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:0a631d61-f59e-458b-beee-df6f376e664b","http://resolver.tudelft.nl/uuid:0a631d61-f59e-458b-beee-df6f376e664b","Playing chemical plant environmental protection games with historical monitoring data","Zhu, Zhengqiu (National University of Defense Technology); Chen, Bin (National University of Defense Technology); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Zhang, L. (TU Delft Safety and Security Science); Qiu, S. (National University of Defense Technology); Qiu, Xiaogang (National University of Defense Technology)","","2017","The chemical industry is very important for the world economy and this industrial sector represents a substantial income source for developing countries. However, existing regulations on controlling atmospheric pollutants, and the enforcement of these regulations, often are insufficient in such countries. As a result, the deterioration of surrounding ecosystems and a quality decrease of the atmospheric environment can be observed. Previous works in this domain fail to generate executable and pragmatic solutions for inspection agencies due to practical challenges. In addressing these challenges, we introduce a so-called Chemical Plant Environment Protection Game (CPEP) to generate reasonable schedules of high-accuracy air quality monitoring stations (i.e., daily management plans) for inspection agencies. First, so-called Stackelberg Security Games (SSGs) in conjunction with source estimation methods are applied into this research. Second, high-accuracy air quality monitoring stations as well as gas sensor modules are modeled in the CPEP game. Third, simplified data analysis on the regularly discharging of chemical plants is utilized to construct the CPEP game. Finally, an illustrative case study is used to investigate the effectiveness of the CPEP game, and a realistic case study is conducted to illustrate how the models and algorithms being proposed in this paper, work in daily practice. Results show that playing a CPEP game can reduce operational costs of high-accuracy air quality monitoring stations. Moreover, evidence suggests that playing the game leads to more compliance from the chemical plants towards the inspection agencies. Therefore, the CPEP game is able to assist the environmental protection authorities in daily management work and reduce the potential risks of gaseous pollutants dispersion incidents.","Chemical plant environmental protection; Game theory; Historical monitoring data; Source estimation methods; Stackelberg security games","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:0d852990-d6f3-4f57-8ecf-a3a2acbd7fb9","http://resolver.tudelft.nl/uuid:0d852990-d6f3-4f57-8ecf-a3a2acbd7fb9","Adaptive tracking of switched nonlinear systems with prescribed performance using a reference-dependent reparametrisation approach","Yuan, S. (TU Delft Team Bart De Schutter); Zhang, F. (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter)","","2017","In this paper, adaptive tracking control of switched nonlinear systems in the parametric strict-feedback form is investigated. After defining a reparametrisation lemma in the presence of a non-zero reference signal, we propose a new adaptive backstepping design of the virtual controllers that can handle the extra terms arising from the reparametrisation (and that the state-of-the-art backstepping designs cannot dominate). The proposed adaptive design guarantees, under arbitrarily fast switching, an a priori bound for the steady-state performance of the tracking error and a tunable bound for the transient error. Finally, the proposed method, by overcoming the need for subsystems with common sign of the input vector field, enlarges the class of uncertain switched nonlinear systems for which the adaptive tracking problem can be solved. A numerical example is provided to illustrate the proposed control scheme.","Adaptive tracking control; arbitrarily fast switching; global ultimate boundedness; switched nonlinear systems","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:73781450-c4aa-469e-81cd-fb4d1c855228","http://resolver.tudelft.nl/uuid:73781450-c4aa-469e-81cd-fb4d1c855228","Experimental study of chloride diffusivity in unsaturated ordinary Portland cement mortar","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","Santhanam, M. (editor)","2017","Experiments are carried out to investigate the chloride diffusivity in partially saturated ordinary Portland cement mortars with water-to-cement (w/c) ratios of 0.4, 0.5 and 0.6. Based on resistivity measurement and Nernst-Einstein equation, the chloride diffusivities of cement mortars at various water saturations are estimated. The pore structures of cement pastes obtained from mercury intrusion porosimetry tests are analysed, which provide basic insight into the moisture distribution of non-saturated cement mortars. It is found that the chloride diffusivity is highly dependent on water saturation. The dependency is closely related to the pore size distribution and pore connectivity of the material. There exists a critical saturation level at which the pore solution becomes discontinuous and ionic diffusion is impossible. An increase of the w/c ratio results in a decrease of the critical saturation level.","Chloride diffusivity; Mortar; Pore structure; Water saturation","en","conference paper","Rilem","","","","","","","","","","Materials and Environment","","",""
"uuid:dcf0d64c-e4f2-4e93-9a5a-939a4270776e","http://resolver.tudelft.nl/uuid:dcf0d64c-e4f2-4e93-9a5a-939a4270776e","Color Shift Modeling of Light-Emitting Diode Lamps in Step-Loaded Stress Testing","Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Huang, J. (TU Delft Electronic Components, Technology and Materials); Zhang, Maofen (Guilin University of Electronic Technology); Chen, Xianping (Chongqing University); Liang, Caihang (Guilin University of Electronic Technology); Koh, S.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","","2017","The color coordinate shift of light-emitting diode (LED) lamps is investigated by running three stress-loaded testing methods, namely step-up stress accelerated degradation testing, step-down stress accelerated degradation testing, and constant stress accelerated degradation testing. A power model is proposed as the statistical model of the color shift (CS) process of LED products. Consequently, a CS mechanism constant is obtained for detecting the consistency of CS mechanisms among various stress-loaded conditions. A statistical procedure with the proposed power model is then derived for the CS paths of LED lamps in step-loaded stress testing. Two types of commercial LED lamps with different capabilities of heat dissipation (CHDs) are investigated. Results show that the color coordinates of lamps are easily modified in various stress-loaded conditions, and different CHDs of lamps may play a crucial role in the various CS processes. Furthermore, the proposed statistic power model is adequate for the CS process of LED lamps. The consistency of CS mechanisms in step-loaded stress testing can also be detected effectively by applying the proposed statistic procedure with the power model. Moreover, the constant assumption in the model is useful for judging the consistency of CS mechanisms under various stress-loaded conditions.","color shift (CS); degradation mechanism; Light-emitting diodes (LEDs); reliability modeling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:37e8d1c2-8e24-4a2b-9b7f-d833f6679af5","http://resolver.tudelft.nl/uuid:37e8d1c2-8e24-4a2b-9b7f-d833f6679af5","Monolithically Integrated Light Feedback Control Circuit for Blue/UV LED Smart Package","Koladouz Esfahani, Z. (TU Delft Electronic Components, Technology and Materials); Tohidian, M. (TU Delft Electronics); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Kolahdouz, Mohammadreza (University of Tehran); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","Given the performance decay of high-power light-emitting diode (LED) chips over time and package condition changes, having a reliable output light for sensitive applications is a point of concern. In this study, a light feedback control circuit, including blue-selective photodiodes, for blue/ultraviolet (UV) LED, has been designed and implemented using a low-cost seven-mask BiCMOS process. The feedback circuit was monolithically integrated in a package with four high-power blue LED chips. For sensing the intensity of exact colored blue/UV light in the package, selective photodiodes at 480-nm wavelength were implemented. An opamp-based feedback circuit combined with a high-power transistor controls the output light based on real-time sensor data. The whole system is a low-cost integrated package that guarantees a stable and reliable output light under different working conditions. Output light can be also controlled linearly by a reference input voltage.","BiCMOS process; Blue/ultraviolet (UV) light-emitting diode (LED); feedback control; high current CMOS transistor; photodetector; wafer level LED package.","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:5759bb7f-763d-4823-9842-564b7182814c","http://resolver.tudelft.nl/uuid:5759bb7f-763d-4823-9842-564b7182814c","Effects of Graphene Monolayer Coating on the Optical Performance of Remote Phosphors","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials; Isfahan University of Technology); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","A graphene monolayer has been successfully coated on one side of a bisphenol-A-polycarbonate (BPA-PC) plate, used as a substrate for remote phosphor applications in light-emitting diode (LED)-based products. Using a photoresist transferring method, graphene sheet has been coated on BPA-PC plates. The results show that this graphene monolayer significantly improves the lifetime and performance of LEDs mainly by protecting them against external degradation factors such as moisture and oxygen. Also, LED-based products composed of graphene-coated BPA-PC plates exhibit longer stability with comparatively less loss of luminous efficiency. This method has great potential to significantly improve the reliability of not only LED-based products but also many other microelectronics packaging and components, in which moisture and oxygen are the key causes of failures.","graphene; Light-emitting diode; reliability; remote phosphor","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:33cbc0bc-e180-49d7-9cb2-d4778ffb411d","http://resolver.tudelft.nl/uuid:33cbc0bc-e180-49d7-9cb2-d4778ffb411d","H-Shaped Multiple Linear Motor Drive Platform Control System Design Based on an Inverse System Method","Qin, Caiyan (Korea Advanced Institute of Science and Technology); Zhang, Chaoning (Harbin Institute of Technology); Lu, H. (TU Delft Organisation & Governance)","","2017","Due to its simple mechanical structure and high motion stability, the H-shaped platform has been increasingly widely used in precision measuring, numerical control machining and semiconductor packaging equipment, etc. The H-shaped platform is normally driven by multiple (three) permanent magnet synchronous linear motors. The main challenges for H-shaped platform-control include synchronous control between the two linear motors in the Y direction as well as total positioning error of the platform mover, a combination of position deviation in X and Y directions. To deal with the above challenges, this paper proposes a control strategy based on the inverse system method through state feedback and dynamic decoupling of the thrust force. First, mechanical dynamics equations have been deduced through the analysis of system coupling based on the platform structure. Second, the mathematical model of the linear motors and the relevant coordinate transformation between dq-axis currents and ABC-phase currents are analyzed. Third, after the main concept of inverse system method being explained, the inverse system model of the platform control system has been designed after defining relevant system variables. Inverse system model compensates the original nonlinear coupled system into pseudo-linear decoupled linear system, for which typical linear control methods, like PID, can be adopted to control the system. The simulation model of the control system is built in MATLAB/Simulink and the simulation result shows that the designed control system has both small synchronous deviation and small total trajectory tracking error. Furthermore, the control program has been run on NI controller for both fixed-loop-time and free-loop-time modes, and the test result shows that the average loop computation time needed is rather small, which makes it suitable for real industrial applications. Overall, it proves that the proposed new control strategy can be used in industrial applications that have high-precision and high real-time performance requirements","H-shaped platform; linear motor; inverse system method; decoupling; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:65a6e1bc-fd87-4944-82d3-6551b2d973a2","http://resolver.tudelft.nl/uuid:65a6e1bc-fd87-4944-82d3-6551b2d973a2","Obtaining local reflectivity at two-way travel time by filtering acoustic reflection data","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics)","Mihai Popovici, A. (editor); Fomel, S. (editor)","2017","A modified implementation of Marchenko redatuming leads to a filter that removes internal multiples from reflection data. It produces local reflectivity at two-way travel time. The method creates new primary reflections resulting from emitted events that eliminate internal multiples. We call these non-physical
primaries and their presence is a disadvantage. The advantage is that the filter is model free. We give the 3D filter and demonstrate with 1D arguments that starting the focusing wavefield with a unit impulse at zero time, while focusing below the bottom reflector, is the choice that leads to a model free implementation. The starting impulse generates the reflection data. Every later emitted pulse eliminates an internal multiple somewhere in the model and helps removing the transmission
amplitude effects in a physical primary. We show that
the amplitude of the non-physical primaries are a product of
three reflections, making them generally smaller than those of
the physical primaries. A 2D modeled shotgather at different
stages of filtering the data shows that the filter works well.","","en","conference paper","SEG","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:843f5856-a932-489b-a91b-8916e8a5eb79","http://resolver.tudelft.nl/uuid:843f5856-a932-489b-a91b-8916e8a5eb79","An improved primal-dual interior-point solver for model predictive control","Zhang, Xi (Student TU Delft); Ferranti, L. (TU Delft Team Tamas Keviczky); Keviczky, T. (TU Delft Team Tamas Keviczky)","Astolfi, A (editor)","2017","We propose a primal-dual interior-point (PDIP) method for solving quadratic programming problems with linear inequality constraints that typically arise from MPC applications. We show that the solver converges (locally) quadratically to a suboptimal solution of the MPC problem. PDIP solvers rely on two phases: the damped and the pure Newton phases. Compared to state-of-the-art PDIP methods, our solver replaces the initial damped Newton phase (usually used to compute a medium-accuracy solution) with a dual solver based on Nesterov's fast gradient scheme (DFG) that converges with a sublinear convergence rate of order O(1/k2) to a medium-accuracy solution. The switching strategy to the pure Newton phase, compared to the state of the art, is computed in the dual space to exploit the dual information provided by the DFG in the first phase. Removing the damped Newton phase has the additional advantage that our solver saves the computational effort required by backtracking line search. The effectiveness of the proposed solver is demonstrated on a 2-dimensional discrete-time unstable system and on an aerospace application","","en","conference paper","IEEE","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:abe60e9d-e473-416d-89a0-847caee2465b","http://resolver.tudelft.nl/uuid:abe60e9d-e473-416d-89a0-847caee2465b","Erosion potential of the Yangtze Delta under sediment starvation and climate change","Yang, H. F. (Shanghai Estuarine and Coastal Science Research Center; Louisiana State University); Yang, S. L. (Shanghai Estuarine and Coastal Science Research Center); Xu, K. H. (Louisiana State University); Wu, H. (Shanghai Estuarine and Coastal Science Research Center); Shi, B. W. (Louisiana State University; Nanjing University); Zhu, Q. (TU Delft Coastal Engineering); Zhang, W. X. (Shanghai Estuarine and Coastal Science Research Center); Yang, Z. (Ocean University of China)","","2017","Deltas are widely threatened by sediment starvation and climate change. Erosion potential is an important indicator of delta vulnerability. Here, we investigate the erosion potential of the Yangtze Delta. We found that over the past half century the Yangtze's sediment discharge has decreased by 80% due to the construction of >50,000 dams and soil conservation, whereas the wind speed and wave height in the delta region have increased by 5-7%, and the sea level has risen at a rate of 3 mm/yr. According to hydrodynamic measurements and analyses of seabed sediments, the period when bed shear stress due to combined current-wave action under normal weather conditions exceeds the critical bed shear stress for erosion (τcr) accounts for 63% of the total observed period on average and can reach 100% during peak storms. This explains why net erosion has occurred in some areas of the subaqueous delta. We also found that the increase with depth of τcr is very gradual in the uppermost several metres of the depositional sequence. We therefore expect that the Yangtze subaqueous delta will experience continuous erosion under sediment starvation and climate change in the next decades of this century or even a few centuries.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:bcf823e6-939c-45ac-bb16-34ae5e00dd1a","http://resolver.tudelft.nl/uuid:bcf823e6-939c-45ac-bb16-34ae5e00dd1a","Material dependent appearance effects brought out by natural light environments","Zhang, F. (TU Delft Human Information Communication Design); de Ridder, H. (TU Delft Human Information Communication Design); Barla, Pascal (INRIA Bordeaux Sud-Ouest); Pont, S.C. (TU Delft Human Information Communication Design)","","2017","","","en","poster","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:39065192-188b-4f46-a92e-2a5d7baf330b","http://resolver.tudelft.nl/uuid:39065192-188b-4f46-a92e-2a5d7baf330b","Selective Photooxidation Reactions using Water-Soluble Anthraquinone Photocatalysts","Zhang, W. (TU Delft BT/Biocatalysis); Gacs, Jenő (Student TU Delft); Arends, I.W.C.E. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2017","The aerobic organocatalytic oxidation of alcohols was achieved by using water-soluble sodium anthraquinone sulfonate. Under visible-light activation, this catalyst mediated the aerobic oxidation of alcohols to aldehydes and ketones. The photo-oxyfunctionalization of alkanes was also possible under these conditions.","alcohols; organocatalysis; oxidation; oxyfunctionalization; photocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e3447c1d-4aee-491b-92da-a53dfdb18f19","http://resolver.tudelft.nl/uuid:e3447c1d-4aee-491b-92da-a53dfdb18f19","The Effect of Geometry Parameters on Energy and Thermal Performance of School Buildings in Cold Climates of China","Zhang, A. (Tianjin University); Bokel, R.M.J. (TU Delft Building Physics); van den Dobbelsteen, A.A.J.F. (TU Delft Architectural Engineering +Technology; TU Delft Climate Design and Sustainability); Sun, Y. (Tianjin University); Huang, Qiong (Tianjin University); Zhang, Qi (Tianjin University)","","2017","This paper discusses the role of geometry parameters including building shape, window to wall ratio, room depth, and orientation on the energy use and thermal comfort of school buildings in cold climates of China. The annual total energy demand and summer thermal discomfort time were compared through computer simulations with DesignBuilder. Furthermore, a questionnaire was conducted that related to the students’ subjective preference for various building geometry parameters. Results showed that a maximum of 13.6% of energy savings and 3.8% of thermal comfort improvement when compared to the reference case could be achieved through variations in geometry parameters. The H shape performed the best when the building thermal performance and students’ preferences were considered, as well as the various design options for rchitects. Window to wall ratio, room depth, and orientation should also be carefully addressed in terms of different building types. The results of this study can serve as a reference for architects and school managers in the early design stages of schools in cold climates of China.","school building; geometry parameter; Energy consumption; thermal comfort; geometric preference","en","journal article","","","","","","","","","","Architectural Engineering +Technology","Building Physics","","",""
"uuid:efe7c59c-9335-4d18-bfc1-8712b63ee6b2","http://resolver.tudelft.nl/uuid:efe7c59c-9335-4d18-bfc1-8712b63ee6b2","Point Cloud Based Visibility Analysis: first experimental results","Zhang, G. (Southeast University); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Verbree, E. (TU Delft OLD Department of GIS Technology)","Bregt, Arnold (editor); Sarjakoski, Tapani (editor); Lammeren, Ron van (editor); Rip, Frans (editor)","2017","Visibility computed from a LiDAR point cloud offers several advantages compared to using a gridded digital height-model. With a higher resolution and detailed information, point cloud data can provide precise analysis as well as an opportunity to avoid the process of generating a surface representation or a solid model. Also a better inclusion of vegetation is expected. This paper describes motivations for using point cloud in visibility analysis and also makes a comparison of using two different data to perform visibility analysis.","Visibility analysis; point cloud; surface model; 3D model","en","conference paper","Wageningen University","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:4352b487-17ad-474d-ad61-bf2e7e7425a3","http://resolver.tudelft.nl/uuid:4352b487-17ad-474d-ad61-bf2e7e7425a3","BIM-based indoor path planning considering obstacles","Xu, Man (Beijing University of Civil Engineering & Architecture); Wei, S. (Beijing University of Civil Engineering & Architecture); Zlatanova, S. (TU Delft Urban Data Science); Zhang, Ruiju (Beijing University of Civil Engineering & Architecture)","","2017","At present, 87 % of people's activities are in indoor environment; indoor navigation has become a research issue. As the building structures for people's daily life are more and more complex, many obstacles influence humans' moving. Therefore it is essential to provide an accurate and efficient indoor path planning. Nowadays there are many challenges and problems in indoor navigation. Most existing path planning approaches are based on 2D plans, pay more attention to the geometric configuration of indoor space, often ignore rich semantic information of building components, and mostly consider simple indoor layout without taking into account the furniture. Addressing the above shortcomings, this paper uses BIM (IFC) as the input data and concentrates on indoor navigation considering obstacles in the multi-floor buildings. After geometric and semantic information are extracted, 2D and 3D space subdivision methods are adopted to build the indoor navigation network and to realize a path planning that avoids obstacles. The 3D space subdivision is based on triangular prism. The two approaches are verified by the experiments.","BIM; IFC; Indoor Navigation; Obstacles; Path Planning; Space Subdivision","en","conference paper","ISPRS","","","","","","","","","","Urban Data Science","","",""
"uuid:e7a17b41-0a32-43ae-ac6e-c4afb2a3d4b4","http://resolver.tudelft.nl/uuid:e7a17b41-0a32-43ae-ac6e-c4afb2a3d4b4","Effect of Corridor Design on Energy Consumption for School Buildings in the Cold Climate.","Zhang, A. (TU Delft Climate Design and Sustainability; Tianjin University); Sun, Y. (TU Delft OLD History of Architecture & Urban Planning; Tianjin University); Huang, Qiong (Tianjin University); Bokel, R.M.J. (TU Delft Building Physics); van den Dobbelsteen, A.A.J.F. (TU Delft Architectural Engineering +Technology)","","2017","This paper discusses the energy impact of corridor design for school buildings in the cold climate of China. Local school buildings were classified into three types in terms of the corridor design patterns. Architectural related parameters of corridors which could have a potential impact on the energy consumption were summarized and discussed, including form and orientation, temperature control, opaque envelope components, glazing, ventilation and infiltration. The annual heating, cooling, lighting and total energy consumption were compared. Results showed that form and orientation have the most significant influence on building energy consumption while opaque envelope insulation of corridors shows the least effect on energy demand. By combining the most beneficial strategies at each step, this study resulted in a better performing corridor design that increases the energy-saving by around 6% for the double-sided corridor building type and 17% for the one-sided enclosed corridor type of school building respectively.","School building; corridor design; Energy saving; China","en","conference paper","Construction Industry Council","","","","","","","","","Architectural Engineering +Technology","Climate Design and Sustainability","","",""
"uuid:8c410997-4075-44a1-83fb-ac32560b4d22","http://resolver.tudelft.nl/uuid:8c410997-4075-44a1-83fb-ac32560b4d22","Additively manufactured scaffolds for bone tissue engineering and the prediction of their mechanical behavior: A review","Zhang, X.Y. (Tsinghua University); Fang, G (Tsinghua University; State Key Laboratory of Tribology); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2017","Additive manufacturing (AM), nowadays commonly known as 3D printing, is a revolutionary materials processing technology, particularly suitable for the production of low-volume parts with high shape complexities and often with multiple functions. As such, it holds great promise for the fabrication of patient-specific implants. In recent years, remarkable progress has been made in implementing AM in the bio-fabrication field. This paper presents an overview on the state-of-the-art AM technology for bone tissue engineering (BTE) scaffolds, with a particular focus on the AM scaffolds made of metallic biomaterials. It starts with a brief description of architecture design strategies to meet the biological and mechanical property requirements of scaffolds. Then, it summarizes the working principles, advantages and limitations of each of AM methods suitable for creating porous structures and manufacturing scaffolds from powdered materials. It elaborates on the finite-element (FE) analysis applied to predict the mechanical behavior of AM scaffolds, as well as the effect of the architectural design of porous structure on its mechanical properties. The review ends up with the authors’ view on the current challenges and further research directions.","additive manufacturing; scaffold; biomaterial; geometric design; mechanical property; finite element modeling; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:8440676a-3afd-4239-95ba-61f2ef72e849","http://resolver.tudelft.nl/uuid:8440676a-3afd-4239-95ba-61f2ef72e849","Experimental and numerical investigations of aerodynamic loads and 3D flow over non-rotating MEXICO blades","Zhang, Y. (TU Delft Wind Energy); Gillebaart, T. (TU Delft Aerodynamics); van Zuijlen, A.H. (TU Delft Aerodynamics); van Bussel, G.J.W. (TU Delft Wind Energy); Bijl, H. (TU Delft Aerodynamics)","","2017","This paper presents the experimental and numerical study on MEXICO wind turbine blades. Previous work by other researchers shows that large deviations exist in the loads comparison between numerical predictions and experimental data for the rotating MEXICO wind turbine. To reduce complexities and uncertainties, a non-rotating experimental campaign has been carried out on MEXICO blades Delft University of Technology. In this new measurement, quasi-2D aerodynamic characteristics of MEXICO blades on three spanwise sections are measured at different inflow velocities and angles of attack. Additionally, RANS simulations are performed with OpenFOAM-2.1.1 to compare numerical results against measured data. The comparison and analysis of aerodynamic loads on the blade, where three different airfoil families and geometrical transition regions are used, show that for attached flow condition, RANS computation predicts excellent pressure distribution on the NACA airfoil section (r=R D 0.92) and good agreement is observed on the DU (r=R D 0.35) and RISØ (r=R D 0.60) airfoil sections. Unexpected aerodynamic characteristics are observed at the intermediate transition regions connecting the RISØ and DU airfoils, where sudden lift force drop is found at the radial position r=R D 0.55. Through numerical flow visualization, large-scale vortical structures are observed on the suction side of the blade near the mid-span. Moreover, counter-rotating vortices are generated behind the blade at locations where unexpected loads occurs. Consequently, the RISØ airfoil could not give expected 2D aerodynamic characteristics because of upwash/downwash effects induced by these counter-rotating vortices, which make 3D effects play an important role in numerical modeling when calculating the aerodynamic loads for MEXICO rotor.","3D effects; aerodynamic loads; non-rotating blades; MEXICO wind turbine; OpenFOAM","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:34f368c1-ccbe-49f1-8752-33d1813212f4","http://resolver.tudelft.nl/uuid:34f368c1-ccbe-49f1-8752-33d1813212f4","Resilience of epidemics for SIS model on networks","Lu, Dan (Beihang University); Yang, Shunkun (Beihang University); Zhang, Jiaquan (Beihang University); Wang, H. (TU Delft Multimedia Computing); Li, Daqing (Beihang University)","","2017","Epidemic propagation on complex networks has been widely investigated, mostly with invariant parameters. However, the process of epidemic propagation is not always constant. Epidemics can be affected by various perturbations and may bounce back to its original state, which is considered resilient. Here, we study the resilience of epidemics on networks, by introducing a different infection rate λ2 during SIS (susceptible-infected-susceptible) epidemic propagation to model perturbations (control state), whereas the infection rate is λ1 in the rest of time. Noticing that when λ1 is below λc, there is no resilience in the SIS model. Through simulations and theoretical analysis, we find that even for λ2 < λc, epidemics eventually could bounce back if the control duration is below a threshold. This critical control time for epidemic resilience, i.e., cdmax, seems to be predicted by the diameter (d) of the underlying network, with the quantitative relation cdmax ~ dα. Our findings can help to design a better mitigation strategy for epidemics.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:cb49544d-d809-46b9-b965-f05cd4e834f3","http://resolver.tudelft.nl/uuid:cb49544d-d809-46b9-b965-f05cd4e834f3","Poly-Si(O)x passivating contacts for high-efficiency c-Si IBC solar cells","Yang, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Y. (TU Delft EKL Processing); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2017","Highest conversion efficiency in crystalline silicon (c-Si) solar cells can be enabled by quenching minority carriers' recombination at c-Si/contact interface owing to carrier-selective passivating contacts. With the semi-insulating poly-crystalline silicon (SIPOS, poly-Si) a very good passivation of c-Si surfaces was obtained. We have explored these passivating structures on IBC solar cells and obtained over 22% efficiency with over 23% within reach on the short term. We present in detail the passivation quality of p-type and n-type ion-implanted LPCVD poly-crystalline silicon (poly-Si) and its relation to the doping profile. Optimized poly-Si layers in the role of emitter and BSF showed excellent passivation (J0,emitter = 11.5 fA/cm2 and J0,BSF = 4.5 fA/cm2) and have been deployed in FSF-based IBC c-Si solar cells using a simple self-aligned patterning process. Applying an optimized passivation of FSF by PECVD a-Si:H/SiNx layer (J0,FSF = 6.5 fA/cm2) leads to a cell with efficiency of 22.1% (VOC = 709 mV, JSC = 40.7 mA/cm2, FF = 76.6%). Since over 83% FF has been reached with adjusted metallization technology on similar IBC structures, we believe 23% efficiency is within reach on the short term. Further improvement, especially at JSC level, is expected by deploying less absorbing carrier-selective passivating contacts based on poly-Si or wide bandgap poly-SiOx layers (J0 ~ 10 fA/cm2).","IBC c-Si solar cell; passivating contact; poly-crystalline silicon oxide alloys","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:1824339d-3c3e-4e5c-b6d9-2340d084e831","http://resolver.tudelft.nl/uuid:1824339d-3c3e-4e5c-b6d9-2340d084e831","Dual-action smart coatings with a self-healing superhydrophobic surface and anti-corrosion properties","Qian, Hongchang (University of Science and Technology Beijing); Xu, Dake (Chinese Academy of Sciences); Du, Cuiwei (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Li, Xiaogang (University of Science and Technology Beijing); Huang, Luyao (University of Science and Technology Beijing); Deng, Leping (University of Science and Technology Beijing); Tu, Yunchao (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft (OLD) MSE-6); Terryn, H.A. (TU Delft (OLD) MSE-1; Vrije Universiteit Brussel)","","2017","This work introduces a new self-healing superhydrophobic coating based on dual actions by the corrosion inhibitor benzotriazole (BTA) and an epoxy-based shape memory polymer (SMP). Damage to the surface morphology (e.g., crushed areas and scratches) and the corresponding superhydrophobicity are shown to be rapidly healed through a simple heat treatment at 60 °C for 20 min. Electrochemical impedance spectroscopy (EIS) and scanning electrochemical microscopy (SECM) were used to study the anti-corrosion performance of the scratched and the healed superhydrophobic coatings immersed in a 3.5 wt% NaCl solution. The results revealed that the anti-corrosion performance of the scratched coatings was improved upon the incorporation of BTA. After the heat treatment, the scratched superhydrophobic coatings exhibited excellent recovery of their anti-corrosion performance, which is attributed to the closure of the scratch by the shape memory effect and to the improved inhibition efficiency of BTA. Furthermore, we found that the pre-existing corrosion product inside the coating scratch could hinder the scratch closure by the shape memory effect and reduce the coating adhesion in the scratched region. However, the addition of BTA effectively suppressed the formation of corrosion products and enhanced the self-healing and adhesion performance under these conditions. Importantly, we also demonstrated that these coatings can be autonomously healed within 1 h in an outdoor environment using sunlight as the heat source.","Self-healing coating; superhydrophobic surface; corrosion inhibitor","en","journal article","","","","","","","","2018-01-07","","","(OLD) MSE-6","","",""
"uuid:36243b35-18b8-4eba-aa81-f8bb75bc9e9d","http://resolver.tudelft.nl/uuid:36243b35-18b8-4eba-aa81-f8bb75bc9e9d","Modeling thermodynamic properties of propane or tetrahydrofuran mixed with carbon dioxide or methane in structure-II clathrate hydrates","Fang, Bin (China University of Geosciences, Wuhan); Ning, Fulong (China University of Geosciences, Wuhan; Qingdao National Laboratory for Marine Science and Technology); Cao, Pinqiang (China University of Geosciences, Wuhan); Peng, Li (China University of Geosciences, Wuhan); Wu, Jianyang (Xiamen University); Zhang, Zhun (China University of Geosciences, Wuhan); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Kjelstrup, Signe (Norwegian University of Science and Technology (NTNU))","","2017","A sound knowledge of thermodynamic properties of sII hydrates is of great importance to understand the stability of sII gas hydrates in petroleum pipelines and in natural settings. Here, we report direct molecular dynamics (MD) simulations of the thermal expansion coefficient, the compressibility, and the specific heat capacity of C3H8, or tetrahydrofuran (THF), in mixtures of CH4 or CO2, in sII hydrates under a wide, relevant range of pressure and temperature conditions. The simulations were started with guest molecules positioned at the cage center of the hydrate. Annealing simulations were additionally performed for hydrates with THF. For the isobaric thermal expansion coefficient, an effective correction method was used to modify the lattice parameters, and the corrected lattice parameters were subsequently used to obtain thermal expansion coefficients in good agreement with experimental measurements. The simulations indicated that the isothermal expansion coefficient and the specific heat capacity of C3H8-pure hydrates were comparable but slightly larger than those of THF-pure hydrates, which could form Bjerrum defects. The considerable variation in the compressibility between the two appeared to be due to crystallographic defects. However, when a second guest molecule occupied the small cages of the THF hydrate, the deviation was smaller, because the subtle guest-guest interactions can offset an unfavorable configuration of unstable THF hydrates, caused by local defects in free energy. Unlike the methane molecule, the carbon dioxide molecule, when filling the small cage, can increase the expansion coefficient and compressibility as well as decrease the heat capacity of the binary hydrate, similar to the case of sI hydrates. The calculated bulk modulus for C3H8-pure and binary hydrates with CH4 or CO2 molecule varied between 8.7 and 10.6 GPa at 287.15K between 10 and 100 MPa. The results for the specific heat capacities varied from 3155 to 3750.0 J kg-1 K-1 for C3H8-pure and binary hydrates with CH4 or CO2 at 287.15K. These results are the first of this kind reported so far. The simulations show that the thermodynamic properties of hydrates largely depend on the enclathrated compounds. This provides a much-needed atomistic characterization of the sII hydrate properties and gives an essential input for large-scale discoveries of hydrates and processing as a potential energy source.","","en","journal article","","","","","","Accepted Author Manuscript","","2018-10-05","","","Engineering Thermodynamics","","",""
"uuid:19823cdb-2603-485a-bc51-e9c8edfd9ceb","http://resolver.tudelft.nl/uuid:19823cdb-2603-485a-bc51-e9c8edfd9ceb","Prediction of Lumen Depreciation and Color Shift for Phosphor-Converted White Light-Emitting Diodes Based on A Spectral Power Distribution Analysis Method","Qian, Cheng (Chinese Academy of Sciences; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Jiajie (Hohai University; Beijing Research Center; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xuejun (Lamar University; Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","","2017","The spectral power distribution (SPD) is considered as the figureprint of a light emitting diode (LED). Based on the analysis on its SPD, a method to predict both lumen depreciation and color shift for the phosphor converted white LEDs (pc-LEDs) is proposed in this paper. First, the entire SPD of a pc-LED is predicted by superimposing two asymmetric double sigmoidal (Asym2sig) models, which represent the decomposed blue light and phosphor converted light peaks, respectively. For a better understanding of how the SPD model affects the photometric and colorimetric characteristics of a pc-LED, a sensitivity study of the SPD parameters is then performed on its luminous flux Φ, color coordinates CIE1976( u′, v′). Second, the evolutionary process of the SPD is predicted for a pc-LED with the color temperature as 3000 K under degradation testing. And based on these predicted SPDs, the drift curves of Φ, u′, v′, and du′ v′are further predicted. Finally, lifetimes of the pc-LED due to lumen depreciation and color shift are estimated simultaneously from the predicted Φ and du′ v′ drift curves.","Light emitting diodes; prediction methods; semiconductor device reliability; spectral analysis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7225db63-3016-4aa2-a0dc-d5814dcc181c","http://resolver.tudelft.nl/uuid:7225db63-3016-4aa2-a0dc-d5814dcc181c","Ballistic superconductivity in semiconductor nanowires","Zhang, H. (TU Delft QRD/Kouwenhoven Lab); Gül, Önder (TU Delft QRD/Kouwenhoven Lab); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Eindhoven University of Technology); Nowak, M.P. (TU Delft QRD/Kouwenhoven Lab); Wimmer, M.T. (TU Delft QRD/Wimmer Group; TU Delft Qubit Research Division); Zuo, K. (TU Delft QRD/Kouwenhoven Lab); Mourik, Vincent; de Vries, F.K. (TU Delft QRD/Kouwenhoven Lab); van Veen, J. (TU Delft QRD/Kouwenhoven Lab); de Moor, M.W.A. (TU Delft QRD/Kouwenhoven Lab); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab); van Woerkom, D.J. (TU Delft QRD/Kouwenhoven Lab); Car, Diana (Eindhoven University of Technology); Plissard, S.R. (Eindhoven University of Technology); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; Eindhoven University of Technology); Quintero Perez, M. (TU Delft BUS/General; TNO); Cassidy, M.C. (TU Delft QRD/Kouwenhoven Lab); Koelling, Sebastian (Eindhoven University of Technology); Goswami, S. (TU Delft QRD/Goswami Lab); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft)","","2017","Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.","","en","journal article","","","","","","","","","","Qubit Research Division","QRD/Kouwenhoven Lab","","",""
"uuid:0861c251-ad75-4ec0-9c76-956622e6f970","http://resolver.tudelft.nl/uuid:0861c251-ad75-4ec0-9c76-956622e6f970","MD and BCA simulations of He and H bombardment of fuzz in bcc elements","Klaver, T.P.C. (TU Delft (OLD) MSE-7; FOM Institute DIFFER); Zhang, S. (Lanzhou University; University of Helsinki); Nordlund, K. (University of Helsinki)","","2017","We present results of MD simulations of low energy He ion bombardment of low density fuzz in bcc elements. He ions can penetrate several micrometers into sparse fuzz, which allows for a sufficient He flux through it to grow the fuzz further. He kinetic energy falls off exponentially with penetration depth. A BCA code was used to carry out the same ion bombardment on the same fuzz structures as in MD simulations, but with simpler, 10 million times faster calculations. Despite the poor theoretical basis of the BCA at low ion energies, and the use of somewhat different potentials in MD and BCA calculations, the ion penetration depths predicted by BCA are only ∼12% less than those predicted by MD. The MD-BCA differences are highly systematic and trends in the results of the two methods are very similar. We have carried out more than 200 BCA calculation runs of ion bombardment of fuzz, in which parameters in the ion bombardment process were varied. For most parameters, the results show that the ion bombardment process is quite generic. The ion species (He or H), ion mass, fuzz element (W, Ta, Mo, Fe) and fuzz element lattice parameter turned out to have a modest influence on ion penetration depths at most. An off-normal angle of incidence strongly reduces the ion penetration depth. Increasing the ion energy increases the ion penetration, but the rate by which ion energy drops off at high ion energies follows the same exponential pattern as at lower energies.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","(OLD) MSE-7","","",""
"uuid:b2fd8457-c1e8-4807-8a37-cecb72173273","http://resolver.tudelft.nl/uuid:b2fd8457-c1e8-4807-8a37-cecb72173273","Pt-AlGaN/GaN HEMT-sensor layout optimization for enhancement of hydrogen detection","Sokolovskij, R. (State Key Laboratory of Solid State Lighting); Iervolino, E. (Southern University of Science and Technology); Zhao, Changhui (Southern University of Science and Technology); Wang, F. (Southern University of Science and Technology); Yu, Hongyu (Southern University of Science and Technology); Santagata, F. (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","This paper reports on the layout optimization of Pt-AlGaN/GaN HEMT-sensors for enhancing hydrogen sensor performance. Sensors with gate width and length ratios Wg/Lg from 0.25 to 10 were designed, fabricated and tested for the detection of hydrogen gas at 200 °C. Sensitivity, sensing current variation and transient response are directly related to the sensor gate electrode Wg/Lg ratio. The obtained results demonstrated a 217 % increase in sensitivity and 4630 % increase in sensing current variation at 500 ppm H2 for a Wg/Lg from 0.25 to 10. In addition, the detection limit was lowered to 5 ppm. Transient characteristics demonstrated faster sensor response to H2, but slower recovery rates with increasing ratio.","AlGaN; GaN; H2 sensor; HEMT; high temperature; sensor layout","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:11d69060-4deb-407e-8def-92b3f8440650","http://resolver.tudelft.nl/uuid:11d69060-4deb-407e-8def-92b3f8440650","Spectroscopic evidence for bulk-band inversion and three-dimensional massive Dirac fermions in ZrTe5","Chen, Zhi Guo (Chinese Academy of Sciences); Chen, R. Y. (Peking University); Zhong, R. D. (Brookhaven National Laboratory); Schneeloch, John (Brookhaven National Laboratory); Zhang, C. (Brookhaven National Laboratory); Huang, Y. (Brookhaven National Laboratory); Qu, F. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Chinese Academy of Sciences); Yu, R. (Wuhan University); Li, Q. (Brookhaven National Laboratory); Gu, G. D. (Brookhaven National Laboratory); Wang, N. L. (Peking University; Collaborative Innovation Center of Quantum Matter)","","2017","Three-dimensional topological insulators (3D TIs) represent states of quantum matters in which surface states are protected by timereversal symmetry and an inversion occurs between bulk conduction and valence bands. However, the bulk-band inversion, which is intimately tied to the topologically nontrivial nature of 3D Tis, has rarely been investigated by experiments. Besides, 3D massive Dirac fermions with nearly linear band dispersions were seldom observed in TIs. Recently, a van der Waals crystal, ZrTe5, was theoretically predicted to be a TI. Here, we report an infrared transmission study of a high-mobility [∼33,000 cm2/(V · s)] multilayer ZrTe5 flake at magnetic fields (B) up to 35 T. Our observation of a linear relationship between the zero-magnetic-field optical absorption and the photon energy, a bandgap of ∼10 meV and a √B dependence of the Landau level (LL) transition energies at low magnetic fields demonstrates 3D massive Dirac fermions with nearly linear band dispersions in this system. More importantly, the reemergence of the intra-LL transitions at magnetic fields higher than 17 T reveals the energy cross between the two zeroth LLs, which reflects the inversion between the bulk conduction and valence bands. Our results not only provide spectroscopic evidence for the TI state in ZrTe5 but also open up a new avenue for fundamental studies of Dirac fermions in van der Waals materials.","Band inversion; Dirac fermions; Landau levels; Topological insulators; Zeeman splitting","en","journal article","","","","","","","","2018-07-31","","","QRD/Kouwenhoven Lab","","",""
"uuid:70bdeffb-22dd-4b9f-bf7c-4a8a24ed902c","http://resolver.tudelft.nl/uuid:70bdeffb-22dd-4b9f-bf7c-4a8a24ed902c","Photometric and colorimetric assessment of LED chip scale packages by using a step-stress accelerated degradation test (SSADT) method","Qian, Cheng (Beihang University; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Jiajie (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Fang, Jiayi (Hohai University; Changzhou University); Yu, Chaohua (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Ren, Y. (Beihang University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","By solving the problem of very long test time on reliability qualification for Light-emitting Diode (LED) products, the accelerated degradation test with a thermal overstress at a proper range is regarded as a promising and effective approach. For a comprehensive survey of the application of step-stress accelerated degradation test (SSADT) in LEDs, the thermal, photometric, and colorimetric properties of two types of LED chip scale packages (CSPs), i.e., 4000 °K and 5000 °K samples each of which was driven by two different levels of currents (i.e., 120 mA and 350 mA, respectively), were investigated under an increasing temperature from 55 °C to 150 °C and a systemic study of driving current effect on the SSADT results were also reported in this paper. During SSADT, junction temperatures of the test samples have a positive relationship with their driving currents. However, the temperature-voltage curve, which represents the thermal resistance property of the test samples, does not show significant variance as long as the driving current is no more than the sample's rated current. But when the test sample is tested under an overdrive current, its temperature-voltage curve is observed as obviously shifted to the left when compared to that before SSADT. Similar overdrive current affected the degradation scenario is also found in the attenuation of Spectral Power Distributions (SPDs) of the test samples. As used in the reliability qualification, SSADT provides explicit scenes on color shift and correlated color temperature (CCT) depreciation of the test samples, but not on lumen maintenance depreciation. It is also proved that the varying rates of the color shift and CCT depreciation failures can be effectively accelerated with an increase of the driving current, for instance, from 120 mA to 350 mA. For these reasons, SSADT is considered as a suitable accelerated test method for qualifying these two failure modes of LED CSPs.","Accelerated aging; Chip scale package; Light-emitting diode; Reliability qualification; Step stress test","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:117ba5a3-2ac1-4fc0-966f-9783e6d42ab7","http://resolver.tudelft.nl/uuid:117ba5a3-2ac1-4fc0-966f-9783e6d42ab7","Mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals","Qin, Hongbo (Guilin University of Electronic Technology); Luan, Xinghe (Guilin University of Electronic Technology); Feng, Chuang (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2017","For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and < 111 >, respectively, while they are in the orientations < 111 > and < 100 > for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol-1 K-1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap. Densities of states in the orbital hybridization between Ga and N atoms of wurtzite GaN are much higher, indicating more electrons participate in forming Ga-N ionic bonds in the wurtzite GaN.","Anisotropy; Electronic property; First principle; GaN; Mechanical property; Thermodynamic property","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:09a13689-11a6-48b5-abe0-3656552ac217","http://resolver.tudelft.nl/uuid:09a13689-11a6-48b5-abe0-3656552ac217","Determination of crop and soil evaporation coefficients for estimating evapotranspiration in a paddy field","Yan, H. (TU Delft Water Resources; Jiangsu University); Zhang, C. (TU Delft Water Resources; Jiangsu University); Oue, Hiroki (Ehime University); Peng, Guang Jie (Jiangsu University); Darko, Ransford Opoku (Jiangsu University)","","2017","Accurate estimation of evapotranspiration is important in efficient water management for improving water use efficiency. In order to obtain evapotranspiration and evaporation beneath the canopy using the Food and Agriculture Organization (FAO) method, pan evaporation was used instead of reference evapotranspiration calculated by the Penman-Monteith equation with detailed meteorological data. The total crop coefficient and soil evaporation coefficient were determined using actual measured daytime evapotranspiration and evaporation by the Bowen ratio energy balance and lysimeter, respectively, in a rice paddy field in Japan. The average evapotranspiration was 5.3 mm/d, 4.4 mm/d, 7.4 mm/d and 6.3 mm/d and crop coefficient was 0.79, 1.18, 1.01 and 0.86 for the initial stage, development stage, middle-season stage and late-season stage, respectively. The evaporation was low and almost constant with an average value around 0.77 mm/d when the leaf area index (LAI) reached 3. The proposed average crop coefficients for different growing stages were applied to estimate daytime evapotranspiration and found suitable. A simple soil water evaporation coefficient model was developed using leaf area index for practical use and it was found that it could accurately estimate evaporation.","Bowen ratio energy balance; Evaporation; Evapotranspiration; Leaf area index (LAI); Lysimeter; Paddy field","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:e5029109-08fb-40db-8ea9-113ba6fa5599","http://resolver.tudelft.nl/uuid:e5029109-08fb-40db-8ea9-113ba6fa5599","Change detection in pictorial and solid scenes: The role of depth of field","Zhang, T. (TU Delft Interactive Intelligence; Hohai University); Nefs, H.T. (TU Delft Interactive Intelligence; Universiteit Leiden); Heynderickx, I.E.J.R. (Eindhoven University of Technology)","","2017","This paper investigates the influence of depth of field on change detection in both pictorial and solid scenes. In this work, a within-subjects experiment is conducted using a flicker paradigm, with which the hit rate and response time for change detection are obtained. The results show that depth of field has effects on change detection: the hit rate is smaller and response time is longer in the scene with small depth of field than in the scene with large depth of field or uniform blur. It is concluded that when depth of field is small and binocular disparity is not zero in a picture, the influence of depth of field on change detection is more significant than binocular disparity. This conclusion leads to the result that the change in the sharp area is detected easier and faster than in the area that is closer to the observer.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a02e528d-9c1d-4f16-bcc1-cd050aa8fbb3","http://resolver.tudelft.nl/uuid:a02e528d-9c1d-4f16-bcc1-cd050aa8fbb3","Absolute stabilization of Lur'e systems under event-triggered feedback","Zhang, F. (TU Delft Team Tamas Keviczky); Mazo, M. (TU Delft Team Tamas Keviczky); van de Wouw, N. (TU Delft Team Bart De Schutter)","","2017","In this paper, we deal with event-triggered feedback control for Lur'e systems that consist of negative feedback interconnection of nominal linear dynamics and an unknown static nonlinearity. The unknown nonlinearity is conventionally assumed to lie in a given sector while the sector bounds are known. In the presence of event-triggered feedback mechanisms, the control input is only computed and updated when a specific event occurs. In this sense, control system resources (e.g. computation and communication capabilities) can be saved. A sufficient condition for the existence of an event-triggering condition and the corresponding even-triggered controller design are obtained by means of linear matrix inequality techniques. In addition, the avoidance of Zeno behavior is guaranteed. Furthermore, a result on the event-triggered emulation of a continuous-time feedback controller for Lur'e systems is presented. Finally, numerical simulations are given to illustrate the theoretical results along with some concluding remarks.","absolute stabilization; event-triggered feedback; linear matrix inequalities; Lur'e systems; Zeno behavior","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Tamas Keviczky","","",""
"uuid:1fd964eb-af3f-4635-a8d9-5b48cd523767","http://resolver.tudelft.nl/uuid:1fd964eb-af3f-4635-a8d9-5b48cd523767","Overdriving reliability of chip scale packaged LEDs: Quantitatively analyzing the impact of component","Tang, H. (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting); Ye, H. (Chongqing University); Wong, K.Y. (Changzhou Institute of Technology Research for Solid State Lighting); Leung, Stanley Y.Y. (Changzhou Institute of Technology Research for Solid State Lighting); Fan, Jiajie (Hohai University; Changzhou Institute of Technology Research for Solid State Lighting); Chen, Xianping (Chongqing University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","The objective of this study is to quantitatively evaluate the impacts of LED components on the overdriving reliability of high power white LED chip scale packages (CSPs). The reliability tests under room temperature are conducted over 1000 h in this study on CSP LEDs with overdriving currents. A novel method is proposed to investigate the impact of various components, including blue die, phosphor layer, and substrate, on the lumen depreciation of CSP LEDs after aging test. The electro-optical measurement results show that the overdriving current can lead to both massive light output degradation and significant color shift of CSP LEDs. The quantitative analysis results show that the phosphor layer is the major contributor to the failure in early period aging test. For the long-term reliability, the degradations of phosphor and reflectivity of substrate contribute significantly on lumen depreciation. The proposed reliability assessment method with overdriving loadings can be usefully implemented for LED manufacturers to make a cost- and effective-decision before mass production.","Chip scale package; Component impact; Light emitting diodes; Overdriving reliability","en","journal article","","","","","","Accepted author manuscript","","2019-09-14","","","Electronic Components, Technology and Materials","","",""
"uuid:56f69cad-2ff3-41c6-bd68-0a60066eb056","http://resolver.tudelft.nl/uuid:56f69cad-2ff3-41c6-bd68-0a60066eb056","Nitrogen Dioxide Gas Sensor Based on Monolayer SnS: A First-Principle Study","Hu, Fa-Fei (Chongqing University; Ministry of Education of the People's Republic of China); Tang, H. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Chongqing University); Chen, X. (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2017","The sensing behavior of monolayer tin sulfide (SnS) for four gas molecules (NH3, NO2, CO, and H2O) are studied by the first-principle calculation based on density-functional theory. We calculate adsorption energy, adsorption distance, and Hirshfeld charge to estimate the adsorption ability of monolayer SnS for these gas molecules. The results demonstrate that all the gas molecules show physisorption nature. We further calculate the current-voltage (I -V ) curves using the nonequilibrium Green's function formalism for evaluating the NO2 gas sensing properties. The monolayer SnS is found to be strongly sensitive to NO2 molecule dependent on moderate adsorption energy, excellent charge transfer, and significant change of I -V property before and after gas adsorption. Therefore, we suggest that monolayer SnS can be a prominent candidate for application as NO2 gas sensor.","Density-functional theory; gas sensor; monolayer SnS; nitrogen dioxide","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:df0669ab-945a-4e59-a68e-be378ab2adf7","http://resolver.tudelft.nl/uuid:df0669ab-945a-4e59-a68e-be378ab2adf7","Learning Decision Trees with Flexible Constraints and Objectives Using Integer Optimization","Verwer, S.E. (TU Delft Cyber Security); Zhang, Yingqian (Eindhoven University of Technology)","Salvagnin, D. (editor); Lombardi, M. (editor)","2017","We encode the problem of learning the optimal decision tree of a given depth as an integer optimization problem. We show experimentally that our method (DTIP) can be used to learn good trees up to depth 5 from data sets of size up to 1000. In addition to being efficient, our new formulation allows for a lot of flexibility. Experiments show that we can use the trees learned from any existing decision tree algorithms as starting solutions and improve the trees using DTIP. Moreover, the proposed formulation allows us to easily create decision trees with different optimization objectives instead of accuracy and error, and constraints can be added explicitly during the tree construction phase. We show how this flexibility can be used to learn discrimination-aware classification trees, to improve learning from imbalanced data, and to learn trees that minimise false positive/negative errors.","","en","conference paper","Springer","","","","","Accepted author manuscript","","2018-05-31","","","Cyber Security","","",""
"uuid:76878988-c401-4262-bc56-35282c95e298","http://resolver.tudelft.nl/uuid:76878988-c401-4262-bc56-35282c95e298","Free multi-floor indoor space extraction from complex 3D building models","Xiong, Qing (Wuhan University); Zhu, Qing (Southwest Jiaotong University; Wuhan University; Collaborative Innovation Center for Geospatial Technology); Du, Zhiqiang (Wuhan University; Collaborative Innovation Center for Geospatial Technology); Zlatanova, S. (TU Delft Urban Data Science); Zhang, Yeting (Wuhan University; Collaborative Innovation Center for Geospatial Technology); Zhou, Yan (University of Electric Science and Technology of China, Chengdu); Li, Yun (Southwest Jiaotong University)","","2016","Intelligent navigation and facility management in complex indoor environments are issues at the forefront of geospatial information science. Indoor spaces with fine geometric and semantic descriptions provide a solid foundation for various indoor applications, but it is difficult to comprehensively extract free multi-floor indoor spaces from complex three-dimensional building models, such as those described using CityGML LoD4, with existing methods for the subdivision or extraction of indoor spaces based on vector topology processing. Therefore, this paper elaborates a new voxelbased approach for extracting free multi-floor indoor spaces from 3D building models. It transforms the complicated vector processing tasks into a simple raster process that consists of three steps: voxelization with semantic enhancement, voxel classification, and boundary extraction. Experiments illustrate that the proposed method can automatically and correctly extract free multi-floor indoor spaces, especially two typical kinds of open indoor spaces, namely, lobbies and staircases.","Free multi-floor indoor space; CityGML LoD4; Indoor space extraction; Voxel","en","journal article","","","","","","Accepted Author Manuscript","","2017-11-25","","","Urban Data Science","","",""
"uuid:cfea512b-16ea-497b-9f95-e39d02b3d3d5","http://resolver.tudelft.nl/uuid:cfea512b-16ea-497b-9f95-e39d02b3d3d5","Structured Total Least Squares Based Internal Delay Estimation For Distributed Microphone Auto-Localization","Zhang, J. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems)","","2016","Auto-localization in wireless acoustic sensor networks (WASNs) can be achieved by time-of-arrival (TOA) measurements between sensors and sources. Most existing approaches are centralized, and they require a fusion center to communicate with other nodes. In practice, WASN topologies are time-varying with nodes joining or leaving the network, which poses scalability issues for such algorithms. In particular, for an increasing number of nodes, the total transmission power required to reach the fusion center increases. Therefore, in order to facilitate scalability, we present a structured total least squares (STLS) based internal delay estimation for distributed microphone localization where the internal delay refers to the time taken for a source signal reaching a sensor to that it is registered as received by the capture device. Each node only needs to communicate with its neighbors instead of with a remote host, and they run an STLS algorithm locally to estimate local internal delays and positions (i.e., its own and those of its neighbors), such that the original centralized computation is divided into many subproblems. Experiments demonstrate that the decentralized internal delay estimation converges to the centralized results with increasing signal-to-noise ratio (SNR). More importantly, less computational complexity and transmission power are required to obtain comparable localization accuracy.","auto-localization; Time-of-arrival; structured total least squares; internal delay estimation","en","conference paper","IEEE","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8a7501c9-235c-4e32-b7a0-54102d407fcb","http://resolver.tudelft.nl/uuid:8a7501c9-235c-4e32-b7a0-54102d407fcb","Creation of an anti-imaging system using binary optics","Wang, Haifeng (University of Shanghai for Science and Technology); Lin, Jian (University of Shanghai for Science and Technology); Zhang, Dawei (University of Shanghai for Science and Technology); Wang, Yang (Chinese Academy of Sciences); Gu, Min (Royal Melbourne Institute of Technology University); Urbach, Paul (TU Delft ImPhys/Optics); Gan, Fuxi (Chinese Academy of Sciences); Zhuang, Songlin (University of Shanghai for Science and Technology)","","2016","We present a concealing method in which an anti-point spread function (APSF) is generated using binary optics, which produces a large-scale dark area in the focal region that can hide any object located within it. This result is achieved by generating two identical PSFs of opposite signs, one consisting of positive electromagnetic waves from the zero-phase region of the binary optical element and the other consisting of negative electromagnetic waves from the pi-phase region of the binary optical element.","Imaging and sensing; Optical manipulation and tweezers; Terahertz optics; Transformation optics","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:691341cb-8e57-4b85-9679-daa78e889a1a","http://resolver.tudelft.nl/uuid:691341cb-8e57-4b85-9679-daa78e889a1a","Aeroacoustic analysis of a NACA 0015 airfoil with Gurney flap based on time-resolved PIV measurements","Zhang, Xueqing; Sciacchitano, A. (TU Delft Aerodynamics); Pröbsting, S. (TU Delft Wind Energy)","von Estorff, O. (editor); Kropp, W. (editor); Schulte-Fortkamp, B. (editor)","2016","The present study investigates the feasibility of high-lift devices noise prediction based on measurements of time-resolved particle image velocimetry (TR-PIV). The model under investigation is a NACA 0015 airfoil with Gurney flap with height of 6% chord length. The velocity fields around and downstream the Gurney flap are measured by PIV and are used for the PIV-based noise predictions. The predictions are assessed via microphone measurements. Since the Gurney flap height is much smaller than the emitted acoustic wavelength, the source of noise can be considered compact and the integral implementation of Curle's analogy based on the unsteady aerodynamic loads can be followed. The results are compared with the simultaneous microphone measurements in terms of time histories and power spectra. The integral formulation of Curle's analogy yields acoustic sound pressure levels in good agreement with the simultaneous microphone measurements for the tonal component. All the calculated far-field noise power spectra reproduce the peak at vortex shedding frequency, which also agrees well with the microphone measurements.","Curle's aeroacoustic analogy; Gurney flap noise; Time-resolved PIV","en","conference paper","German Acoustical Society (DEGA)","","","","","","","","","","Aerodynamics","","",""
"uuid:46615581-9da7-4149-ae91-d5c91c59225d","http://resolver.tudelft.nl/uuid:46615581-9da7-4149-ae91-d5c91c59225d","A universal metric for ferroic energy materials","Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yibole, Hargen; Zhang, L. (BASF Nederland B.V.)","","2016","After almost 20 years of intensive research on magnetocaloric effects near room temperature, magnetic refrigeration with first-order magnetocaloric materials has come close to real-life applications. Many materials have been discussed as potential candidates to be used in multicaloric devices. However, phase transitions in ferroic materials are often hysteretic and a metric is needed to estimate the detrimental effects of this hysteresis. We propose the coefficient of refrigerant performance, which compares the net work in a reversible cycle with the positive work on the refrigerant, as a universal metric for ferroic materials. Here, we concentrate on examples from magnetocaloric materials and only consider one barocaloric experiment. This is mainly due to lack of data on electrocaloric materials. It appears that adjusting the field-induced transitions and the hysteresis effects can minimize the losses in first-order materials. This article is part of the themed issue 'Taking the temperature of phase transitions in cool materials'.","Coefficient of refrigerant performance; Fe2P; Magnetocaloric; Reversible cycle","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:237bb211-2a80-47b5-bdb2-a41eeff408b5","http://resolver.tudelft.nl/uuid:237bb211-2a80-47b5-bdb2-a41eeff408b5","Nanoparticles of lanthanide oxysulfate/oxysulfide for improved oxygen storage/release","Zhang, W. (TU Delft BT/Biocatalysis); Arends, I.W.C.E. (TU Delft BT/Biotechnologie); Djanashvili, K. (TU Delft BT/Biocatalysis)","","2016","Lanthanide oxysulfates have the ability to store and release large volumes of oxygen under oxidizing/reducing conditions, rendering them interesting as automotive catalysts. Herein we demonstrate a remarkable improvement of both processes by utilization of nanoparticles compared to the bulk materials. A further improvement of the catalytic activity was achieved by cost-effective doping with 1.9 wt% of Ni.","","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Biocatalysis","","",""
"uuid:5c69fe9c-a129-4934-a29c-e9397b62405f","http://resolver.tudelft.nl/uuid:5c69fe9c-a129-4934-a29c-e9397b62405f","Lanthanide-Loaded Nanoscaffolds for Multimodal Imaging and Therapy","Zhang, W. (TU Delft BT/Biocatalysis)","Arends, I.W.C.E. (promotor); Djanashvili, K. (copromotor); Delft University of Technology (degree granting institution)","2016","Multimodal imaging techniques are emerging in medical diagnosis. The synergistic combination of imaging techniques, such as MRI and PET/SPECT, is highly useful to strengthen each of the individual imaging modalities while reducing any of their disadvantages. In recent years, the progress of technical integration of imaging scanners has led to a clear motivation to design multimodal agents by chemists that can be used simultaneously and hence profit optimally of the new hybrid imaging scanners. Nanoscaffolds combining discrete functions (e.g. magnetic, radioactive, optical or therapeutic) are particularly interesting in this regard. There has been an increasing endeavour to design and synthesize multifunctional nanocomposites for practical applications in dual imaging modalities such as MRI-optical, MRI-PET, PET-optical, PET-CT, MRI-SPECT. Chapter 1 of this thesis summarizes the state-of-the-art in the development of multimodal probes for medical imaging and therapy, in which the roles of metal ions are highlighted. Chapters 2-5 focus on the exploration of porous nanomaterials, e.g. zeolite LTL in particular, which is suitable for the above-mentioned purposes due to their ability to accommodate large amounts of lanthanide ions through ion-exchange of alkali cations that counterbalance the AlO4- of the crystalline framework. The surface chemistry and biocompatibility study are also included. Chapter 6 describes a facile methodology to synthesize the lanthanide-nanoparticles with controllable size and application of the synthesized materials as MRI contrast agents. Chapter 7 shows that these versatile materials are also applicable in a very different field: they are very efficient in the storage and release of oxygen.
In conclusion, the findings of the thesis mainly provide insights into the merits and understanding of zeolite-based MR imaging probes.
However, current large-scale agent-based social simulation practice is facing difficulties in balancing model complexity and simulation performance. The wide adoption of distributed/parallel mechanism in current large-scale agent-based social simulation has proven to be an efficient solution to achieve system performance and scalability. On the other hand, the trade-off is usually the simplification of the model precision including agent behavior, agent environment and the social networks and interactions, which are proven to be important to understand social phenomena in complex social systems.
Based on the existing challenges, this thesis introduces a novel conceptual model for large-scale agent-based social simulation development, gives out the reference implementation of the proposed model components, and presents a simulation study of a case of epidemic prediction and control in the city of Beijing. This conceptual model can be considered as a hybrid model mixing a general agent-based conceptual model and the discrete event simulation paradigm.
For the concept of agent in the proposed conceptual model, this thesis presents a new way for implementation. A reference implementation of an agent is constituted by three main parts: (1) agent object, (2) activity pattern, and (3) multi-level decision-making module. With this design, the implemented agents can carry out a lot of complex activities and show diverse behaviors, such as traveling around and joining non-predefined social activities, while staying ""simple"" and ""small"" enough for scalability consideration.
For the concept of a social network, this thesis presents a new method to generate social networks dynamically for simulating interactions among a group of agents on a large scale during a simulation run. This thesis borrows from the concept of ’social reach’ in a social circle model, and proposes the concept of ’social similarity’ to generate the special type of social networks, friendship. Using the generated entire social network, agents in this model are able to communicate for scheduling joint social activities. When executing joint social activities, a functional entity called ’activity group’ is generated to organize and manage the participants, and a social contact network emerges from the execution.
Compared to the concept of agent environments in general ABM conceptual models, the introduced conceptual model separates the concept of an agent environment into physical container, social regulation and functional entity, which overcomes the limitations on environmental completeness in other ABM models and provides flexibility in simulating different system scenarios.
The concept of a physical container is introduced to represent the physical environment where agents stay. Typical physical containers are school, classroom, office, bedroom, train, etc. Physical containers are organized hierarchically. Moreover, this concept makes it much easier to include a transportation component in a social simulation model, which is achieved by considering vehicles as movable physical containers in the model.
The concept of social regulation, borrowed from the multi-agent system community, is used to model artifacts that can guide and influence agent behavior globally (rules/norms/institutions). With this concept, agents can respond to different situations during a simulation run. For example, regulating agents’ behavior during a disease outbreak is an indispensable part at a large-scale agent-based epidemic simulation. How agents would respond to interventions during a disease outbreak would have a big impact on the model outcomes.
The concept of functional entity, borrowed fromthe object-oriented paradigm, is used to model the extra objects in the system that can influence or directly change attributes of either agents, physical containers or social regulations. For example, a disease is modeled as a functional entity to change agents’ healthy status. Temperature can be modeled as a functional entity to change the transmission probability of a disease in a specified location (physical container).
Using reference implementations of these concepts, a model of a large-scale artificial city of Beijing is constructed as a case study to test policies for controlling the spread of disease among the full population (19.6 million). This case study can be considered as a proof of concept which exemplifies how large-scale social systems with complex human behavior and social interactions can be modeled with the help of the proposed conceptual model, but still gains reasonable performance. It also indicates potential use in other social science areas, such as microscopic transportation systems and city level evacuation planning.","agent-based social simulation; epidemic prediction and control; conceptual model; social network; traffic simulation","en","doctoral thesis","","978-94-6328-041-9","","","","SIKS Dissertation Series No. 2016-28","","2016-05-19","","","Policy Analysis","","",""
"uuid:05342ba9-5fb4-47cb-bf71-9329747106ab","http://resolver.tudelft.nl/uuid:05342ba9-5fb4-47cb-bf71-9329747106ab","Tandem reactions in self-sorted catalytic molecular hydrogels","Singh, N. (Universitat Jaume I); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Angulo-Pachón, C.A. (Universitat Jaume I); Mendez Sevillano, D. (TU Delft BT/Bioprocess Engineering); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter); Escuder, B. (Universitat Jaume I)","","2016","By equipping mutually incompatible carboxylic acid and proline catalytic groups with different self-assembling motives we have achieved self-sorting of the resulting catalytic gelators, namely SucVal8 and ProValDoc, into different supramolecular fibers, thus preventing the acidic and basic catalytic groups from interfering with each other. The resulting spatial separation of the incompatible catalytic functions is found to be essential to achieve one-pot deacetalization–aldol tandem reactions with up to 85% efficiency and 90% enantioselectivity. On the contrary, when SucVal8 was co-assembled with a structurally similar catalytically active hydrogelator (ProVal8), self-sorting was precluded and no tandem catalysis was observed.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:37053c7e-735d-4fc5-953c-3b37391ac3c8","http://resolver.tudelft.nl/uuid:37053c7e-735d-4fc5-953c-3b37391ac3c8","Stretchable Binary Fresnel Lens for Focus Tuning","Li, X. (TU Delft Electronic Components, Technology and Materials); Wei, L. (TU Delft ImPhys/Optics); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Wei, J. (TU Delft EKL Processing); Urbach, Paul (TU Delft ImPhys/Optics); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2016","This paper presents a tuneable binary amplitude Fresnel lens produced by wafer-level microfabrication. The Fresnel lens is fabricated by encapsulating lithographically defined vertically aligned carbon nanotube (CNT) bundles inside a polydimethyl-siloxane (PDMS) layer. The composite lens material combines the excellent optical absorption properties of the CNT with the transparency and stretchability of the PDMS. By stretching the elastomeric composite in radial direction, the lens focal length is tuned. Good focusing response is demonstrated and a large focus change (≥24%) was achieved by stretching lenses up to 11.4%.","Adaptive optics; Carbon nanotubes and fullerenes; Micro-optics; Polymers; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:2e2c121f-2bd7-406f-bd58-785c799458c0","http://resolver.tudelft.nl/uuid:2e2c121f-2bd7-406f-bd58-785c799458c0","Repeated crack healing in MAX-phase ceramics revealed by 4D in situ synchrotron X-ray tomographic microscopy","Sloof, W.G.; Pei, R.; McDonald, S.A.; Fife, J.L.; Shen, L.; Boatemaa, L.; Farle, A.S.; Yan, K.; Zhang, X.; Van der Zwaag, S.; Lee, P.D.; Withers, P.J.","","2016","MAX phase materials are emerging as attractive engineering materials in applications where the material is exposed to severe thermal and mechanical conditions in an oxidative environment. The Ti2AlC MAX phase possesses attractive thermomechanical properties even beyond a temperature of 1000 K. An attractive feature of this material is its capacity for the autonomous healing of cracks when operating at high temperatures. Coupling a specialized thermomechanical setup to a synchrotron X-ray tomographic microscopy endstation at the TOMCAT beamline, we captured the temporal evolution of local crack opening and healing during multiple cracking and autonomous repair cycles at a temperature of 1500 K. For the first time, the rate and position dependence of crack repair in pristine Ti2AlC material and in previously healed cracks has been quantified. Our results demonstrate that healed cracks can have sufficient mechanical integrity to make subsequent cracks form elsewhere upon reloading after healing.","","en","journal article","Nature Publishing Group","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:2555af69-d367-4574-963d-7e273bbbbd16","http://resolver.tudelft.nl/uuid:2555af69-d367-4574-963d-7e273bbbbd16","Thermal characterization of carbon nanotube foam using MEMS microhotplates and thermographic analysis","Silvestri, C.; Riccio, M.; Poelma, R.H.; Morana, B.; Vollebregt, S.; Santagata, F.; Irace, A.; Zhang, G.Q.; Sarro, P.M.","","2016","Thermal material properties play a fundamental role in the thermal management of microelectronic systems. The porous nature of carbon nanotube (CNT) arrays results in a very high surface area to volume ratio, which makes the material attractive for surface driven heat transfer mechanisms. Here, we report on the heat transfer performance of lithographically defined micropins made of carbon nanotube (CNT) nanofoam, directly grown on microhotplates (MHPs). The MHP is used as an in situ characterization platform with controllable hot-spot and integrated temperature sensor. Under natural convection, and equivalent power supplied, we measured a significant reduction in hot-spot temperature when augmenting the MHP surface with CNT micropins. In particular, a strong enhancement of convective and radiative heat transfer towards the surrounding environment is recorded, due to the high aspect ratio and the foam-like morphology of the patterned CNTs. By combining electrical characterizations with high-resolution thermographic microscopy analysis, we quantified the heat losses induced by the integrated CNT nanofoams and we found a unique temperature dependency of the equivalent convective heat transfer coefficient, Hc. The obtained results with the proposed non-destructive characterization method demonstrate that significant improvements can be achieved in microelectronic thermal management and hierarchical structured porous material characterization.","Gold for Gold; Open Access","en","journal article","RSC Publishing","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:1056e784-cc39-4293-a856-8558c3f83e4b","http://resolver.tudelft.nl/uuid:1056e784-cc39-4293-a856-8558c3f83e4b","Comparison of Particle-Associated Bacteria from a Drinking Water Treatment Plant and Distribution Reservoirs with Different Water Sources","Liu, G.; Ling, F.Q.; Van der Mark, E.J.; Zhang, X.D.; Knezev, A.; Verberk, J.Q.J.C.; Van der Meer, W.G.J.; Medema, G.J.; Liu, W.T.; Van Dijk, J.C.","","2016","This study assessed the characteristics of and changes in the suspended particles and the associated bacteria in an unchlorinated drinking water distribution system and its reservoirs with different water sources. The results show that particle-associated bacteria (PAB) were present at a level of 0.8–4.5?×?103 cells ml?1 with a biological activity of 0.01–0.04?ng l?1 ATP. Different PAB communities in the waters produced from different sources were revealed by a 16S rRNA-based pyrosequencing analysis. The quantified biomass underestimation due to the multiple cells attached per particle was???85%. The distribution of the biologically stable water increased the number of cells per particle (from 48 to 90) but had minor effects on the PAB community. Significant changes were observed at the mixing reservoir. Our results show the characteristics of and changes in suspended PAB during distribution, and highlight the significance of suspended PAB in the distribution system, because suspended PAB can lead to a considerable underestimation of biomass, and because they exist as biofilm, which has a greater mobility than pipe-wall biofilm and therefore presents a greater risk, given the higher probability that it will reach the customers’ taps and be ingested.","biofilms; environmental sciences; next-generation sequencing; water microbiology; OA-Fund TU Delft","en","journal article","Nature Publishing Group","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:8175577e-76d5-431f-99ed-f50cb5741a16","http://resolver.tudelft.nl/uuid:8175577e-76d5-431f-99ed-f50cb5741a16","Forecasting the impacts of silver and bighead carp on the Lake Erie food web","Zhang, H.; Rutherford, E.S.; Mason, D.M.; Breck, J.T.; Wittmann, M.E.; Cooke, R.M.; Lodge, D.M.; Rothlisberger, J.D.; Zhu, Z.; Johnson, T.B.","","2016","Nonindigenous bigheaded carps (Bighead Carp Hypophthalmichthys nobilis and Silver Carp H. molitrix; hereafter, “Asian carps” [AC]) threaten to invade and disrupt food webs and fisheries in the Laurentian Great Lakes through their high consumption of plankton. To quantify the potential effects of AC on the food web in Lake Erie, we developed an Ecopath with Ecosim (EwE) food web model and simulated four AC diet composition scenarios (high, low, and no detritus and low detritus with Walleye Sander vitreus and Yellow Perch Perca flavescens larvae) and two nutrient load scenarios (the 1999 baseline load and 2£ the baseline [HP]). We quantified the uncertainty of the potential AC effects by coupling the EwE model with estimates of parameter uncertainty in AC production, consumption, and predator diets obtained using structured expert judgment. Our model projected mean § SD AC equilibrium biomass ranging from 52 § 34 to 104 § 75 kg/ha under the different scenarios. Relative to baseline simulations without AC, AC invasion under all detrital diet scenarios decreased the biomass of most fish and zooplankton groups. The effects of AC in the HP scenario were similar to those in the detrital diet scenarios except that the biomasses of most Walleye and Yellow Perch groups were greater under HP because these fishes were buffered from competition with AC by increased productivity at lower trophic levels. Asian carp predation on Walleye and Yellow Perch larvae caused biomass declines among all Walleye and Yellow Perch groups. Large food web impacts of AC occurred in only 2% of the simulations, where AC biomass exceeded 200 kg/ha, resulting in biomass declines of zooplankton and planktivorous fish near the levels observed in the Illinois River. Our findings suggest that AC would affect Lake Erie’s food web by competing with other planktivorous fishes and by providing additional prey for piscivores. Our methods provide a novel approach for including uncertainty into forecasts of invasive species’ impacts on aquatic food webs.","","en","journal article","Taylor and Francis","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Applied Mathematics","","","",""
"uuid:9ba552be-134e-4fbe-88ac-8839f5ce3a4b","http://resolver.tudelft.nl/uuid:9ba552be-134e-4fbe-88ac-8839f5ce3a4b","Towards self-healing creep resistant steels","Van der Zwaag, S.; Zhang, S.; Fang, H.; Bruck, E.; Van Dijk, N.H.","","2016","We report the main findings of our work on the behaviour of binary Fe-Cu and Fe-Au model alloys designed to explore routes to create new creep resistant steels having an in-built ability to autonomously fill creep induced porosity at grain boundaries. The alloying elements were selected on the basis of their ability to be brought in supersaturation, their limited or even absent tendency to form immobile intermetallic compounds and their affinity to segregate to free surfaces. The model alloys were creep loaded to failure at various stress values at a fixed temperature of 550 0C. As a result of creep loading, grain boundary cavities developed which were either fully or partially filled by the alloying element explored. The degree of pore filling was found to depend on the alloying element, the stress level and the orientation of the residing grain boundary with respect to the applied load. The clearest examples of full or partial pore filling were observed for the Fe-Au system. In addition to pore filling, extensive precipitate formation was observed in the Fe-Cu alloys.","creep damage; pore filling; self-healing; model alloys","en","conference paper","","","","","","","","","Aerospace Engineering","Aerospace Structures & Materials","","","",""
"uuid:dc326e56-3146-49a9-ba0b-a474326acdec","http://resolver.tudelft.nl/uuid:dc326e56-3146-49a9-ba0b-a474326acdec","Routing strategy including time and carbon dioxide emissions: effects on network performance","Zhang, Fan; Chen, Y. (TU Delft Transport and Planning); Goni Ros, B. (TU Delft Transport and Planning); GAO, Jian; Knoop, V.L. (TU Delft Transport and Planning)","","2016","Traffic congestion leads to delays and increased carbon dioxide (CO2) emissions. Traffic management measures such as providing information on environmental route costs have been proposed to mitigate congestion. Multi-criteria routing dynamic traffic assignment (MCR-DTA) models are needed to evaluate the effectiveness of such measures. This paper presents a simulation-based bi-level optimization method to solve the MCR-DTA problem, which works as follows. Route costs include travel times and emissions, but those are updated inside two different loops. In the inner loop, emission costs are considered fixed; the assignment is performed by updating route travel times, using a traditional DTA tool. Then, in the outer loop, emissions are calculated based on link loads and fed back to the DTA tool, which performs a new assignment. The MCR user equilibrium is found when emissions or predefined generalized costs converge to an equilibrium. The bi-level method is first tested on a small network, showing that the proposed method is able to effectively solve the MCR-DTA problem. Next, the method is applied to a medium-size urban network. The results show that if drivers choose routes based on emissions besides travel time, the average travel time and emissions per vehicle decrease. This occurs because congested links have a higher impact on route costs; hence the equilibrium is pushed away from the single-criteria routing (SCR) user optimum towards the SCR system optimum. Results support the conclusion that informing drivers about CO2 emissions per route can potentially lead to decreased delay and emissions in real networks.","carbon dioxide; Dynamic traffic assignment; Methodology; Network analysis (Planning); Optimization; Traffic congestion","en","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:71e714e4-f67c-4c21-a7ab-d19b93c0ff46","http://resolver.tudelft.nl/uuid:71e714e4-f67c-4c21-a7ab-d19b93c0ff46","Enhanced Optical Performance of BaMgAl10O17: Eu2+ Phosphor by a Novel Method of Carbon Coating","Yin, L.J. (TU Delft ChemE/Product and Process Engineering; University of Electronic Science and Technology of China); Dong, Juntao (University of Electronic Science and Technology of China); Wang, Yinping (University of Electronic Science and Technology of China); Zhang, Bi (University of Science and Technology of China); Zhou, Zheng Yang (Peking University); Jian, Xian (University of Electronic Science and Technology of China); Wu, Mengqiang (University of Electronic Science and Technology of China); Xu, Xin (University of Science and Technology of China); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Hintzen, H.T.J.M. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2016","Many strategies have been adopted to improve thermal degradation of phosphors. Because of the stability and high transmittance of graphene, here we report a novel method of carbon coating on BaMgAl10O17:Eu2+ (BAM) phosphor particles through chemical vapor deposition. The chemical composition, microstructure, and luminescence performance of carbon-coated BAM were characterized carefully. This coating can be controlled within 3-10 atomic layers, depending on the reaction time. Because of the decrease of surface defects and the effective weakening effect of oxidizing Eu2+ to Eu3+ after carbon coating, different layer numbers showed an obvious effect on the optical properties of carbon-coated BAM. Carbon-coated BAM phosphors had higher emission intensity and better oxidation resistance at high temperature than uncoated BAM phosphors. These results indicate that the method of carbon coating on phosphor particles is a promising way to improve the luminescence properties of other phosphors used in lighting and display devices.","","en","journal article","","","","","","Accepted Author Manuscript","","2017-01-08","","","ChemE/Product and Process Engineering","","",""
"uuid:34c5e140-ec59-4c75-ac6b-c89ff27f1d93","http://resolver.tudelft.nl/uuid:34c5e140-ec59-4c75-ac6b-c89ff27f1d93","Thermal inductance in GaN devices","Ye, H. (Chongqing University; Ministry of Education of the People's Republic of China); Leung, Stanley Y.Y. (Changzhou Institute of Technology Research for Solid State Lighting); Wong, K.Y. (Changzhou Institute of Technology Research for Solid State Lighting); Chen, Xianping (Chongqing University); Lin, Kai (Changzhou Institute of Technology Research for Solid State Lighting); Fan, J. (Changzhou Institute of Technology Research for Solid State Lighting); Kjelstrup, Signe (Norwegian University of Science and Technology (NTNU)); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2016","Using the analogue of the electric inductance, we reveal the properties of the thermal inductance in GaN-based light-emitting diode devices by testing their transient thermal behaviors. We find that the devices exhibit a transient thermal response under step-down or step-up currents and observe notable inductive phenomena of the temperature response as time evolves from start up to some hundred microseconds. We define thermal inductance as the rapid change in device temperature that is opposite to the temperature change expected from the power input. These findings can promote new temperature measurements, and novel thermal analyses of high-frequency semiconductor devices that combining the thermal resistances, thermal capacitances, and thermal inductances.","Thermal analysis; Thermal inductance; Transient thermal behaviour","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:3460968e-08f0-458d-a67b-3f3e366768b1","http://resolver.tudelft.nl/uuid:3460968e-08f0-458d-a67b-3f3e366768b1","Improved registration of DCE-MR images of the liver using a prior segmentation of the region of interest","Zhang, T. (TU Delft ImPhys/Quantitative Imaging); Li, Z. (National University of Defense Technology); Runge, Jurgen H. (Universiteit van Amsterdam); Lavini, Cristina (Universiteit van Amsterdam); Stoker, Jaap (Universiteit van Amsterdam); Van Gulik, Thomas (Universiteit van Amsterdam); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging); Vos, F.M. (TU Delft ImPhys/Quantitative Imaging; Universiteit van Amsterdam)","Styner, Martin A. (editor); Angelini, Elsa D. (editor)","2016","In Dynamic Contrast-Enhanced MRI (DCE-MRI) of the liver, a series of images is acquired over a period of 20 minutes. Due to the patient's breathing, the liver is subject to a substantial displacement between acquisitions. Furthermore, due to its location in the abdomen, the liver also undergoes marked deformation. The large deformations combined with variation in image contrast make accurate liver registration challenging. We present a registration framework that incorporates a liver segmentation to improve the registration accuracy. The segmented liver serves as region-of-interest to our in-house developed registration method called ALOST (autocorrelation of local image structure). ALOST is a continuous optimization method that uses local phase features to overcome space-variant intensity distortions. The proposed framework can confine the solution field to the liver and allow for ALOST to obtain a more accurate solution. For the segmentation part, we use a level-set method to delineate the liver in a so-called contrast enhancement map. This map is obtained by computing the difference between the last and registered first volume from the DCE series. Subsequently, we slightly dilate the segmentation, and apply it as the mask to the other DCE-MRI volumes during registration. It is shown that the registration result becomes more accurate compared with the original ALOST approach.","ALOST; DCE-MRI; Level-set; Liver Segmentation; Registration","en","conference paper","SPIE","","","","","","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:3c216d07-8c4c-4d8a-8824-68afe249e7b0","http://resolver.tudelft.nl/uuid:3c216d07-8c4c-4d8a-8824-68afe249e7b0","Ensemble EMD-based automatic extraction of the catenary structure wavelength from the pantograph-catenary contact corce","Liu, Zhigang; Wang, H. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Yang, S. (External organisation); Nunez, Alfredo (TU Delft Railway Engineering); Zhang, J. (External organisation)","","2016","This paper explores the use of pantograph-catenary contact force (PCCF) for monitoring of the current collection quality and detection of anomalies in the interaction between pantograph and catenary. The concept of catenary structure wavelength (CSW) is proposed as the dominant component of PCCF. It describes the signal components caused by the cyclical catenary structure in span and inter-dropper distance. To obtain the CSWs and non-CSW residual of PCCF, an automatic extraction approach based on the ensemble empirical mode decomposition (EEMD) is proposed. In the approach, the instantaneous frequency of each intrinsic mode function generated by EEMD is employed for the extraction of CSWs. Some selected trials on the PCCF data from simulation and measurement are performed and indicate that the extraction approach is adaptive to the PCCF under various circumstances, including different operation speed, pantograph type and catenary structure. Analyses on the extracted CSWs and non-CSW residual show that, with certain tolerance against measurement noise, the approach can preserve intact the characterizations of current collection quality and make anomalies easier to detect.","Catenary structure wavelength (CSW); ensemble empirical mode decomposition (EEMD); extraction; high-speed railway (HSR); intrinsic mode function (IMF); pantograph-catenary contact force (PCCF); Computational modeling; Force; Frequency-domain analysis; Rail transportation; Sea measurements; Suspensions; Wires","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:37c4a76b-5bcb-45e5-98bb-e0095cf50748","http://resolver.tudelft.nl/uuid:37c4a76b-5bcb-45e5-98bb-e0095cf50748","Steering Micro-Robotic Swarm by Dynamic Actuating Fields","Chao, Q.; Yu, J; Dai, C.; Xu, T; Zhang, L.; Wang, C.C. (TU Delft Materials and Manufacturing); Jin, X.","","2016","We present a general solution for steering microrobotic
swarm by dynamic actuating fields. In our approach, the
motion of micro-robots is controlled by changing the actuating
direction of a field applied to them. The time-series sequence
of actuating field’s directions can be computed automatically.
Given a target position in the domain of swarm, a governing
field is first constructed to provide optimal moving directions at
every points. Following these directions, a robot can be driven
to the target efficiently. However, when working with a crowd of
micro-robots, the optimal moving directions on different agents
can contradict with each other. To overcome this difficulty, we
develop a novel steering algorithm to compute a statistically
optimal actuating direction at each time frame. Following a
sequence of these actuating directions, a crowd of micro-robots
can be transported to the target region effectively. Our steering
strategy of swarm has been verified on a platform that generates
magnetic fields with unique actuating directions. Experimental
tests taken on aggregated magnetic micro-particles are quite
encouraging.","microrobots; mobile robots; motion control; multi-robot systems; position control; time series","en","conference paper","IEEE Society","","","","","Author accepted manuscript","","","","","Materials and Manufacturing","","",""
"uuid:bdca4d63-1539-4de4-bd9f-79a25d5b10e4","http://resolver.tudelft.nl/uuid:bdca4d63-1539-4de4-bd9f-79a25d5b10e4","Online physical model identification for database-driven safe fight envelope prediction of damaged aircraft","Zhang, Y. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2016","","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","harvest AIAA 2016-2011","","","","","Control & Simulation","","",""
"uuid:bc13f52f-bf74-4dc8-b9a0-9e64c6544663","http://resolver.tudelft.nl/uuid:bc13f52f-bf74-4dc8-b9a0-9e64c6544663","A review of small heat pipes for electronics","Chen, Xianping (Tsinghua University; Guilin University of Electronic Technology; State Key Laboratory of Solid State Lighting); Ye, H. (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting); Fan, Xuejun (Lamar University); Ren, Tianling (Tsinghua University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2016","Heat pipes (HPs) have received considerable attention in recent decades, especially in the field of cooling electronics, which requires the removal of added heat from an area of limited volume to the environment. Small HPs are widely used in electronic applications, which are normally limited by the compact structure and dimensions of the electronic device. Among small HPs, mini/micro HPs and two-phase loops (TPLs) with mini/micro wicks, including loop HPs (LHPs) and capillary pumped loops (CPLs), are preferred for their high efficiency, small dimensions, and compatible process with semiconductor devices. Particularly, TPLs possess all of the main advantages of traditional HPs with the addition of special properties that enable the transfer of heat for distances up to several metres at any orientation in the gravity field. Further, small vapour chambers (VCs), also referred to as flat HPs, are excellent candidates for electronic heat spreaders due to their light weight, geometric flexibility, and extremely high thermal conductivities. Because silicon is widely used in electronics, it is a preferred material for mini/micro HPs along with TPLs. Moreover, polymer-based small HPs are highly attractive for further development as they are inexpensive and easy to fabricate. Because the smaller wicks supply a greater capillary force, nano wicks, such as carbon nanotubes (CNTs), may represent the future of HPs due to their potentially outstanding characteristics. In this work, a review of small HPs, including their design, analysis, and fabrication, is presented.","Small heat pipes; Electronic cooling; Micro wicks; Nano wicks","en","journal article","","","","","","Accepted author manuscript","","2017-12-14","","","Electronic Components, Technology and Materials","","",""
"uuid:8e2051e6-fd98-4b63-a008-d4ef24d734c0","http://resolver.tudelft.nl/uuid:8e2051e6-fd98-4b63-a008-d4ef24d734c0","Online safe flight envelope prediction for damaged aircraft: A database-driven approach","Zhang, Y. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2016","","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","harvest AIAA 2016-1189","","","","","Control & Simulation","","",""
"uuid:cf93d61c-7e24-471b-9a73-7fb1d1ae6e0d","http://resolver.tudelft.nl/uuid:cf93d61c-7e24-471b-9a73-7fb1d1ae6e0d","Microscale Testing and Modelling of Cement Paste as Basis for Multi-Scale Modelling","Zhang, H. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Chaves Figueiredo, S. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Steel & Composite Structures); Schlangen, E. (TU Delft Materials and Environment)","","2016","This work aims to provide a method for numerically and experimentally investigating the fracture mechanism of cement paste at the microscale. For this purpose, a new procedure was proposed to prepare micro cement paste cubes (100 × 100 × 100 µm3) and beams with a square cross section of 400 × 400 µm2. By loading the cubes to failure with a Berkovich indenter, the global mechanical properties of cement paste were obtained with the aid of a nano-indenter. Simultaneously the 3D images of cement paste with a resolution of 2 µm3/voxel were generated by applying X-ray microcomputed tomography to a micro beam. After image segmentation, a cubic volume with the same size as the experimental tested specimen was extracted from the segmented images and used as input in the lattice model to simulate the fracture process of this heterogeneous microstructure under indenter loading. The input parameters for lattice elements are local mechanical properties of different phases. These properties were calibrated from experimental measured load displacement diagrams and failure modes in which the same boundary condition as in simulation were applied. Finally, the modified lattice model was applied to predict the global performance of this microcube under uniaxial tension. The simulated Young’s modulus agrees well with the experimental data. With the method presented in this paper the framework for fitting and validation of the modelling at microscale was created, which forms a basis for multi-scale analysis of concrete.","micro-mechanics; fracture; lattice model; X-ray computed tomography; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8aca08c6-5958-4186-b5fd-f1b55d789b98","http://resolver.tudelft.nl/uuid:8aca08c6-5958-4186-b5fd-f1b55d789b98","Dynamic Computation of Time-Varying Spatial Contexts","Horvath, I. (TU Delft Cyber-Physical Systems); Li, Y. (TU Delft Cyber-Physical Systems); Rusak, Z. (TU Delft Cyber-Physical Systems); van der Vegte, Wilhelm Frederik (TU Delft Cyber-Physical Systems); Zhang, G (Harbin Institute of Technology)","","2016","There are many real-life processes whose smart control requires processing context information. Though processing time-varied context information is addressed in the literature, domain-independent solutions for reasoning about time-varying process scenarios are scarce. This paper proposes a method for dynamic context computation concerning spatial and attributive information. Context is interpreted as a body of information dynamically created by a pattern of entities and relationships over a history of situations. Time is conceived as a causative force capable of changing situations and acting on people and objects. The invariant and variant spatial information is captured by a two-dimensional spatial feature representation matrix (SFR-matrix). The time-dependent changes in the context information are computed based on a dynamic context information (DCI) management hyper-matrix. This humble but powerful representation lends itself to a quasi-real time computing and is able to provide information about foreseeable happenings over multiple situations. Based on this, the reasoning mechanism proposed in this paper is able to provide informative instructions for users who needed to be informed in a dynamically changing situation. This paper uses the practical case of evacuation of a building in fire both as an explorative case for conceptualization of the functionality of the computational mechanism and as a demonstrative and testing application. Our intention is to use the dynamic context computation mechanism as a kernel component of a reasoning platform for informing cyber-physical systems (I-CPSs). Our future research will address the issue of context information management for multiple interrelated spaces.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2017-05-07","","","Cyber-Physical Systems","","",""
"uuid:eb06d1be-603b-4a2d-afee-c3b799df415a","http://resolver.tudelft.nl/uuid:eb06d1be-603b-4a2d-afee-c3b799df415a","Experimental study on pore connectivity and its influence on chloride transport in saturated cementitious system","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","Miao, Changwen (editor); Sun, Wei (editor); Liu, Jiaping (editor); Chen, Huisu (editor); Ye, Guang (editor); van Breugel, Klaas (editor)","2016","The purpose of this paper is to investigate the pore connectivity and its influence on chloride transport property in cementitious materials under saturated condition. Mercury intrusion porosimetry experiment was carried out on a variety of hydrated cement pastes curing up to one year. By repeating pressurization-depressurization cycles, pore entrapment (namely ink-bottled effect) was expressed as a function of the equivalent diameter of penetrated throat neck pores. Accordingly, the small capillary pores that are present within patches of hydration products and the large capillary pores that are left out of hydration products were distinguished and their connections were ascertained, which provide evident basis for the study of transport property estimated by means of rapid chloride migration test on mortar samples. The results suggested that in hydrated cementitious system the capillary pore connections influencing mass transport should be mainly attributed to the connectivity of small capillary pores, whose relevance to chloride migration coefficient has also been testified by the well-fitted experimental plots in this study.","cementitious system; Microstructure; pore connectivity; chloride transport","en","conference paper","Rilem","","","","","","","","","","Materials and Environment","","",""
"uuid:84191c68-3d45-4a19-922e-ffc066840e88","http://resolver.tudelft.nl/uuid:84191c68-3d45-4a19-922e-ffc066840e88","Development of interior relative humidity due to self-desiccation in blended cementitious system","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","Kielsgaard Hansen, Kurt (editor); Rode, Carsten (editor); Nilsson, Lars-Olof (editor)","2016","In engineering practise, interior relative humidity (RH) of concrete significantly affects the transport properties and thus the service life of concrete structures. In this paper, the development of RH due to self-desiccation in blended cement pastes was studied from 1 day to 1.5 years. The pore structure and non-evaporable water content at same ages were determined by mercury intrusion porosimetry and thermogravimetric analysis, respectively. The results revealed that interior RH was significantly reduced at the first 105 days’ curing and falls off slightly afterwards, regardless of water to binder ratios and type of blends. Compared to ordinary Portland cement (OPC) paste, the OPC paste blended with slag shows much lower interior RH, whereas the addition of fly ash slightly increases the interior RH. Minor amount of limestone addition i.e., 5% wt. greatly increases the RH in ternary system consisting of OPC, slag and limestone, whilst slightly decreases the RH in OPC paste blended with fly ash. In the presence of blends, high total porosity corresponds to low interior RH. In case of self-desiccation, it is concluded that interior RH is mainly controlled by average pore size in the cement-based materials.","","en","conference paper","RILEM Publications S.A.R.L.","","","","","","","","","","Materials and Environment","","",""
"uuid:7317e2a8-2a52-4b67-a8f9-89756e12c483","http://resolver.tudelft.nl/uuid:7317e2a8-2a52-4b67-a8f9-89756e12c483","An experimental investigation into pin loading effects on fatigue crack growth in Fibre Metal Laminates","Zhang, Z. (TU Delft Structural Integrity & Composites; The First Aircraft Design and Research Institute of AVIC); Wang, W. (TU Delft Structural Integrity & Composites); Rans, C.D. (TU Delft Structural Integrity & Composites); Benedictus, R. (TU Delft Aerospace Structures & Materials)","","2016","This paper provides an experimental investigation into the pin loading effects on the crack growth behaviour in Fibre Metal Laminates. The pin loading effects and bypass loading effects are incorporated in two different tested joints. The analysis of the test results shows that pin loading dominates the crack growth only in the vicinity of the pin hole and the superposition method for analysing stress intensity factor in FMLs with pin loading effects can be applied.","fibre metal laminates; pin loading effects; Fatigue Crack Growth; superposition method","en","journal article","","","","","","","","","","Aerospace Structures & Materials","Structural Integrity & Composites","","",""
"uuid:146873eb-8579-4df7-b3f8-6d550973b83b","http://resolver.tudelft.nl/uuid:146873eb-8579-4df7-b3f8-6d550973b83b","A CMOS-Compatible Hybrid Plasmonic Slot Waveguide With Enhanced Field Confinement","Xiao, Jing (Guilin University of Electronic Technology); Wei, Qi-Qin (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology); He, Ning (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ren, Tian-Ling (Tsinghua University); Chen, Xian-Ping (Guilin University of Electronic Technology)","","2016","The emerging field of nanophotonics requires plasmonic devices to be fully compatible with semiconductor fabrication techniques. However, very few feasible practical structures exist at present. Here, we propose a CMOS-compatible hybrid plasmonic slot waveguide (HPSW) with enhanced field confinement. Our simulation results show that the HPSW exhibits significantly enhanced field confinement as compared with the traditional low-index slot waveguides and the hybrid metal dielectric slot waveguides. By controlling the thicknesses of different layers, an optimized HPSW structure with a better tradeoff between field confinement and propagation length has been simultaneously achieved.","multi-layer structure; Hybrid plasmonic slot waveguide; field confinement","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:eaefff1f-b20c-40c0-ae05-17385ce64899","http://resolver.tudelft.nl/uuid:eaefff1f-b20c-40c0-ae05-17385ce64899","Output blue light evaluation for phosphor based smart white LED wafer level packages","Koladouz Esfahani, Z. (TU Delft Electronic Components, Technology and Materials); Rostamian, Ali (University of Tehran); Kolahdouz, Mohammadreza (University of Tehran); Ma, Teng; van Zeijl, H.W. (TU Delft EKL Processing); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2016","This study presents a blue light detector for evaluating the output light of phosphor based white LED package. It is composed of a silicon stripe-shaped photodiode designed and implemented in a 2 μm BiCMOS process which can be used for wafer level integration of different passive and active devices all in just 5 lithography steps. The final device shows a high selectivity to blue light. The maximum responsivity at 480nm is matched with the target blue LED illumination. The designed structure have better responsivity compared to simple photodiode structure due to reducing the effect of dead layer formation close to the surface because of implantation. It has also a two-fold increase in the responsivity and quantum efficiency compared to previously similar published sensors.","Photodetectors; Optoelectronics","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:76c76a81-8684-46ae-9bf1-12d68ecf3792","http://resolver.tudelft.nl/uuid:76c76a81-8684-46ae-9bf1-12d68ecf3792","MatMix 1.0: Using optical mixing to probe visual material perception","Zhang, F. (TU Delft Human Information Communication Design); de Ridder, H. (TU Delft Human Information Communication Design); Fleming, Ronald W. (Justus Liebig University Giessen); Pont, S.C. (TU Delft Human Information Communication Design)","","2016","MatMix 1.0 is a novel material probe we developed for quantitatively measuring visual perception of materials. We implemented optical mixing of four canonical scattering modes, represented by photographs, as the basis of the probe. In order to account for a wide range of materials, velvety and glittery (asperity and mesofacet scattering) were included besides the common matte and glossy modes (diffuse and forward scattering). To test the probe, we conducted matching experiments in which inexperienced observers were instructed to adjust the modes of the probe to match its material to that of a test stimulus. Observers were well able to handle the probe and match the perceived materials. Results were robust across individuals, across combinations of materials, and across lighting conditions. We conclude that the approach via canonical scattering modes and optical mixing works well, although the image basis of our probe still needs to be optimized. We argue that the approach is intuitive, since it combines key image characteristics in a ''painterly'' approach. We discuss these characteristics and how we will optimize their representations.","BRDF; Mat-Mix 1.0; Material perception; Material probe; Reflectance","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:f5b20b6d-fb25-4b6b-bfb9-48413c08985b","http://resolver.tudelft.nl/uuid:f5b20b6d-fb25-4b6b-bfb9-48413c08985b","Indoor Multi-Dimensional Location GML and Its Application for Ubiquitous Indoor Location Services","Zhu, Qing (Southwest Jiaotong University); Li, Yun (Southwest Jiaotong University); Xiong, Qing (Wuhan University); Zlatanova, S. (TU Delft Urban Data Science); Ding, Yulin (Southwest Jiaotong University); Zhang, Yeting (Wuhan University); Zhou, Yan (University of Electric Science and Technology of China, Chengdu)","","2016","The Open Geospatial Consortium (OGC) Geography Markup Language (GML) standard provides basic types and a framework for defining geo-informational data models such as CityGML and IndoorGML, which provide standard information models for 3D city modelling and lightweight indoor network navigation. Location information, which is the semantic engine that fuses big geo-information data, is however, discarded in these standards. The Chinese national standard of Indoor Multi-Dimensional Location GML (IndoorLocationGML) presented in this study can be used in ubiquitous indoor location intelligent applications for people and robots. IndoorLocationGML is intended as an indoor multi-dimensional location information model and exchange data format standard, mainly for indoor positioning and navigation. This paper introduces the standard’s main features: (1) terminology; (2) indoor location information model using a Unified Modeling Language (UML) class diagram; (3) indoor location information markup language based on GML; and (4) use cases. A typical application of the standard is then discussed. This standard is applicable to the expression, storage, and distribution of indoor multi-dimensional location information, and to the seamless integration of indoor–outdoor location information. The reference and basis are therefore relevant to publishers, managers, users, and developers of indoor navigation and location-based services (LBS)","indoor location; location-based service; standard; navigation","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:e5a47613-6f6c-48a6-a81e-16430c319586","http://resolver.tudelft.nl/uuid:e5a47613-6f6c-48a6-a81e-16430c319586","Electromagnetic Marchenko imaging in 1D for dissipative media","Zhang, L. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Staring, M. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","Sicking, Charles (editor); Ferguson, John (editor)","2016","We present a one-dimensional lossless scheme to compute an image of a dissipative medium from two single-sided reflection responses. One reflection response is measured at or above the top reflector of a dissipative medium and the other reflection response is computed as if measured at or above the top reflector of a medium with negative dissipation which we call the effectual medium. These two reflection responses together can be used to construct the approximate reflection data of the corresponding lossless medium by multiplying and taking the square root in time domain. The corresponding lossless medium has the same reflectors as the dissipative medium. Then the constructed reflection data can be used to compute the focusing wavefield which focuses at the chosen location in subsurface of the dissipative medium. From the focusing function and constructed reflection response the Green’s function for a virtual receiver can be obtained. Because the up- and downgoing parts of the Green’s function are retrieved separately, these are used to compute the image. We show with an example that the method works well for a sample in a synthesized waveguide that could be used for measurements in a laboratory.","electromagnetic; conductivity; internal multiples; permeability; GPR","en","conference paper","SEG","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:1496792c-1ef8-4c70-ada4-58266cf78950","http://resolver.tudelft.nl/uuid:1496792c-1ef8-4c70-ada4-58266cf78950","Aircraft Damage Identification and Classification for Database-driven Online Safe Flight Envelope Prediction","Zhang, Y. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation); Chu, Q. P. (TU Delft Control & Simulation)","","2016","","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:96ba8048-e887-4653-9e9f-710ec15820e3","http://resolver.tudelft.nl/uuid:96ba8048-e887-4653-9e9f-710ec15820e3","Greedy Gossip Algorithm with Synchronous Communication for Wireless Sensor Networks","Zhang, J. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems); Heusdens, R. (TU Delft Signal Processing Systems)","Glineur, F. (editor); Louveaux, J. (editor)","2016","Randomized gossip (RG) based distributed averaging has been popular for wireless sensor networks (WSNs) in multiple areas. With RG, randomly two adjacent nodes are selected to communicate and exchange information iteratively until consensus is reached. One way to improve the convergence speed of RG is to use greedy gossip with eavesdropping (GGE). Instead of randomly selecting two nodes, GGE selects the two nodes based on the maximum difference between nodes in each iteration. To further increase the convergence speed in terms of transmissions, we present in this paper a synchronous version of the GGE algorithm, called greedy gossip with synchronous communication (GGwSC). The presented algorithm allows multiple node pairs to exchange their values synchronously. Because of the selection criterion of the maximum difference between the values at the nodes, there is at least one node pair with different information, such that the relative error must be reduced after each iteration. The convergence rate in terms of the number of transmissions is demonstrated to be improved compared to GGE. Experimental results validate that the proposed GGwSC is quite e↵ective for the random geometric graph (RGG) as well as for several other special network topologies.","","en","conference paper","Université Catholique de Louvain, Belgium","","","","","","","","","","Signal Processing Systems","","",""
"uuid:b1686764-5a01-42cb-8d35-1b0b575a5f46","http://resolver.tudelft.nl/uuid:b1686764-5a01-42cb-8d35-1b0b575a5f46","A rapid method of estimating the solar irradiance spectra with potential lighting applications","Gao, Y. (TU Delft Photovoltaic Materials and Devices; TU Delft Beijing Delft Institute of Intelligent Science and Technology; State Key Laboratory of Solid State Lighting); Dong, J. (TU Delft Beijing Delft Institute of Intelligent Science and Technology; State Key Laboratory of Solid State Lighting); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Zhang, G (TU Delft Electronic Components, Technology and Materials)","","2016","Diverse solar irradiance spectra can be observed under different conditions of time, date, location, weather, etc. Since the solar irradiance spectrum is required by certain scientific and engineering applications, obtaining accurate spectral data is essential. Measurements by spectrophotometers are able to achieve accurate real-time data with high resolution, but at high expense. While in some engineering applications, the requirements on accuracy and resolution are much lower than that in a typical scientific research. Therefore, a rapid method of estimating the solar spectrum is proposed based on an available spectral model in this paper. In order to achieve fast estimation, we simplify the input parameters of this model into five key inputs, including latitude and longitude, altitude, date and time, sky and ground type. The first three parameters are easy to obtain from GPS and the internet. Sky and ground types include common types of sky and ground, which can be input manually or processed automatically by analyzing a digital image of target sky or ground. The automatic input is realized through dominant color extraction or by training an artificial neural network. Results show that the proposed rapid method can generate different spectral power distributions based on distinct input conditions. Two device frameworks are also proposed to implement the rapid method, which is applicable to many fields. LED lighting is one of the most prominent applications. Users can easily share local sunlight with each other through an APP in mobile phones","","en","conference paper","","","","","","","","2017-12-01","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:fe3c7f00-927e-49cc-9161-456bc50079c5","http://resolver.tudelft.nl/uuid:fe3c7f00-927e-49cc-9161-456bc50079c5","Modeling Spatial Contacts for Epidemic Prediction in a Large-Scale Artificial City","Zhang, M. (TU Delft Policy Analysis); Verbraeck, A. (TU Delft Policy Analysis); Meng, Rongqing (National University of Defense Technology); Chen, Bin (National University of Defense Technology); Qiu, Xiaogang (National University of Defense Technology)","","2016","Spatial contacts among human beings are considered as one of the influential factors during the transmission of contagious diseases, such as influenza and tuberculosis. Therefore, representing and understanding spatial contacts plays an important role in epidemic modeling research. However, most current research only considers regular spatial contacts such as contacts at home/school/office, or they assume static social networks for modeling social contacts and omit travel contacts in their epidemic models. This paper describes a way to model relatively complete spatial contacts in the context of a large-scale artificial city, which combines different data sources to construct an agent-based model of the city Beijing. In this model, agents have regular contacts when executing their daily activity patterns which is similar to other large-scale agent-based epidemic models. Besides, a microscopic public transportation component is included in the artificial city to model public travel contacts. Moreover, social contacts also emerge in this model due to the dynamic generation of social networks. To systematically examine the effect of the relatively complete spatial contacts have for epidemic prediction in the artificial city, a pandemic influenza disease progression model was implemented in this artificial city. The simulation results validated the model. In addition, the way to model spatial contacts in this paper shows potential not only for improving comprehension of disease spread dynamics, but also for use in other social systems, such as public transportation systems and city level evacuation planning.","Spatial Contacts; Agent-Based Modeling; Artificial City","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:927678db-9839-492e-97a2-55821ff9828d","http://resolver.tudelft.nl/uuid:927678db-9839-492e-97a2-55821ff9828d","Improved ADRC for a Maglev planar motor with a concentric winding structure","Kou, Baoquan (Harbin Institute of Technology); Xing, Feng (Harbin Institute of Technology); Zhang, Chaoning; Zhang, L. (TU Delft Pattern Recognition and Bioinformatics; School of Electrical Engineering and Automation; Harbin Institute of Technology); Zhou, Yiheng (Harbin Institute of Technology); Wang, Tiecheng (Harbin Institute of Technology)","","2016","In the semiconductor industry, positioning accuracy and acceleration are critical parameters. To improve the acceleration speed of a motor, this paper proposes the moving-coil maglev planar motor with a concentric winding structure. The coordinate system has been built for the multiple degrees of freedom movement system. The Lorenz force method has been applied to solve its electromagnetic model. The real-time solving of the generalized inverse matrix of factors can realize the decoupling of the winding current. When the maglev height changes, the electromagnetic force and torque decreases exponentially with the increase of the air gap. To decrease the influence on control system performance by the internal model change and the external disturbance, this paper proposes an improved active disturbance rejection control (ADRC) to design the controller. This new controller overcomes the jitter phenomenon due to the turning point for the traditional ADRC, thus it is more suitable for the maglev control system. The comparison between ADRC and the improved ADRC has been conducted, the result of which shows the improved ADRC has greater robustness.","Concentric winding; Improved ADRC; Maglev planar motor; Robustness","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:dc6e2971-2d99-4741-89de-892a2687f242","http://resolver.tudelft.nl/uuid:dc6e2971-2d99-4741-89de-892a2687f242","Is “Morphodynamic Equilibrium” an oxymoron?","Zhou, Zeng; Coco, Giovanni; Townend, IH; Olabarrieta, Maitane; van der Wegen, M.; Gong, Zheng; D’Alpaos, Andrea; Gao, Shu; Jaffe, Bruce; Gelfenbaum, Guy; He, Qing; Wang, Yaping; Lanzoni, Stefano; Wang, Zhengbing (TU Delft Coastal Engineering); Winterwerp, J.C. (TU Delft Environmental Fluid Mechanics); Zhang, Changkuan","","2016","Morphodynamic equilibrium is a widely adopted yet elusive concept in the field of geomorphology of coasts, rivers and estuaries. Based on the Exner equation, an expression of mass conservation of sediment, we distinguish three types of equilibrium defined as static and dynamic, of which two different types exist. Other expressions such as statistical and quasi-equilibrium which do not strictly satisfy the Exner conditions are also acknowledged for their practical use. The choice of a temporal scale is imperative to analyse the type of equilibrium. We discuss the difference between morphodynamic equilibrium in the ""real world"" (nature) and the ""virtual world"" (model). Modelling studies rely on simplifications of the real world and lead to understanding of process interactions. A variety of factors affect the use of virtual-world predictions in the real world (e.g., variability in environmental drivers and variability in the setting) so that the concept of morphodynamic equilibrium should be mathematically unequivocal in the virtual world and interpreted over the appropriate spatial and temporal scale in the real world. We draw examples from estuarine settings which are subject to various governing factors which broadly include hydrodynamics, sedimentology and landscape setting. Following the traditional ""tide-wave-river"" ternary diagram, we summarize studies todate that explore the ""virtual world"", discuss the type of equilibrium reached and how it relates to the real world.","","en","journal article","","","","","","","","2019-01-01","","","Coastal Engineering","","",""
"uuid:4d601160-6fda-48eb-ac4e-994ba69301f8","http://resolver.tudelft.nl/uuid:4d601160-6fda-48eb-ac4e-994ba69301f8","Conceptualization of a real-time information processing platform for context-aware informing cyber-physical systems","Li, Y. (TU Delft Cyber-Physical Systems; Harbin Institute of Technology); Horvath, I. (TU Delft Cyber-Physical Systems); Rusak, Z. (TU Delft Cyber-Physical Systems); van der Vegte, Wilhelm Frederik (TU Delft Cyber-Physical Systems); Zhang, Guangjun (Harbin Institute of Technology)","Horvath, I. (editor); Pernot, J.-P. (editor); Rusák, Z. (editor)","2016","Informing cyber-physical systems (I-CPSs) are designed to accomplish sensing, reasoning and informing activities in dynamic context. In order to
simplify and accelerate the design and implementation process of multiple context-aware ICPSs, we are developing an information sensing,
computing and actuating (SCA) platform that can be used as a central module of these systems. This paper presents the concept of a SCA platform. The
functionality of the platform includes development of context-dependent strategies to adapt the sensing, reasoning and informing behaviors of the platform to various dynamic contexts. There are four constituents of the platform: (1) a generic kernel, (2) built-in elements, (3) add-on components, and (4) system interfaces. The paper also discusses both the internal and external integration mechanism of the SCA platform, which can be customized according to the needs of specific I-CPS applications by extending the generic kernel with various functional built-in elements and add-on components. The feasibility and
applicability of the platform have been tested through a case study: an indoor fire evacuation guiding system. The proposed platform provides a useful package of functionalities, alleviates the burden of developers, and speeds up the development of applications specific context-aware I-CPS.","Informing cyber-physical systems; SCA platform; context aware computing; applications development","en","conference paper","Organizing Committee of TMCE 2016","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2017-12-31","","","Cyber-Physical Systems","","",""
"uuid:73a9f7f7-a22d-4ef4-8ccd-7003d5bc1772","http://resolver.tudelft.nl/uuid:73a9f7f7-a22d-4ef4-8ccd-7003d5bc1772","3D interconnect technology based on low temperature copper nanoparticle sintering","Zhang, B. (TU Delft Electronic Components, Technology and Materials); Carisey, Y.C.P. (TU Delft Electronic Components, Technology and Materials); Damian, A.; Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft EKL Processing)","Bi, Keyun (editor); Liu, Sheng (editor); Zhou, Shengjun (editor)","2016","We explore a methodology for patterned copper nanoparticle paste for 3D interconnect applications in wafer to wafer (W2W) bonding. A novel fine pitch thermal compression bonding process (sintering) with coated copper nanoparticle paste was developed. Most of the particle size is between 10-30 nm. Lithographically defined stencil printing using photoresist and lift-off was used to apply and pattern the paste. Variations in sintering process parameters, such as: pressure, geometry and ambient atmosphere, were studied. Compared to Sn-Ag-Cu (SAC) microsolder bumps, we achieved better interconnect resistivity after sintering at 260 °C for 10 min, in a 700 mBar hydrogen forming gas (H2/N2) environment. The electrical resistivity was 7.84 ± 1.45 μΩ·cm, which is about 4.6 times that of bulk copper. In addition, metallic nanoparticle interconnect porosity can influence the electrical properties of the interconnect. Consequently, we investigated the porosity effect on conductivity using finite element simulation. A linear relationship between the equivalent conductivity and particle overlapping ratio was found.","Interconnect; 3D packaging; opper nanoparticle paste; low-temperature sintering","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9102d990-179f-47bd-91a7-77c2db754d00","http://resolver.tudelft.nl/uuid:9102d990-179f-47bd-91a7-77c2db754d00","Combination of Advanced Optical Modelling with Electrical Simulation for Performance Evaluation of Practical 4-terminal Perovskite/c-Si Tandem Modules","Zhang, Dong (ECN-Solliance, High Tech Campus 21); Verhees, Wiljan (ECN-Solliance, High Tech Campus 21); Dörenkämper, Maarten (ECN-Solliance, High Tech Campus 21); Qiu, Weiming (IMEC-Solliance); Bakker, N.J. (ECN-Solliance, High Tech Campus 21); Gutjahr, Astrid (ECN-Solliance, High Tech Campus 21); Veenstra, Sjoerd (ECN-Solliance, High Tech Campus 21); Gehlhaar, Robert (IMEC-Solliance); Paetzold, Ulrich W. (IMEC-Solliance); Soppe, Wim (ECN-Solliance, High Tech Campus 21); Romijn, Ingrid (ECN-Solliance, High Tech Campus 21); Geerligs, LJ (ECN-Solliance, High Tech Campus 21); Aernouts, Tom (IMEC-Solliance); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN-Solliance, High Tech Campus 21)","","2016","The perovskite solar cell is considered a promising candidate as the top cell for high-efficiency tandem devices with crystalline silicon (c-Si) bottom cells, contributing to the cost reduction of photovoltaic energy. In this contribution, a simulation method, involving optical and electrical modelling, is established to calculate the performance of 4-terminal (4T) perovskite/c-Si tandem devices on a mini-module level. Optical and electrical characterization of perovskite and c-Si solar cells are carried out to verify the simulation parameters. With our method, the influence of transparent conductive oxide (TCO) layer thickness of perovskite top cells on the performance of tandem mini-modules is investigated in case of both tin-doped indium oxide (ITO) and hydrogen-doped indium oxide (IO:H). The investigation shows that optimization of TCO layer thickness and replacement of conventional ITO with highly transparent IO:H can lead to an absolute efficiency increase of about 1%. Finally, a practical assessment of the efficiency potential for the 4T perovskite/c-Si tandem mini-module is carried out, indicating that with a relatively simple 4T tandem module structure the efficiency of a single-junction c-Si mini-module (19.3%) can be improved by absolute 4.5%.","4-terminal; hybrid tandem; perovskite solar cell; simulation; transparent conductive oxide","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:2a30fbba-fd5d-40de-9630-1f72ee874711","http://resolver.tudelft.nl/uuid:2a30fbba-fd5d-40de-9630-1f72ee874711","Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching","Sokolovskij, R. (TU Delft Beijing Delft Institute of Intelligent Science and Technology; TU Delft Electronic Components, Technology and Materials); Sun, J. (Beijing Research Center); Santagata, F. (Peking University; Guangdong Dongguan Quality Supervision Testing Center); Iervolino, E. (Peking University; Guangdong Dongguan Quality Supervision Testing Center); Li, S. (Peking University); Zhang, G.Y. (Peking University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2016","A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported cyclic oxidation-based GaN etching obtained very slow etching rate (∼0.38nm/cycle), limited by oxidation depth. The proposed approach allows fine control of the oxidation enabling the formation of accurately controlled recess of very thin (20∼30nm) barrier layers. With optimized power settings, etch rates from ∼0.6 to ∼11nm/cycle were obtained. AFM results did not show any increase in surface roughness after etching, indicating that surface quality of the etched layer was not affected by the etching process.","AlGaN/GaN; cyclic etch; gate recess; HEMT; HEMT-sensor; ICP-RIE; plasma oxidation; semiconductor sensor","en","journal article","","","","","","","","","","","Beijing Delft Institute of Intelligent Science and Technology","","",""
"uuid:fcaf327a-0997-48b8-98ec-c0291711a2c9","http://resolver.tudelft.nl/uuid:fcaf327a-0997-48b8-98ec-c0291711a2c9","Autonomous filling of grain-boundary cavities during creep loading in Fe-Mo alloys","Zhang, S. (TU Delft RST/Fundamental Aspects of Materials and Energy); Fang, H. (TU Delft Novel Aerospace Materials); Gramsma, M. E.; Kwakernaak, C. (TU Delft (OLD) MSE-1); Sloof, W.G. (TU Delft (OLD) MSE-1); Tichelaar, F.D. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2016","We have investigated the autonomous repair of creep damage by site-selective precipitation in a binary Fe-Mo alloy (6.2 wt pct Mo) during constant-stress creep tests at temperatures of 813 K, 823 K, and 838 K (540 °C, 550 °C, and 565 °C). Scanning electron microscopy studies on the morphology of the creep-failed samples reveal irregularly formed deposits that show a close spatial correlation with the creep cavities, indicating the filling of creep cavities at grain boundaries by precipitation of the Fe2Mo Laves phase. Complementary transmission electron microscopy and atom probe tomography have been used to characterize the precipitation mechanism and the segregation at grain boundaries in detail.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:5b8854b6-d9c6-457a-9cbe-05fff5942101","http://resolver.tudelft.nl/uuid:5b8854b6-d9c6-457a-9cbe-05fff5942101","Forecasting the Impacts of Silver and Bighead Carp on the Lake Erie Food Web","Zhang, Hongyan (University of Michigan); Rutherford, Edward S. (Great Lakes Environmental Research Laboratory); Mason, Doran M. (Great Lakes Environmental Research Laboratory); Breck, Jason T. (University of Wisconsin-Madison); Wittmann, Marion E. (University of Notre Dame; University of Nevada); Cooke, R.M. (TU Delft Applied Probability; Resources for the Future; University of Strathclyde); Lodge, DM (University of Notre Dame); Rothlisberger, JD (US Forest Service Research); Zhu, Xinhua (University Crescent); Johnson, Timothy B. (Ontario Ministry of Natural Resources)","","2016","Nonindigenous bigheaded carps (Bighead Carp Hypophthalmichthys nobilis and Silver Carp H. molitrix; hereafter, “Asian carps” [AC]) threaten to invade and disrupt food webs and fisheries in the Laurentian Great Lakes through their high consumption of plankton. To quantify the potential effects of AC on the food web in Lake Erie, we developed an Ecopath with Ecosim (EwE) food web model and simulated four AC diet composition scenarios (high, low, and no detritus and low detritus with Walleye Sander vitreus and Yellow Perch Perca flavescens larvae) and two nutrient load scenarios (the 1999 baseline load and 2× the baseline [HP]). We quantified the uncertainty of the potential AC effects by coupling the EwE model with estimates of parameter uncertainty in AC production, consumption, and predator diets obtained using structured expert judgment. Our model projected mean ± SD AC equilibrium biomass ranging from 52 ± 34 to 104 ± 75 kg/ha under the different scenarios. Relative to baseline simulations without AC, AC invasion under all detrital diet scenarios decreased the biomass of most fish and zooplankton groups. The effects of AC in the HP scenario were similar to those in the detrital diet scenarios except that the biomasses of most Walleye and Yellow Perch groups were greater under HP because these fishes were buffered from competition with AC by increased productivity at lower trophic levels. Asian carp predation on Walleye and Yellow Perch larvae caused biomass declines among all Walleye and Yellow Perch groups. Large food web impacts of AC occurred in only 2% of the simulations, where AC biomass exceeded 200 kg/ha, resulting in biomass declines of zooplankton and planktivorous fish near the levels observed in the Illinois River. Our findings suggest that AC would affect Lake Erie's food web by competing with other planktivorous fishes and by providing additional prey for piscivores. Our methods provide a novel approach for including uncertainty into forecasts of invasive species' impacts on aquatic food webs. Received December 6, 2014; accepted July 15, 2015","","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:afc9b822-44b4-4fd4-b66c-12e7ab15b17d","http://resolver.tudelft.nl/uuid:afc9b822-44b4-4fd4-b66c-12e7ab15b17d","Designing pixel parallel localized drivers of a 3D 1Gfps image sensor family","Zhang, C.; Dao, V.T.S.; Etoh, T.G.; Shimonomura, K.; Charbon, E.","","2015","","","en","conference paper","International Image Sensor Society","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:e1c21ba5-76a0-4583-8535-c216817745ff","http://resolver.tudelft.nl/uuid:e1c21ba5-76a0-4583-8535-c216817745ff","Direct view on the phase evolution in individual LiFePO4 nanoparticles during Li-ion battery cycling","Zhang, X.; Van Hulzen, M.; Singh, D.P.; Brownrigg, A.W.; Wright, J.P.; Van Dijk, N.H.; Wagemaker, M.","","2015","Phase transitions in Li-ion electrode materials during (dis)charge are decisive for battery performance, limiting high-rate capabilities and playing a crucial role in the cycle life of Li-ion batteries. However, the difficulty to probe the phase nucleation and growth in individual grains is hindering fundamental understanding and progress. Here we use synchrotron microbeam diffraction to disclose the cycling rate-dependent phase transition mechanism within individual particles of LiFePO4, a key Li-ion electrode material. At low (dis)charge rates well-defined nanometer thin plate-shaped domains co-exist and transform much slower and concurrent as compared with the commonly assumed mosaic transformation mechanism. As the (dis)charge rate increases phase boundaries become diffuse speeding up the transformation rates of individual grains. Direct observation of the transformation of individual grains reveals that local current densities significantly differ from what has previously been assumed, giving new insights in the working of Li-ion battery electrodes and their potential improvements.","","en","journal article","Macmillan Publishers Limited","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:511afcbd-84aa-40b1-a654-bf2c631075c8","http://resolver.tudelft.nl/uuid:511afcbd-84aa-40b1-a654-bf2c631075c8","SPENCER: A Socially Aware Service Robot for Passenger Guidance and Help in Busy Airports","Triebel, R.; Arras, K.; Alami, R.; Beyer, L.; Breuers, S.; Chatila, R.; Chetouani, M.; Cremers, D.; Evers, V.; Fiore, M.; Hung, H.S.; Islas Ram¿rez, O.; Joosse, M.; Khambhaita, H.; Kucner, T.; Leibe, B.; Lilienthal, A.J.; Linder, T.; Lohse, M.; Magnusson, M.; Okal, B.; Palmieri, L.; Rafi, U.; van Rooij, M.; Zhang, L.","","2015","We present an ample description of a socially compliant mobile robotic platform, which is developed in the EU-funded project SPENCER. The purpose of this robot is to assist, inform and guide passengers in large and busy airports. One particular aim is to bring travellers of connecting flights conveniently and efficiently from their arrival gate to the passport control. The uniqueness of the project stems from the strong demand of service robots for this application with a large potential impact for the aviation industry on one side, and on the other side from the scientific advancements in social robotics, brought forward and achieved in SPENCER. The main contributions of SPENCER are novel methods to perceive, learn, and model human social behavior and to use this knowledge to plan appropriate actions in realtime for mobile platforms. In this paper, we describe how the project advances the fields of detection and tracking of individuals and groups, recognition of human social relations and activities, normative human behavior learning, socially-aware task and motion planning, learning socially annotated maps, and conducting empirical experiments to assess socio-psychological effects of normative robot behaviors.","","en","conference paper","University of Toronto","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:6bc9b1c0-19e9-4b53-8133-d5634800bcf8","http://resolver.tudelft.nl/uuid:6bc9b1c0-19e9-4b53-8133-d5634800bcf8","Cluster Expansions for Thermodynamics and Kinetics of Multicomponent Alloys","Zhang, X.; Sluiter, M.H.F.","","2015","Cluster expansions have proven a very useful tool to model thermodynamics and kinetics of substitutional alloys in metallic, ionic, and even covalently bonded systems. Cluster expansions are usually obtained with the structure inversion method in which the energies, or other relevant property, of a set of structures are used to obtain expansion coefficients. The expansion coefficients are multipliers of correlation functions which pertain to clusters of sites on the parent lattice. There are significant practical issues associated with obtaining a cluster expansion, such as selecting which structures and especially which correlation functions are required for an adequate description of the energy. While these issues are significant for binary alloys, they become much more daunting when dealing with multicomponent alloys. Moreover, oftentimes interest is not limited to the energetics of the thermodynamic equilibrium state, but the evolution of quenched alloys with time is just as important. The treatment of diffusion within the context of cluster expansions is then another challenge. The article describes a formal method for utilizing cluster expansions for transition states as occur during vacancy mediated diffusion in substitutional alloys. The methods are illustrated with some applications to the prediction of initial coherent precipitates in Al-Cu and Al-Mg-Si alloys.","aluminum alloys; cluster expansion; diffusion modeling; kinetics; multicomponent alloys; thermodynamics","en","journal article","Springer","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:67038ccf-1341-4c95-9556-59fb7afa2be4","http://resolver.tudelft.nl/uuid:67038ccf-1341-4c95-9556-59fb7afa2be4","In operando phase transitions and Lithium ion transport in LiFePO4","Zhang, X.","Mulder, F.M. (promotor); Wagemaker, M. (promotor)","2015","Chemical energy storage in Li-ion batteries is a key technology for the future renewable society. Their energy and power density is largely determined by electrode materials that are able to host lithium in their crystal structure. Aiming at faster and more efficient energy storage, one of the key objectives in Li-ion batteries is to improve the charge transport through the complex heterogeneous electrode morphology. The complex transport phenomena and phase behavior are timely topics and subject of many studies and intense debates. Remarkably, our current knowledge is mostly based on ex-situ techniques or techniques that do not have sufficient time and space resolution to reveal the actual phase nucleation and growth in individual grains. On the electrode length scale the absence of experimental probes that allow direct observation of Li-ion transport in electrodes under realistic in-operando conditions hinders fundamental understanding and the development of rational strategies towards improved electrodes. For LiFePO4, a state of the art cathode material for today’s Li-ion batteries, such direct insights are of high fundamental and practical impact, potentially creating new perspectives in the working and improvements of electrode materials in general. This is the main object of this thesis, revealing the cycling rate dependent phase transition behavior and Li-ion transport throughout the electrodes in LiFePO4 under realistic in-operando conditions.","Li-ion battery; Phase transition; Synchrotron 2D-XRD; Neutron depth profiling (NDP); LiFePO4; In operando","en","doctoral thesis","","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:d6534414-b0fe-4b6b-af49-3d329f3326af","http://resolver.tudelft.nl/uuid:d6534414-b0fe-4b6b-af49-3d329f3326af","Institute of Poldering: Meadow Under Construction","Van Loon, F.D.; Pouderoijen, M.T.; Alberini, E.; Dijkstra, C.M.; Hagen, S.R.; De Jong, M.; Kiliço?lu, I.D.; Koukouvelou, A.; Mekel, M.L.; Schotting, K.; Shao, S.; Sun, X.; Terzi, O.; De Waal, W.; Van der Wal, I.A.; Zhang, B.","","2015","Booklet of the elective course AR0048 2014/2015 ‘Landscape Architecture ON site - being part of Oerol’, an elective course of the MSc2 - Chair of Landscape Architecture at the TU Delft.","landscape architecture; education; polder; climate change; meadow birds; Oerol; Terschelling","en","book","Chair of Landscape Architecture","","","","","","","","Architecture and The Built Environment","Urbanism","","","","53.385000, 5.320000"
"uuid:cd19a6d5-067d-413b-be63-73ccd1a88cfd","http://resolver.tudelft.nl/uuid:cd19a6d5-067d-413b-be63-73ccd1a88cfd","Perception and cognition of depth of field","Zhang, T.","Heynderickx, I. (promotor)","2015","A common way to present 3D materials to human observers nowadays is by stereoscopic displaying on 3D TVs or head-mounted displays such as the Oculus Rift. However, not everyone can see three-dimensional solid shape from stereoscopic viewing and the three-dimensional images remain two-dimensional pictures to them rather than solid shapes. Scientists and artists have spent a lot of effort in finding ways to dissolve pictorial space into visual space. In other words, they want to figure out how to create a sense of stereopsis in an observer when he or she is looking at non-stereo and stereo pictures. There are many monocular depth cues in addition to binocular disparity that can enhance depth perception, and these cues can therefore also create an impression of stereopsis when the viewing conditions are right. One of these depth cues is depth of field. Depth of field is defined as the distance range in which objects are perceived as sharp. Depth of field is a popular photographic technique that effectively makes the main subject in a picture appear sharp and the foreground and background blurred. The goal of this thesis is to understand the roles of depth of field in pictures from a relatively low perceptual level to a relatively high cognitive level. This thesis mainly reveals how depth of field influences the impression of stereopsis and how binocular disparity influences the perception of depth of field. I start the thesis with a study on the discrimination thresholds of depth of field. Then, a subjective study is reported in which I investigated the effects of depth of field on depth perception for binocular viewing. The next two studies address the roles of depth of field on a more cognitive level. The first of these two studies explored the effects of depth of field on change detection in pictures. The other one was conducted to evaluate how depth of field influences the aesthetic appeal and overall quality in photographs. Four subjective studies were conducted to achieve our goals. The cornerstone of the work described in this thesis is that humans are much more sensitive to changes in small depth of field than in large depth of field. A second important finding is that stereoscopic viewing does not significantly affect discrimination thresholds. Based on the discrimination thresholds that were measured in this study, I selected five levels of depth of field that can be well discriminated under stereo viewing conditions in the second study to explore the effects of depth of field on depth perception. I found that the presence of strong depth cues, in this case binocular disparity, weakens the effects of depth of field as a depth cue when depth of field is small. In contrast, when depth of field is not small, perceived depth decreases with increasing depth of field irrespective of whether binocular disparity is zero or not. With respect to the effects of depth of field on change detection, I found that depth of field directs viewers' attention similarly under both non-stereo and stereo viewing conditions. Depth of field does however weaken the effect of binocular disparity on change detection. In the final study, I found that there are no common rules on how to manipulate depth of field to make pictures more beautiful or have higher quality. The role of depth of field on aesthetic appeal and overall quality varies across content categories.","depth of field; depth perception; aesthetics; 3D; attention","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:e3f17eea-7364-4e89-bf20-9c0b09e4006f","http://resolver.tudelft.nl/uuid:e3f17eea-7364-4e89-bf20-9c0b09e4006f","Reliability and Lifetime Prediction of Remote Phosphor Plates in Solid-State Lighting Applications Using Accelerated Degradation Testing","Yazdan Mehr, M.; Van Driel, W.D.; Zhang, G.Q.","","2015","A methodology, based on accelerated degradation testing, is developed to predict the lifetime of remote phosphor plates used in solid-state lighting (SSL) applications. Both thermal stress and light intensity are used to accelerate degradation reaction in remote phosphor plates. A reliability model, based on the Eyring relationship, is also developed in which both acceleration factors (light intensity and temperature) are incorporated. Results show that the developed methodology leads to a significant decay of the luminous flux, correlated colour temperature (CCT) and chromatic properties of phosphor plates within a practically reasonable period of time. The combination of developed acceleration testing and a generalized Eyring equation-based reliability model is a very promising methodology which can be applied in the SSL industry.","LED; remote phosphor; light intensity; thermal ageing","en","journal article","Springer","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:a4f23cb4-a2c7-4b8c-8f38-6885ab0ebefa","http://resolver.tudelft.nl/uuid:a4f23cb4-a2c7-4b8c-8f38-6885ab0ebefa","Reliability and Lifetime Prediction of Remote Phosphor Plates in Solid-State Lighting Applications Using Accelerated Degradation Testing","Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2015","A methodology, based on accelerated degradation testing, is developed to predict the lifetime of remote phosphor plates used in solid-state lighting (SSL) applications. Both thermal stress and light intensity are used to accelerate degradation reaction in remote phosphor plates. A reliability model, based on the Eyring relationship, is also developed in which both acceleration factors (light intensity and temperature) are incorporated. Results show that the developed methodology leads to a significant decay of the luminous flux, correlated colour temperature (CCT) and chromatic properties of phosphor plates within a practically reasonable period of time. The combination of developed acceleration testing and a generalized Eyring equation-based reliability model is a very promising methodology which can be applied in the SSL industry.","LED; remote phosphor; light intensity; thermal ageing","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:031cb33e-fe98-4e57-ba90-8a7bc3e3262b","http://resolver.tudelft.nl/uuid:031cb33e-fe98-4e57-ba90-8a7bc3e3262b","Mechanical instability of monocrystalline and polycrystalline methane hydrates","Wu, J.; Ning, F.; Trinh, T.T.; Kjelstrup, S.; Vlugt, T.J.H.; He, J.; Skallerud, B.H.; Zhang, Z.","","2015","Despite observations of massive methane release and geohazards associated with gas hydrate instability in nature, as well as ductile flow accompanying hydrate dissociation in artificial polycrystalline methane hydrates in the laboratory, the destabilising mechanisms of gas hydrates under deformation and their grain-boundary structures have not yet been elucidated at the molecular level. Here we report direct molecular dynamics simulations of the material instability of monocrystalline and polycrystalline methane hydrates under mechanical loading. The results show dislocation-free brittle failure in monocrystalline hydrates and an unexpected crossover from strengthening to weakening in polycrystals. Upon uniaxial depressurisation, strain-induced hydrate dissociation accompanied by grain-boundary decohesion and sliding destabilises the polycrystals. In contrast, upon compression, appreciable solid-state structural transformation dominates the response. These findings provide molecular insight not only into the metastable structures of grain boundaries, but also into unusual ductile flow with hydrate dissociation as observed during macroscopic compression experiments.","earth sciences; materials science; geology and geophysics","en","journal article","Nature Publishing Group","","","","","","","","Mechanical, Maritime and Materials Engineering","Process and Energy","","","",""
"uuid:a444d969-7855-4e89-b685-d6e3f772fb65","http://resolver.tudelft.nl/uuid:a444d969-7855-4e89-b685-d6e3f772fb65","A plasmonic spanner for metal particle manipulation","Zhang, Y.; Shi, W.; Shen, Z.; Man, Z.; Min, C.; Shen, J.; Zhu, S.; Urbach, H.P.; Yuan, X.","","2015","Typically, metal particles are difficult to manipulate with conventional optical vortex (OV) tweezers, because of their strong absorption and scattering. However, it has been shown that the vortex field of surface plasmonic polaritons, called plasmonic vortex (PV), is capable of stable trapping and dynamic rotation of metal particles, especially those of mesoscopic and Mie size. To uncover the different physical mechanisms of OV and PV tweezers, we investigated the force distribution and trapping potential of metal particles. In OV tweezers the stronger scattering force causes a positive potential barrier that repels particles, whereas in PV tweezers the dominant gradient force contributes to a negative potential well, resulting in stably trapped particles. Compared with OV, the orbital angular momentum of PV produces an azimuthal scattering force that rotates the trapped particles with more precise radius and position. Our results demonstrate that PV tweezers are superior in manipulation of metal particles.","","en","journal article","Nature Publishers Group","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:02c9ef29-4afa-4cd6-8240-25d4aaa9b06f","http://resolver.tudelft.nl/uuid:02c9ef29-4afa-4cd6-8240-25d4aaa9b06f","Preliminary Assessment of Debris Flow Hazard in a Catchment under Extreme Condition","Gao, L.; Zhang, L.M.; Chen, H.X.","","2015","Due to hilly terrain and frequent heavy rainstorms, debris flow is one of the most common and catastrophic hazards in Hong Kong. To mitigate the debris flow risk, prediction of the magnitude and consequence of debris flow is essential. The objective of this paper is to simulate debris flow hazards in a study area in Hong Kong. Assessment of the surface geology is firstly conducted to ascertain the source materials. The physical parameters of the loose materials such as size, location, and soil type are investigated. The volume, sediment concentration and resistance parameters for debris flows are subsequently evaluated and adopted as the input for the debris flow simulation. Numerical debris flow simulation is then conducted according to the established parameters. The maximum flow depth and maximum flow velocity are calculated by solving the continuity equation, the momentum equation and a friction slope equation. Based on the simulation results, a debris flow hazard map as a function of both the maximum flow depth and the maximum flow velocity is finally produced.","Debris flow; hazard assessment; engineering geology; deposition","en","conference paper","","","","","","","","","","","","","",""
"uuid:db899304-5787-4294-9896-7f7740c108b0","http://resolver.tudelft.nl/uuid:db899304-5787-4294-9896-7f7740c108b0","Risk analysis on cascade breaching of the Tangjiashan landslide dam and two smaller downstream landslide dams","Zhu, Y.; Peng, M.; Shi, Z.; Zhang, L.","","2015","The 2008 Mw 7.9 Wenchuan earthquake triggered at least 257 landslide dams, most of which formed in series along rivers. The failure of an upstream dam could cause the failure of dams downstream one after another. The cascade dam breaching may result in sharp increase of flood and more serious damage downstream. This paper aims to analyze the risks on cascade breaching of the Tangjiashan landslide dam and two smaller downstream landslide dams, which were triggered by the Wenchuan earthquake. The paper first simulates the cascade breaching of these three landslide dams. A modified DABA model is applied for this purpose, in which the water-soil interactions are simulated with erosion and shallow water flow theory. A human risk analysis model, named HURAM, is applied to analyze the cascade breach risks of these three dams. Two scenarios, namely, the simulated real case and the case with the highest flood with overlapping effect, will be considered to show the characteristics of the cascade dam breaching risks. It is found that cascading dam breaching is very likely to form multiple-peak floods which may cause multi-round impact to the people downstream. The overlapping effect of cascading dam breaching would amplify the breach flood risk to the people downstream.","landslide dam; dam safety; dam failure; flood risk; soil erosion; risk analysis","en","conference paper","","","","","","","","","","","","","",""
"uuid:b0d1b7fd-fded-4e7b-89a9-fe069d75973c","http://resolver.tudelft.nl/uuid:b0d1b7fd-fded-4e7b-89a9-fe069d75973c","Characterization of multi-GNSS between-receiver differential code biases using zero and short baselines","Zhang, B.; Teunissen, P.J.G.","","2015","Care should be taken to minimize adverse impact of receiver differential code biases (DCBs) on global navigation satellite system (GNSS)-derived ionospheric parameters. It is therefore of importance to ascertain the intrinsic characteristics of receiver DCBs, preferably in the context of new-generation GNSS. In this contribution, we present a method that enables time-wise retrieval of between-receiver DCBs (BR-DCBs) from dual-frequency, code-only measurements collected by a pair of co-located receivers. This method is applicable to the US GPS as well as to a new set of GNSS constellations including the Chinese BeiDou, the European Galileo and the Japanese QZSS. With the use of this method, we determine the multi-GNSS BR-DCB time-wise estimates covering a time period of up to 2 years (January 2013–March 2015) with a 30-s time resolution for five receiver-pairs (four zero and one short baselines). For the BR-DCB time-wise estimates pertaining to an arbitrary receiver-pair and constellation, we demonstrate their promising intraday stability by means of statistical hypothesis testing. We also find that the BeiDou BR-DCB daily weighted average (DWA) estimates show a dependence on satellite type, in particular for receiver-pairs of mixed types. Finally, we demonstrate that long-term variability in BR-DCB DWA estimates can be closely associated with hardware temperature variations inside the receivers.","global navigation satellite system (GNSS); total electron content (TEC); between-receiver differential code bias (BR-DCB); BeiDou code inter-satellite-type-bias (ISTB)","en","journal article","Springer","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:48115f25-806a-41de-93e9-4552401933f2","http://resolver.tudelft.nl/uuid:48115f25-806a-41de-93e9-4552401933f2","Evaluation of Empirical Methods for Estimating Breaching Parameters of Dikes","Danka, J.; Zhang, L.","","2015","Dike breaching parameters are important to support dike flood risk management, and can be estimated rapidly using empirical models. Due to the lack of dike specific models, sometimes models for man-made dams were used without validation. This paper compares relevant factors of dike, man-made dam and landslide dam breaches. With the aid of two dike breaching cases, the prediction capabilities of different dike, man-made dam and landslide dam models are studied. It is clearly shown that the models for man-made dams and landslide dams should not be used for dike breaching analysis.","dike breaching; breaching parameters; empirical models; flood risk","en","conference paper","","","","","","","","","","","","","",""
"uuid:9150bbb7-6eaa-4177-b416-5704a695fc08","http://resolver.tudelft.nl/uuid:9150bbb7-6eaa-4177-b416-5704a695fc08","Quantitative Geotechnical Risk Management for Tunneling Projects in China","Huang, H.; Zhang, D.","","2015","To date, the tunneling in China is experiencing an age of fast development for decades. The potential risks behind the huge amount of construction and operation works in China was first formally realized and managed after 2002. The transition of risk assessment from a qualitative manner to a quantitative manner is on the way from the research gradually to the practice. This paper tries to share some experiences in the quantitative risk management for tunneling in China by introducing novel techniques and associated practical applications. The fuzzy fault tree analysis is used for hazard identification, the conditional Markov chain for probability analysis of soil spatial uncertainty, the quantitative vulnerability analysis for consequence evaluation and the field data based statistics for environmental impact risk analysis. All these novel methods have been validated successfully by applying into real cases shown in the paper. The dynamic feature of risk management is appreciated due to the different stages and scenarios of a tunnel project. The real-time monitoring technique developed using the LEDs and MEMS coupled with WSN could visualize the risk to the worker on site timely. The resilience analysis model to incorporate the high-impact low-chance risk for tunnel lining structure is introduced in the end of paper, which could assist the engineers to make the decision on performance recovery strategies once the tunnel goes through a significant disruption","Risk Visualization; Risk Management; Tunnel Project; Vulnerability; Resilience","en","conference paper","","","","","","","","","","","","","",""
"uuid:e8d29d59-6b2a-4b78-b929-09a8b3793eb0","http://resolver.tudelft.nl/uuid:e8d29d59-6b2a-4b78-b929-09a8b3793eb0","Interactive Evaluation of the Reliability of Engineered Slopes Utilising Multi-source Monitoring Information","Zhang, L.; Li, X.; Li, D.; Zhou, C.","","2015","Important engineered slopes are often heavily instrumented and their performance routinely monitored through these instruments. The evaluation of the safety of the slopes based on the monitored information is however a challenge. A systematic method is presented in this paper for evaluating the slope safety by combining multi-source monitoring information with underlying physical mechanisms. First, a Bayesian network with continuously distributed variables for a slope involving the factor of safety, multiple monitoring indexes and their influencing soil or rock model parameters is constructed. Then the prior probabilities for the Bayesian network are quantified considering model and parameter uncertainties. After that, multi-source monitoring information is used to update the probability distributions of the soil or rock model parameters and the factor of safety or failure probability using Markov Chain Monte Carlo simulation. Two rock slope examples are worked out to illustrate the proposed methodology. A non-intrusive stochastic numerical method is used in the reliability analysis in the examples.","reliability analysis; slope stability; excavation; field monitoring; uncertainty","en","conference paper","","","","","","","","","","","","","",""
"uuid:fcd7ff9d-4b82-4568-b3ce-9b0ca807fbb9","http://resolver.tudelft.nl/uuid:fcd7ff9d-4b82-4568-b3ce-9b0ca807fbb9","Parameter Identification of Consolidation Settlement Based on Multi-objective Optimization","Zheng, Y.F.; Zhang, L.L.; Zhang, J.","","2015","Due to the complexity of natural ground condition, consolidation settlement is usually difficult to predict. In this study, a Pareto multi-objective optimization based back analysis method for consolidation settlement is presented in this study. The model is a coupled flow and deformation model for unsaturated soil foundation which is implemented in the interactive multiphysics software environment COMSOL. A multi-objective optimization algorithm AMALGAM is adopted to identify soil parameters based on multiple types of measurements. A case history of a highway trial embankment is used to demonstrate the proposed back analysis method. The observed displacement and pore-water pressures are utilized simultaneously to estimate the mechanical and hydraulic parameters of the soil. The results show that the bi-objective Pareto front exhibits a sharp rectangular pattern. When only displacement is used in back analysis, the numerical model with optimized soil parameters cannot simulate pore-water pressure very well, and vice versa. However, the back analyzed soil parameters of the compromise solution from the bi-objective back analysis can reasonably simulate both the displacement and pore-water pressure and predict the settlement well.","back-analysis; consolidation; settlement; multi-objective; optimization","en","conference paper","","","","","","","","","","","","","",""
"uuid:807c63a4-dc79-48d9-8b4d-4561f7c3a8d9","http://resolver.tudelft.nl/uuid:807c63a4-dc79-48d9-8b4d-4561f7c3a8d9","Scale of Fluctuation for Geotechnical Probabilistic Analysis","Nie, X.; Zhang, J.; Huang, H.; Liu, Z.; Lacasse, S.","","2015","In the past few years, random field theory has been increasingly used to model the inherent soil variability. The scale of fluctuation is one of the important parameters describing a stationary random field. In this study, the factors affecting an accurate estimation of the scale of fluctuation were studied with numerical experiments to show how a proper sampling strategy can help improve the estimate of scale of fluctuation. Hypothetical data sets were generated from random field theory. Data were then sampled for different sampling strategies. The scale of fluctuation estimated from the sampling programs were compared with the predefined scale of fluctuation. The accuracy with which one can estimate scale of fluctuation depends on both the sampling intensity and extent of the sampling range. For the numerical example in this study, the sampling interval should be close enough such that 10 samples are measured within one scale of fluctuation, and the distance covered by the sampling should cover at least 100 scales of fluctuation.","random field; scale of fluctuation; spatial variability; parameter estimation; sampling strategy","en","conference paper","","","","","","","","","","","","","",""
"uuid:654d5558-f1da-47ab-b7f2-a1f5a417f82f","http://resolver.tudelft.nl/uuid:654d5558-f1da-47ab-b7f2-a1f5a417f82f","Uncertainty Analysis and Risk Management of Underground Cavern Group at Jinping II Hydropower Station","Zhang, Y.-J.; Feng, X.-T.; Jiang, Q.","","2015","The epistemic uncertainty and aleatory uncertainty caused by the geology condition and construction method make the surrounding rock stability evaluation of underground cavern group difficult. The first may be reduced with more field investigation, tests or monitoring, but the later can not be avoided completely. So the risk analysis method can be used to evaluate the cavern group stability. In this paper, based on the large hydropower underground cavern group database, the risk management stages were divided into two main stages: initial risk management and dynamic and final risk management. For the first stage, the assessment and mitigation methods of overall risk and local risk before the construction of hydropower underground cavern group were suggested. And the dynamic risk was assessed with the fuzzy mathematical method and mitigated during the construction of each layer of cavern according to the revealed geological conditions and actual behaviors of surrounding rock after excavated. Finally, one layer of Jingping II Hydropower Station was analyzed with the proposed method.","hydropower underground cavern group; uncertainty analysis; risk assessment; risk mitigation","en","conference paper","","","","","","","","","","","","","",""
"uuid:def27963-01b4-42ef-9b22-f461743e3493","http://resolver.tudelft.nl/uuid:def27963-01b4-42ef-9b22-f461743e3493","Stability Analysis of Unsaturated Soil Slope Considering the Variability of Soil-water Characteristic Curve","Zhang, L.; Yan, W.M.","","2015","Soil slopes are generally unsaturated in which the soil-water characteristic curve (SWCC) plays an important role in its hydro-mechanical response. The commonly used Mohr-Coulomb failure criterion in saturated soil mechanics could be extended to take SWCC into account which is then able to determine the shear strength of unsaturated soil. Like many other soil properties, spatial variation of SWCC within a soil domain is expected. It therefore gives a spectrum of varying shear strength across the unsaturated soil slope. In this study, the effect of this spatial variability on slope stability will be investigated. As a first trial, the true cohesion, friction angle and degree of saturation of the unsaturated ground are assumed to be deterministic. SWCC of the soil is described by the van Genuchten model parameters, which are assumed to follow a prescribed probability density function. Therefore, a random field of apparent cohesion due to unsaturation can then be generated using the Cholesky decomposition method. The necessary statistical information for the random fields could be obtained by the Monte Carlo approach based the varied SWCC. The slope stability analysis is carried out using the finite element analysis method. For a given saturation degree, thousands of realizations of the random fields will be generated and the probability of failure is then evaluated. The relationship between the degree of saturation and probability of failure will be examined. Besides, the influence of correlation length of soil shear strength on the probability of failure will also be analyzed.","SWCC; uncertainty; random field; slope stability; spatial variability","en","conference paper","","","","","","","","","","","","","",""
"uuid:e6ef89e4-e601-4e35-ba2f-86d69bf2f17e","http://resolver.tudelft.nl/uuid:e6ef89e4-e601-4e35-ba2f-86d69bf2f17e","Assessment of Risks of Regional Shallow Slope Failures","Chen, H.X.; Zhang, L.M.","","2015","Shallow slope failures are one of the most frequent geological hazards in mountainous areas, which pose great danger to people and properties in affected areas. This paper presents a cell-based platform for quantitative risk assessment for shallow slope failures at a regional scale. There are five components in this platform; namely, a digital terrain module, a spatial rainfall distribution module, a slope stability and reliability evaluation module, a movement prediction module, and a risk assessment module. The locations and volumes of the slope failures are estimated using the slope stability and reliability evaluation module. The movement prediction module is used to predict the potential movement traces of the detached material, which moves along the steepest path. The risks of the slope failures are finally assessed using the risk assessment module, which can be used as an indicator for early warning. The vulnerability of a person to slope failure is determined by the volume of slope failure and angle of reach. The platform is applied to a 164.5 km2 hilly terrain in the Wenchuan earthquake zone to test its performance in quantitative risk assessment for regional shallow slope failures. The platform evaluates the risks of shallow slope failures at a regional scale efficiently.","Wenchuan earthquake; landslide; slope failure; runout distance; risk assessment","en","conference paper","","","","","","","","","","","","","",""
"uuid:a9eecdf0-d64a-4985-b920-4ba1768a245f","http://resolver.tudelft.nl/uuid:a9eecdf0-d64a-4985-b920-4ba1768a245f","Autonomous Repair Mechanism of Creep Damage in Fe-Au and Fe-Au-B-N Alloys","Zhang, S.; Kwakernaak, C.; Tichelaar, F.D.; Sloof, W.G.; Kuzmina, M.; Herbig, M.; Raabe, D.; Brück, E.; Van der Zwaag, S.; Van Dijk, N.H.","","2015","The autonomous repair mechanism of creep cavitation during high-temperature deformation has been investigated in Fe-Au and Fe-Au-B-N alloys. Combined electron-microscopy techniques and atom probe tomography reveal how the improved creep properties result from Au precipitation within the creep cavities, preferentially formed on grain boundaries oriented perpendicular to the applied stress. The selective precipitation of Au atoms at the free creep cavity surface results in pore filling, and thereby, autonomous repair of the creep damage. The large difference in atomic size between the Au and Fe strongly hampers the nucleation of precipitates in the matrix. As a result, the matrix acts as a reservoir for the supersaturated solute until damage occurs. Grain boundaries and dislocations are found to act as fast transport routes for solute gold from the matrix to the creep cavities. The mechanism responsible for the self-healing can be characterized by a simple model for cavity growth and cavity filling.","","en","journal article","Springer","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:6afa6cf3-f9b1-4efd-af6d-a2bafe1a3678","http://resolver.tudelft.nl/uuid:6afa6cf3-f9b1-4efd-af6d-a2bafe1a3678","Integrated isotope-assisted metabolomics and 13C metabolic flux analysis reveals metabolic flux redistribution for high glucoamylase production by Aspergillus niger","Lu, Hongzhong (East China University of Science and Technology); Liu, X. (TU Delft OLD BT/Cell Systems Engineering; East China University of Science and Technology); Huang, Mingzhi (East China University of Science and Technology); Xia, Jianye (East China University of Science and Technology); Chu, Ju (East China University of Science and Technology); Zhuang, Yingping (East China University of Science and Technology); Zhang, Siliang (East China University of Science and Technology); Noorman, H.J. (TU Delft BT/Bioprocess Engineering; DSM)","","2015","Background: Aspergillus niger is widely used for enzyme production and achievement of high enzyme production depends on the comprehensive understanding of cell's metabolic regulation mechanisms. Results: In this paper, we investigate the metabolic differences and regulation mechanisms between a high glucoamylase-producing strain A. niger DS03043 and its wild-type parent strain A. niger CBS513.88 via an integrated isotope-assisted metabolomics and 13C metabolic flux analysis approach. We found that A. niger DS03043 had higher cell growth, glucose uptake, and glucoamylase production rates but lower oxalic acid and citric acid secretion rates. In response to above phenotype changes, A. niger DS03043 was characterized by an increased carbon flux directed to the oxidative pentose phosphate pathway in contrast to reduced flux through TCA cycle, which were confirmed by consistent changes in pool sizes of metabolites. A higher ratio of ATP over AMP in the high producing strain might contribute to the increase in the PP pathway flux as glucosephosphate isomerase was inhibited at higher ATP concentrations. A. niger CBS513.88, however, was in a higher redox state due to the imbalance of NADH regeneration and consumption, resulting in the secretion of oxalic acid and citric acid, as well as the accumulation of intracellular OAA and PEP, which may in turn result in the decrease in the glucose uptake rate. Conclusions: The application of integrated metabolomics and 13C metabolic flux analysis highlights the regulation mechanisms of energy and redox metabolism on flux redistribution in A. niger. Graphical abstract An integrated isotope-assisted metabolomics and 13C metabolic flux analysis was was firstly systematically performed in A. niger. In response to enzyme production, the metabolic flux in A. niger DS03043 (high-producing) was redistributed, characterized by an increased carbon flux directed to the oxidative pentose phosphate pathway as well as an increased pool size of pentose. The consistency in 13C metabolic flux analysis and metabolites quantification indicated that an imbalance of NADH formation and consumption led to the accumulation and secretion of organic acids in A. niger CBS513.88 (wild-type)","13C metabolic flux analysis; Aspergillus niger; Cofactor metabolism; Glucoamylase; Metabolomics","en","journal article","","","","","","","","","","","OLD BT/Cell Systems Engineering","","",""
"uuid:b2745f8b-de7d-409e-85f5-c08b50055eba","http://resolver.tudelft.nl/uuid:b2745f8b-de7d-409e-85f5-c08b50055eba","Preferential concentration of particles in compressible turbulence","Zhang, Q.; Liu, H.; Xiao, Z.","Zhang, Q. (author); Liu, H. (author); Xiao, Z. (author)","2015","The behavior of particles in compressible turbulence has been seldom investigated to date despite its importance in many natural and industrial flows. Direct numerical simulations of particle-laden compressible isotropic turbulence are performed to study the preferential concentration of particles and the underling mechanisms. It turns out that heavy particles tend to concentrate in regions of low enstrophy and high fluid density (i.e, strain regions between vortex rings), especially the particles of Kolmogorov scale, which show the largest number density. Due to the compressibility, fluid particles do not distribute uniformly as in incompressible case, but show a tendency to bunch up in high density zones. The preliminary result might give some insights into compressible turbulent transport, dispersion and mixing as well as the subgrid-scale modeling for large-eddy simulation of particle-laden compressible flows.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:6dd35bc9-4bb1-4000-b156-62333f919481","http://resolver.tudelft.nl/uuid:6dd35bc9-4bb1-4000-b156-62333f919481","Quasi-steady quasi-homogeneous (QSQH) description of the relationship between large-scale and small-scale motions in near-wall turbulence","Zhang, C.; Chernyshenko, S.","Zhang, C. (author); Chernyshenko, S. (author)","2015","The validity of the recently proposed hypothesis that the influence of large-scale motions on the near-wall turbulence is quasisteady is investigated by quantitative comparisons with the data obtained by direct numerical simulations of a turbulent channel flow. Large-scale motions are filtered by a Fourier cut-off filter multi-objectively optimised to increase the correlation between large-scale motions near and away from the wall while decreasing the correlation between small-scale motions. The quasi-steady hypothesis is found to be approximate. It is also found that adding non-linear terms into calculations will improve the accuracy of the prediction.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:b32245f1-ae8d-41ae-a0c1-ef1f54150af4","http://resolver.tudelft.nl/uuid:b32245f1-ae8d-41ae-a0c1-ef1f54150af4","Compressible Rayleigh-Taylor turbulent mixing under different acceleration histories","Zhang, Y.; Tian, B.; Li, X.","Zhang, Y. (author); Tian, B. (author); Li, X. (author)","2015","Compressible Rayleigh-Taylor turbulent mixing (CRTM) induced by Rayleigh-Taylor instability occurs when a compressible fluid of heavy density is accelerated or supported against gravity by a compressible fluid of light density, and is of fundamental importance in applications from combustion, to inertial confinement fusion, and to astrophysics. Traditionally, CRTFs are studied under constant acceleration histories. Due to the nature of the processes, however, it is necessary to study CRTF under general acceleration histories g(t). In this aspect, the evolution of Rayleigh-Taylor turbulent mixing under complex acceleration histories, including changes in signs, have been studied numerically[1] and experimentally[2] for incompressible flows, leaving an open question on that of compressible flows. In fact, most engineering problems are compressible. In addition, the available engineering turbulence models cannot capture the variation of mixing width for CRTM with complex acceleration histories, such as the gravity reversal. In order to better understanding the dynamic of CRTM under different variation histories, several DNS cases with different acceleration histories have been conducted and analyzed.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:c94c960f-a114-46b7-8061-b36d1114b08e","http://resolver.tudelft.nl/uuid:c94c960f-a114-46b7-8061-b36d1114b08e","Molecular architecture control in synthesis of spherical Ln-containing nanoparticles","Zhang, W.; Martinelli, J.; Mayer, F.; Bonnet, C.S.; Szeremeta, F.; Djanashvili, K.","","2015","Among the procedures to prepare lanthanide-containing nanoparticles a gap exists in the range between 5 and 40 nm. The miniemulsion technique presented here is intended to fill this discontinuity and offers a facile method that can be applied for the preparation of nanoparticles for various applications, e.g. medical imaging, optics and catalysis. We demonstrate that formation of nanodroplets under emulsion conditions is the key step in the size control of the nanoparticles. The type of surfactant and the nature of the dispersed and continuous phases strongly influence the interfacial activity and, consequently, the size of the final solid particles that result from the subsequent thermal decomposition. Moreover, the choice of the surfactant determines the final elemental composition of the particles, leading to either lanthanide oxides or oxysulfates when using Brij® 35 or sodium dodecyl sulfate, respectively. Nanoparticles of holmium and gadolinium were prepared and their applicability as magnetic resonance imaging contrast agents is shown.","Gold for Gold; Open Access","en","journal article","RSC Publishing","","","","","","","","Applied Sciences","Biotechnology","","","",""
"uuid:e2b471aa-07a6-45fd-99e4-f55e90a447bb","http://resolver.tudelft.nl/uuid:e2b471aa-07a6-45fd-99e4-f55e90a447bb","Determination of the Cytosolic NADPH/NADP Ratio in Saccharomyces cerevisiae using Shikimate Dehydrogenase as Sensor Reaction","Zhang, J.; Ten Pierick, A.; Van Rossum, H.M.; Maleki Seifar, R.; Daran, J.G.; Heijnen, J.J.; Wahl, S.A.","","2015","Eukaryotic metabolism is organised in complex networks of enzyme catalysed reactions which are distributed over different organelles. To quantify the compartmentalised reactions, quantitative measurements of relevant physiological variables in different compartments are needed, especially of cofactors. NADP(H) are critical components in cellular redox metabolism. Currently, available metabolite measurement methods allow whole cell measurements. Here a metabolite sensor based on a fast equilibrium reaction is introduced to monitor the cytosolic NADPH/NADP ratio in Saccharomyces cerevisiae:. The cytosolic NADPH/NADP ratio was determined by measuring the shikimate and dehydroshikimate concentrations (by GC-MS/MS). The cytosolic NADPH/NADP ratio was determined under batch and chemostat (aerobic, glucose-limited, D=0.1h '1) conditions, to be 22.0±2.6 and 15.6±0.6, respectively. These ratios were much higher than the whole cell NADPH/NADP ratio (1.05±0.08). In response to a glucose pulse, the cytosolic NADPH/NADP ratio first increased very rapidly and restored the steady state ratio after 3 minutes. In contrast to this dynamic observation, the whole cell NADPH/NADP ratio remained nearly constant. The novel cytosol NADPH/NADP measurements provide new insights into the thermodynamic driving forces for NADP(H)-dependent reactions, like amino acid synthesis, product pathways like fatty acid production or the mevalonate pathway.","","en","journal article","Nature Publishing Group","","","","","","","","Applied Sciences","BT/Biotechnology","","","",""
"uuid:f9860e45-e28b-477b-8df9-a094b00fd703","http://resolver.tudelft.nl/uuid:f9860e45-e28b-477b-8df9-a094b00fd703","Extending the Lifespan of Porous Asphalt Concrete","Zhang, Y.","Molenaar, A.A.A. (promotor); Wu, S.P. (promotor)","2015","Porous Asphalt (PA) concrete is widely used as a surfacing layer on highways in the Netherlands. The service life of PA wearing courses is limited because of the fact that it is vulnerable to raveling. The possibilities of applying preventive maintenance to PA wearing courses by means of spraying rejuvenation products on the pavement surfaces are being investigated with high interest in the Netherlands. A material which has the ability to penetrate into the bituminous binder and soften (rejuvenate) the hardened bituminous binder in the mortar is defined as a rejuvenator. Spraying rejuvenators on asphalt pavement surfaces before raveling starts (during the initiation of damage) might influence the characteristics of the hardened bituminous binder such that the service life of the PA surface layer is extended. Considering the fact that the rejuvenation effectiveness of potential rejuvenation products is not clear, they are called Surface Treatment Products (STP) in this research. The main objectives of this research are the investigation of the effect of surface treatments on the microstructure and performance of PA wearing courses and the assessment of their rejuvenation effectiveness. Three surface treatment products were applied on two field trials of 5 year old PA pavement surfaces, respectively on the A50 and A73 highways. Computed Tomography (CT) scanning with a conventional medical CT scanner was performed on the PA concrete cores that were obtained from the field trial sections to measure volumetric properties like air voids and mortar contents. It was found that the difference between the mean values of the air voids contents for the PA concrete cores from the reference (untreated) and treated sections is not statistically significant in most of the cases. CT scanning with a high-resolution industrial CT scanner was used to detect the surface treatment products. Two parameters, the mortar film thickness and the surface treatment product content, were used to quantify changes in the microstructure due to the surface treatments. The treated PA concrete samples showed an increased mortar film thickness, which indicates the presence of bituminous binder added by the surface treatment products. Visual inspections on PA concrete thin slices with an optical microscope were performed to investigate the microstructure of the treated PA concrete samples. Micro cracks were observed in the reference PA concrete samples but no micro crack was found in the treated PA concrete samples. To determine the rejuvenation effectiveness of surface treatment products, artificially aged PA 0/16 concrete and mortar specimens were produced in the laboratory and the surface treatment products were applied to the specimens. The indirect tensile stiffness modulus and indirect tensile strength were measured on the PA concrete specimens. The results did not show a difference between untreated and treated specimens. For the mortar a special 3D finite element was developed before dynamic shear testing to study the influence of rejuvenation (diffusion inside the mortar) on mortar columns by simulating dynamic shear tests. From the results clear effects on the rheological behavior was shown. Based on the finite element results dynamic shear tests were used to measure the rheological and shear fatigue properties of mortar specimens. The results for the treated mortar specimens did not show a change in complex shear modulus and phase angle or shear fatigue properties compared to the reference mortar. It was concluded that no rejuvenation of the test samples did occur. Another finite element model was created for analyzing the stresses and strains as a result of traffic loads in a reference and treated PA pavement surface. The results showed that because of the added binder, the surface treatment does reduce the stresses, strains and dissipated energies in the mortar bridges at and close to the surface of the pavement, which will result in a higher fatigue life. In addition, the Rotating Surface Abrasion Test (RSAT), three-point being tests and nano-indentation tests were performed on the PA concrete cores taken from the reference and treated sections. The RSAT results for the treated PA concrete cores seem to indicate a lower stone loss than the reference PA concrete cores. The treated PA concrete beams showed higher mean stiffness values than the reference beams. From the nano-indentation tests, the presence of bituminous binders added by the surface treatment products to the mortar of the PA concrete was proven. Based on all the results, it was concluded that no rejuvenation took place. The product will have an effect. But that is because of added binder by the product. Furthermore, it was recommended that preventive maintenance interventions should be based on optical microscopy and nano-indentation tests on thin slices from small cores (?40 mm) rather than visual surveys of the pavement surface.","porous asphalt concrete; raveling; surface treatment; rejuvenation","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:b9d11fa2-30b8-46b9-9c77-05c28291ed92","http://resolver.tudelft.nl/uuid:b9d11fa2-30b8-46b9-9c77-05c28291ed92","Highly selective and responsive ultra-violet detection using an improved phototransistor","Soleimanzadeh, R.; Kolahdouz, M.; Charsooghi, M.A.; Kolahdouz Esfahani, Z.; Zhang, G.Q.","","2015","An ultra-violet (UV) phototransistor with 700x200 lm2 gate area decorated with vertically aligned Zinc Oxide (ZnO) nanorods to enhance UV responsivity is designed and manufactured. Spectral responsivity of the device was measured for wavelengths ranged from 200 to 1100 nm of the electromagnetic spectrum in different transistor working regions. The best responsivity was achieved at sub-threshold and very weak inversion region. In order to enhance UV range selectivity, oxygen plasma has been employed on the nanorods, and consequently, nearly 3-fold improvement in its relative sensitivity at 375 nm was achieved. The final manufactured phototransistor shows a highly selective response of 24 kA/W in the UV range.","","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:affcbf4e-f14e-41ba-89f8-946cc4921e2c","http://resolver.tudelft.nl/uuid:affcbf4e-f14e-41ba-89f8-946cc4921e2c","Multi-level Indoor Path Planning Method","Xiong, Q.; Zhu, Q.; Zlatanova, S.; Du, Z.; Zhang, Y.; Zeng, L.","","2015","Indoor navigation is increasingly widespread in complex indoor environments, and indoor path planning is the most important part of indoor navigation. Path planning generally refers to finding the most suitable path connecting two locations, while avoiding collision with obstacles. However, it is a fundamental problem, especially for 3D complex building model. A common way to solve the issue in some applications has been approached in a number of relevant literature, which primarily operates on 2D drawings or building layouts, possibly with few attached attributes for obstacles. Although several digital building models in the format of 3D CAD have been used for path planning, they usually contain only geometric information while losing abundant semantic information of building components (e.g. types and attributes of building components and their simple relationships). Therefore, it becomes important to develop a reliable method that can enhance application of path planning by combining both geometric and semantic information of building components. This paper introduces a method that support 3D indoor path planning with semantic information.","indoor; path planning; semantic","en","conference paper","International Society for Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","Architecture and The Built Environment","Urbanism","","","",""
"uuid:ce511963-ef76-47b6-a6fa-d9ddb0e41e76","http://resolver.tudelft.nl/uuid:ce511963-ef76-47b6-a6fa-d9ddb0e41e76","Ab initio prediction of vacancy properties in concentrated alloys: The case of fcc Cu-Ni","Zhang, X.; Sluiter, M.H.F.","","2015","Vacancy properties in concentrated alloys continue to be of great interest because nowadays ab initio supercell simulations reach a scale where even defect properties in disordered alloys appear to be within reach. We show that vacancy properties cannot generally be extracted from supercell total energies in a consistent manner without a statistical model. Essential features of such a model are knowledge of the chemical potential and imposition of invariants. In the present work, we derive the simplest model that satisfies these requirements and we compare it with models in the literature. As illustration we compute ab initio vacancy properties of fcc Cu-Ni alloys as a function of composition and temperature. Ab initio density functional calculations were performed for SQS supercells at various compositions with and without vacancies. Various methods of extracting alloy vacancy properties were examined. A ternary cluster expansion yielded effective cluster interactions (ECIs) for the Cu-Ni-Vac system. Composition and temperature dependent alloy vacancy concentrations were obtained using statistical thermodynamic models with the ab initio ECIs. An Arrhenius analysis showed that the heat of vacancy formation was well represented by a linear function of temperature. The positive slope of the temperature dependence implies a negative configurational entropy contribution to the vacancy formation free energy in the alloy. These findings can be understood by considering local coordination effects.","","en","journal article","American Physical Society","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:807834d5-62ba-4903-bebc-35322f5c2a2f","http://resolver.tudelft.nl/uuid:807834d5-62ba-4903-bebc-35322f5c2a2f","Al(OH)3 facilitated synthesis of water-soluble, magnetic, radiolabelled and fluorescent hydroxyapatite nanoparticles","Cui, X.; Green, M.A.; Blower, P.J.; Zhou, D.; Yan, Y.; Zhang, W.; Djanashvili, K.; Mathe, D.; Veres, D.S.; Szigeti, K.","","2015","Magnetic and fluorescent hydroxyapatite nanoparticles were synthesised using Al(OH)3-stabilised MnFe2O4 or Fe3O4 nanoparticles as precursors. They were readily and efficiently radiolabelled with 18F. Bisphosphonate polyethylene glycol polymers were utilised to endow the nanoparticles with excellent colloidal stability in water and to incorporate cyclam for high affinity labelling with 64Cu.","","en","journal article","RSC publishers","","","","","","","","Applied Sciences","Biotechnology","","","",""
"uuid:bf95c658-555a-45ee-a07e-394dfddd74ac","http://resolver.tudelft.nl/uuid:bf95c658-555a-45ee-a07e-394dfddd74ac","Fast Qualification of Solder Reliability in Solid-state Lighting System","Zhang, J.","Zhang, G.Q. (promotor); Van der Zwaag, S. (promotor)","2015","Solid-state lighting (SSL), which is based 0n semiconductor Lighting Emitting Diode (LED), is the most promising and reliable energy saving solution for future lighting applications. Since a bare LED die can hardly survive without a package, one of the most import function of the LED package is to facilitate the electrical connection and heat dissipation of the light engine mounted on a printed circuit board (PCB) by Land Grid Array (LGA) solder joints. These joints have been proven to be one of the most vulnerable link in the system. Therefore, evaluating the reliability of solder joints becomes vital to ensure the long term reliability of this new lighting product. A combined theoretical and experimental approach based on Finite Element (FE) calculations is a fast and commonly adopted way of estimating the solder reliability in microelectronics industry. However, there is no fatigue model that is particularly suitable for Land Grid Array (LGA) solder joints, which are mostly employed in board level LED packages. . Additionally, it would be desirable to have cruder engineering guidelines to quickly estimate the effect of the various geometries and dimensions for new trial LGA configurations. On the other hand, relatively accurate lifetime predictions still required Accelerated Life Tests (ALT). However, current reliability testing methods based on the detection of final catastrophic failure generally require very long test time (up to 9000 hours or 55 weeks), which does not meet the industrial target to limit the testing time to 6-12 weeks. A test method that is capable of carefully separating and in-situ monitoring the fatigue damages may be able to resolve the issue by offering the possibility of early termination of the test once enough information is collected to predict final and catastrophic failure. In addition, this capability can be attractive to make more accurate Remaining Useful Lifetime prognostic of solder joint in many critical electronics in other systems. In Chapter 2, it was demonstrated that the widely accepted fatigue model for predicting the lifetime of BGA solder joint no longer valid for assessing reliability of solder joint in a LGA assembly due to its inappropriate critical elements selection method. Therefore, a more suitable critical element selection method for LGA assemblies is proposed based on statistical analysis of creep energy density distribution for elements in the vicinity of the likely crack initiation point. By adopting this new critical element selection method, a new energy based fatigue model for predicting LGA solder life times has been established by combining lifetime measurements with corresponding Finite Element Method (FEM) simulations for different material combinations and different LED package configurations. The model has a much better predictive power than the model based on the BGA approach. In chapter 3, a phenomenological response surface model is derived for a fast qualification of the reliability of LED packages with different designs by conducting a series of FEM simulations. It seems that a smaller carrier size and larger solder standoff height in general will result in better solder reliability. This trend is more pronounced in solder with smaller carrier size and larger stand-off height. Additionally, solder reliability decreases rapidly with solder coverage decreasing. The ratio between thermal solder area and electrical solder area AR has a significant influence on solder joint reliability in a SSL system. The optimal AR value is also observed to relate to carrier size and solder coverage. In general, the optimal AR value increases with increasing carrier size, and this phenomenon is more pronounced in packages with smaller solder coverage. When the chip carrier size is relative small, it is advisable to make the thermal pad and electrical pad comparable. The proposed methodology in this work, a combination of energy based fatigue modeling and FEM modeling proves to be very valuable for solder reliability optimization for LED packages. This methodology can also be applied to optimize the package configuration in terms of thermal performance, electrical performance, cost and combinations thereof. The predictive power and the limitations of the approach are also discussed in Chapter 3. The advantages of in-situ high precision damage monitor during ALT are demonstrated, which offers great potential to save testing time. In Chapter 4, precise fatigue damage monitoring is proven to be achievable by conducting in-situ high precision (apx. ±80 n?) electrical resistance measurement of each individual solder joint during testing. The method was shown to be capable of capturing viscoplastic deformation accumulation, resulted crack initiation and sequenced crack propagation in an isothermal fatigue test. Moreover, in Chapter 5, it is demonstrated that using dedicated electrode configurations, different types of fatigue damages can be separated from each other or highlighted depending on the testing purpose. The effect of viscoplastic deformation can best be separated from those due to crack formation in one electrode configuration whereby progressive viscoplastic deformation induces resistance decay, whereas crack initiation and propagation provokes resistance increasing. It allows a rather precise yet conservative estimation of the crack initiation point. The other two configurations studied demonstrated superior sensitivity to crack opening and closure during fatigue cycling, which gives saw-teeth shaped signal patterns, in which case the measured resistance increases with both progressive viscoplastic deformation and propagating crack. The experimental findings agree with results from FEA simulation and periodic tomographic analyses. However, even for very high resolution of the electrical resistance measurements as in the present work, identification of the very early stages of crack initiation (less than 5% of the joint contact zone) remains not really feasible. In Chapter 6, the knowledge gained for monitoring failure under isothermal conditions is transferred to the testing of solder joints subjected to thermo-mechanical loading, employing a different crack initiation identification method. Accelerated temperature cycling test was done to four LED like board level ceramic packages with in-situ periodic high precision electrical resistance monitoring for each solder joint inside. Not the actual electrical resistance values but the change in Temperature Coefficient of Resistance (TCRc) of the solder joint was correlated to fatigue damage evolution in the joint. Both viscoplastic deformation accumulation and crack propagation increase the TCR. A method to identify the crack initiation point based on the noise analysis of in-situ TCRc monitoring signal of individual solder joint is presented. It is observed that once a major crack is present in the joint the noise level increases significantly, and the rate of TCR increase increases with further crack growth. The crack initiation point as determined from the electrical resistance data using a built-in variance analysis tool in Matlab, was verified by micro-tomographic results and FEM simulation predictions. The new method as developed can be a very attractive technique for both solder accelerated test and RUL prognostics.","solder reliability; non-destructive testing; solder fatigue; high precision electrical resistance measurement; in-situ fatigue damage monitoring; crack initiation; geometric effects","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:95e9dd35-15a9-4b50-ae94-d5dca0634aba","http://resolver.tudelft.nl/uuid:95e9dd35-15a9-4b50-ae94-d5dca0634aba","Self healing of damage in Fe-based alloys","Zhang, S.","Brück, E. (promotor); Van der Zwaag, S. (promotor)","2015","Steel components can exhibit premature and low-ductility creep fracture, when exposed to high temperatures for long times. The failure arises from the formation, growth and coalescence of ultra-fine cracks and cavities. Self healing of damage is a promising new approach to enhance the lifetime of the steel components, in particular for applications at high temperatures. This thesis aims to realize the self-healing of damage in Fe-based alloys and unravel the mechanism responsible for efficient self-healing in steels. In Chapter 3, the correlation of precipitation and deformation-induced defects is studied in high-purity Fe-Cu-B-N-C alloy samples at an elevated temperature of 550 oC. By comparing the aging behaviour of the samples with 0% and 8% prestrains using positron annihilation spectroscopy (PAS) and complementary small-angle neutron scattering (SANS) it is found that the dislocations induced by tensile deformation accelerate the Cu precipitation kinetics. The addition of carbon counteracts the effect of boron and nitrogen on the Cu precipitation, which may attribute to the formation of cementite with B and N incorporated in the structure. The PAS results indicate a sharp reduction in the contribution from open-volume defects accompanied by a strong Cu peak during the initial aging stage. This reflects the decoration of dislocations with Cu precipitates, demonstrating that the self-healing potential of Cu is not affected by the C in the Fe Cu B N C alloy. However, the tendency for Cu to precipitate at deformation-induced defects is relatively weak and spherical Cu precipitates are simultaneously formed in the matrix. Based on a detailed study of the atomic properties of potential elements and their high temperature solubility in a ferrous matrix, Au has been identified as an interesting alloying element to introduce self-healing of creep damage in Fe-based alloys. In Chapter 4, we have investigated the potential self healing of deformation-induced defects by Au precipitation during isothermal aging at 550 oC in Fe-Au and Fe-Au-B-N alloys using in-situ SANS and PAS. Two different samples with 0% and 24% pre-strain were used to study the influence of dislocations on the Au precipitation. It is found that dislocations induced by prior plastic deformation strongly facilitate the formation of Au precipitates, as no significant precipitation is observed for undeformed samples. Defect induced Au precipitates are formed both at dislocations and along grain boundaries. Transmission electron microscopy (TEM) observations confirm the heterogeneous nature of the Au precipitation. The addition of B and N does not alter the mechanism of the prestrained induced Au precipitation, but retards the Au precipitation. We have demonstrated that Au in Fe-based alloys shows the desired precipitation response to act as an efficient self healing agent for creep damage. Due to a high nucleation barrier for the Au precipitation in the iron matrix, precipitates are formed exclusively at defect sites. The defect-induced Au precipitation therefore provides a promising site-specific autonomous repair mechanism. In Chapter 5, the self-healing potential of damage in the Fe-Au system is further investigated by studying the spatial correlation of Au precipitates with defects. When damage was induced in Fe-Au-B-N alloy by a Knoop indenter at room temperature, the subsequent Au precipitation during high temperature aging (550 oC) is exclusively observed at the damage sites and along grain boundaries. For the cavities and cracks induced by prior room temperature overloading, preferential Au precipitation is observed at micro-cracks and cavities at grain boundary triple points in a wide region near the original fracture surface. A strong tendency of Au and hexagonal BN precipitation onto the free (external) surface is demonstrated during aging at high temperatures studied by X-ray photoelectron spectroscopy. In order to couple the defect formation and healing processes more directly, creep properties have been studied for the Fe-Au and Fe-Au-B-N alloys at high temperature (550 oC). Chapter 5 presents the site-specific Au precipitation on creep cavities induced during constant strain rate creep testing. The absence of grain boundary cavitation at some of the grain boundaries is coupled with a locally high density of relatively large Au particles with the same size as the expected pore sizes, which is most likely attributed to the healing of creep cavities by preferential Au precipitation. In Chapter 6, we have systematically studied the creep properties of the solutionized (as-quenched) Fe-Au and Fe-Au-B-N alloys during high temperature creep at constant stress. An improved creep lifetime was observed for solutionized Fe-Au and Fe-Au-B-N alloys compared to those obtained for solutionized and solution-depleted Fe-Cu samples. The mechanism responsible for the improved lifetime in the solutionized Fe-Au system is unravelled by studying the microstructures of the samples after creep (Chapter 7). The autonomous repair of creep damage by site-selective Au precipitation has been demonstrated. Combined electron-microscopy techniques demonstrate that the improved creep properties result from the selective Au precipitation at the early-stage creep cavities, preferentially formed on grain boundaries oriented perpendicular to the applied stress. The site-selective precipitation of gold atoms at the free surface of the creep cavities results in a pore filling, and thereby self healing of the creep damage. Grain boundaries and dislocations act as fast routes for solute gold transport from the matrix to the creep damage. The efficiency to heal creep damage is found to depend strongly on the applied stress. For lower stress levels filling fractions of up to 80% have been observed for the open-volume creep damage. Due to the limited availability, the application of Au solute atoms as healing agents in steel components is expected to be limited. Therefore, the efficiency of molybdenum as a potential self healing agent has been investigated in Chapter 8. Hardness tests on the aging behaviour of the Fe-Mo alloys with and without prestrain indicate that the dislocations induced prior to aging accelerate the precipitation kinetics. Creep properties are studied at constant stress at an elevated temperature of 550 oC. A filling of creep cavities by precipitates has indeed been observed in the microstructure of creep failed samples. The filling of creep cavities by precipitates is indicated by the irregular geometry of the relatively large precipitates (?1 µm) formed along grain boundaries and the close spatial correlation between the creep cavities and the precipitates.","self helaing; Fe-based alloys; creep; cavities; precipitation","en","doctoral thesis","","","","","","","","","Applied Sciences","Radiation Science & Technology","","","",""
"uuid:5779af2f-a1db-4fe0-a00e-e18f5d6d1db2","http://resolver.tudelft.nl/uuid:5779af2f-a1db-4fe0-a00e-e18f5d6d1db2","A new robust design for imperfection sensitive stiffened cylinders used in aerospace engineering","Liang, K.; Zhang, Y.; Sun, Q.; Ruess, M.","","2015","A knock-down factor is commonly used to take into account the obvious decline of the buckling load in a cylindrical shell caused by the inevitable imperfections. In 1968, NASA guideline SP-8007 gave knock-down factors which rely on a lower-bound curve taken from experimental data. Recent research has indicated that the NASA knock-down factors are inclined to produce very conservative estimations for the buckling load of imperfect shells, due to the limitations of the computational power and the experimental skills available five decades ago. A novel knock-down factor is proposed composed of two parts for the metallic stiffened cylinders. A deterministic study is applied to achieve the first part of the knock-down factor considering the measured geometric imperfection, the other types of imperfections are considered in the second part using a stochastic analysis. A smeared model is used to achieve the implementation of the measured geometric imperfection for the stiffened cylinder. This new robust and less conservative design for the stiffened cylinders is validated by using test results","knock-down factor; NASA guideline SP-8007; stiffened cylinder; stochastic analysis; smeared model","en","journal article","Springer","","","","","","","","Aerospace Engineering","Aerospace Structures & Materials","","","",""
"uuid:ccd8c8ea-493e-45af-b04e-a27d0d7bfc77","http://resolver.tudelft.nl/uuid:ccd8c8ea-493e-45af-b04e-a27d0d7bfc77","Surface passivation and optical design of silicon heterojunction solar cells","Zhang, D.","Zeman, M. (promotor); Van Swaaij, R.A.C.M.M. (promotor)","2015","It has been predicted that due to the population growth the energy demand is increasing faster and faster. It has been well recognized that depleting fossil-fuel resources will not fulfill the energy need of the future world. Not to mention the problem of global warming caused by its combustion, and of energy security as a result of geopolitical developments. People have never stopped seeking for alternative energy sources. With the aid of rapid technology development renewable-energy sources with its unique characteristics of environmental compatibility and continual replenishment, become more and more appealing. Amongst all renewable energy sources solar energy is considered inexhaustible and very abundant and therefore much research is being carried out, aiming at making full use of it. A solar cell is a photovoltaic device that directly converts solar energy to electrical energy, a very versatile form of energy. Thanks to fast technologic progress and the price drop of some source materials, solar cells have been becoming cheaper. There are two ways to reduce the cost of electricity produced by solar cells: one is to increase the energy conversion efficiency mainly by means of reducing optical and electrical losses; the second is to lower the production cost by reducing the material cost and by simplifying the manufacturing process. So far crystalline-silicon (c-Si) based solar cells dominate the photovoltaic (PV) market, but there are two important challenges with conventional c-Si solar cells: (i) the relatively high material cost from the c-Si wafer and (ii) the high processing cost due to the relatively long processing time and high thermal budget. Worldwide researchers have been working on different solutions. For instance, the material cost can be reduced by the use of low-quality wafers and by slicing the ingot into thinner wafers. The use of laser doping, for instance, can replace the diffusion process in order to reduce thermal budget. Another solution, which led to the second generation of solar cells, is thin-film technology. Thin-film Si solar cells use only hundreds of nanometers or several micrometers thick Si layers and the processing temperature is only around 200 °C. However, the efficiency of thin-film silicon solar cells is relatively low especially after light-induced degradation. By combining advanced c-Si and thin-film Si technologies the silicon heterojunction (SHJ) solar cell has been developed. This type of solar cell consists of a c-Si wafer with layers of hydrogenated amorphous silicon (a Si:H) to passivate the surface and create emitter and back surface field (BSF) at front and back side respectively. These layers can be of intrinsic material (i-layer) or of p or n-type doped material (p-layer or n-layer). The SHJ solar cell has proved to be a high-efficiency concept and can be made with relatively simple and low-temperature processes. This thesis describes the development of the process to make SHJ solar cells in our facility. For this process first a reproducible wafer cleaning process has been developed. Different thin-film deposition technologies, e.g. plasma enhanced chemical vapor deposition (PECVD), sputtering and metal evaporation, have been used for fabricating the device. The properties of the layers have been characterized by techniques including transmittance and reflectance measurements, activation energy and dark conductivity measurements, and carrier lifetime measurements. For device characterization mainly current density versus voltage measurement, external quantum efficiency measurements, and reflectance measurements have been performed. In addition to experimental work, optical simulations have been carried out for optical analysis and design of the device. In order to simulate the optical effects of layers with thicknesses in the nanometer scale the interference of light was modelled using thin-film optics, while geometrical optics is used for modelling light scattering on the micrometer-scale surface morphology. The research in this thesis focusses on several aspects of SHJ solar cells including the deposition and optimization of the indium tin oxide (ITO) front contact, the intrinsic hydrogenated amorphous silicon (a-Si:H) passivating layer, and the emitter materials, and the optical design of the device structure. The influence of ITO sputtering conditions on the passivation of c-Si wafers by a-Si:H layers is studied in Chapter 3. A low sputtering power is favorable in order to maintain a high passivation of the c-Si/a-Si:H interface, as characterized by a long minority carrier lifetime. The degradation of the passivation caused by sputtering can be reduced by sputtering the ITO at a raised substrate temperature or by post-annealing after ITO deposition. However, the substrate temperature during sputtering can degrade the passivation if it is higher than a threshold value. Based on our results we suggest that the sputtering power for ITO deposition should be as low as possible, provided the plasma is stable and a reasonable deposition rate is maintained. The substrate temperature should be kept below a threshold value of 130 °C, avoiding any degradation of the passivation. One-hour post-annealing is an effective way to recover the degradation of the passivation caused by the sputtering process at the room temperature. However, any degradation caused by annealing during sputtering cannot be recovered by post-annealing probably due to irreversible hydrogen effusion. Since passivation of the c-Si by a-Si:H is sensitive to ITO sputtering, the device can perform better without an ITO layer on the rear side when metal fully covers the rear. In Chapter 4 we show that the intrinsic a-Si:H layer (i-layer) thickness has no significant influence on the optical performance of SHJ solar cells within the thickness range we investigated. However, the influence on the electrical performance is quite noticeable. There is an absolute fill factor (FF) decrease of 3% when the thickness of the i-layer increases from 3 to 7 nm in the emitter or from 1 to 5 nm in the back surface field (BSF). The influence of the i-layer thickness in the emitter on the passivation or open-circuit voltage (Voc) is different from in the BSF. In the BSF a thinner i-layer can be used or this layer can even be omitted without a noticeable effect on the Voc, while a gain in FF is obtained, because the n-type a-Si:H layer (n-layer) in the BSF can passivate the n-type c-Si surface well enough. In the emitter, a thicker i-layer has to be used to guarantee a good passivation quality. Surface recombination velocities of the wafer passivated by different a-Si:H layer stacks are also deduced from the carrier lifetime measurements, confirming the good passivating property of the n-layer and degradation of i-layer passivation after the p-type a-Si:H layer (p-layer) is applied. Annealing can improve the passivation of the n-layer and the i/n stack, but deteriorates the passivation of the i/p stack. Compared to homojunction c-Si solar cells, one main disadvantage of SHJ solar cells is the parasitic absorption loss caused by the ITO and the a-Si:H layers. The conventional method to design the anti-reflective (AR) coating, which is based on minimization of the reflectance, cannot be applied to this specific layer structure at the front of the SHJ solar cell. The reason is that a fraction of the light gained by applying an AR coating may be absorbed by the ITO and the a-Si:H layers. In Chapter 5 we show that for SHJ solar cells the current output can be estimated by examining the light absorption in the c-Si absorber using optical simulations. Using our advanced optical simulation program, a double-layer AR coating consisting of a SiOx layer and the ITO is designed for the SHJ solar cell and implemented in experimental SHJ solar cells. For a SHJ solar cell with a textured c-Si surface and utilizing this double-layer AR coating a short-circuit current density (Jsc) of 40.5 mA/cm2 is achieved. In order to reduce the parasitic absorption of the emitter in SHJ solar cells, one effective method is to use wide-gap silicon alloy materials. In Chapter 6 we demonstrate a SHJ solar cell with a p-type a-SiC:H emitter. Compared to the p-type a-Si:H emitter, the p-type a-SiC:H increases the current output of the solar cell by reducing not only parasitic absorption in the emitter, but also the reflection of the device. The reduction of reflection is due to the fact that the refractive index of p-type a-SiC:H is in between that of ITO and intrinsic a-Si:H. Since the electrical performance of the cell with a p-type a-SiC:H emitter is comparable to that with a p-type a-Si:H emitter, the optical benefit makes the p-type a-SiC:H a very promising alternative to p-type a-Si:H as the emitter material. Finally, a 4-cm2 SHJ solar cell employing the p-type a-SiC:H emitter was made, which shows a Jsc of 40.3 mA/cm2, a Voc of 682 mV, a FF of 75.5% and a conversion efficiency of 20.8%.","silicon heterojunction; solar cells; surface passivation; emitter; antireflective coating","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:4c92730d-b81e-43b7-b464-d1cb7fba3ec2","http://resolver.tudelft.nl/uuid:4c92730d-b81e-43b7-b464-d1cb7fba3ec2","Empirical evaluation of an on-street parking pricing scheme in the city center","Cats, O.; Zhang, C.; Nissan, A.","","2015","Parking pricing policies can be used as a policy instrument to steer the parking market and reduce the externalities caused by traffic in general and parking in particular. A more efficient management of parking demand can improve the utilization of the limited parking capacity at high-demand areas. Even though parking policies are often a topic of public debate, there is lack of systematic empirical analysis of various parking measures. This paper proposes a methodology to empirically measure and evaluate the impacts of on-street parking policies. The utilization of on-street parking demand is computed based on transaction data from 70 ticket vending machines which is calibrated using floating car films. Measures of parking utilization such as occupancy and its temporal variation, throughput, parking duration and turnover are compared prior and following the introduction of a new parking scheme in the center of Stockholm, Sweden, in September 2013. The results indicate that the policy led to a reduction in parking occupancy although it did not yield the 85% occupancy level objective. Furthermore, the price increase has contradictory effects on throughput and turnover due to the interaction between parking occupancy and duration. The results also question the transferability of price elasticity. It is thus recommended to consider multiple measures of parking utilization when carrying out policy evaluation.","","en","conference paper","TRB","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:21583fa9-c129-410c-a1fa-cd7f65f3b0e6","http://resolver.tudelft.nl/uuid:21583fa9-c129-410c-a1fa-cd7f65f3b0e6","Efficient Visual Tracking with Spatial Constraints","Zhang, L.","Reinders, M. (promotor); Van der Maaten, L. (promotor)","2015","Object tracking is an important component in computer vision, which is the field that aims to replicate the abilities of human vision by automatically analyzing and understanding the content of digital images or videos. Tracking has applications in a wide range of domains. For instance, tracking techniques may be used in systems that remove camera shake or movement from a video, systems that automatically focus a camera on a target object, systems for driving assistance, systems for activity and action recognition, or systems that perform 3D reconstruction of objects based on images from a single moving camera. A tracking system generally consists of three components: an appearance model that measures whether an image location may contain the target object, a location model that models the prior probability of the target object being in a particular location, and a search strategy that aims to identify the target object based on these two models. Trackers can be grouped into two main types: model-based trackers and model-free trackers. In model-based tracking, the appearance of the target object is usually modeled offline, for instance, by training a machine-learning algorithm on a collection of annotated images. In model-free tracking, the appearance of the target object is modeled online without exploiting prior knowledge on the object appearance. A situation in which the generic nature of the appearance models of model-based trackers and the limited discriminativeness of the appearance models of model-free trackers is particularly problematic is the situation in which multiple objects are present that have similar visual appearance. This situation frequently occurs, for instance, when tracking people, faces, or cars in complex environments. The key problem here is that the tracker may switch from the target object to another visually similar object. This is especially hard for model-free tracking because three important problems in model free tracking remain unsolved: (1) information on the visual appearance of the target object is ambiguous in the sense that the initial bounding box only approximately distinguishes the object of interest from the background, (2) the object appearance may change drastically over time, in particular, when the object is deformable, and (3) only simple appearance models can be used to maintain real-time performance of the sliding-window exhaustive search. In this thesis, we propose a new model-free tracker that can track multiple objects with similar visual appearance by incorporating spatial constraints between the objects, which are learned online along with the appearance models. We show that this novel structure-preserving object tracker (SPOT) achieves substantial performance improvements in multi-object tracking. Model-based trackers are powerful and robust as they have been trained offline on (potentially extremely) large collections of data. Yet they are not adapted to the object at hand. This thesis also explores the possibilities to adapt model-based parameters online using concepts from model-free trackers. In particular, we adapt the parameters of the model-based tracker to the visual appearance of the particular target object using an online structured SVM, essentially using our techniques for model-free tracking in model-based tracking. We further improve the performance of the resulting tracker by online learning a prior distribution over the size of objects. The experimental evaluation of our tracker demonstrates its effectiveness in pedestrian and car tracking. In many practical applications of trackers, it is essential that the tracker can operate in real-time on a variety of computational platforms. Approximate search strategies such as particle filters have the advantage that they allow the user to trade-off speed for accuracy by adapting the number of particles. However, the localization of target objects by approximate search is usually somewhat inaccurate, which may cause the tracker to drift away from the true location, in particular, when the target object has been temporarily occluded or when fast camera motions are present in the image sequences. Exhaustive search strategies such as sliding-window search are generally more accurate than approximate search strategies, but they tend to be slow for large search spaces and more importantly, they also do not allow the user to trade off speed for accuracy based on the available computational budget. In this thesis, we present a new approach that reduces the computational costs of trackers by ignoring features in image regions that — after inspecting a few features—are unlikely to contain the target object. To this end, we derive an upper bound on the probability that a location is most likely to contain the target object, and we ignore (features in) locations for which this upper bound is small. With this upper bound we realize a formal trade-off between accuracy and computational burden within trackers. We demonstrate the effectiveness of our approach in experimental evaluations, which show that the average number of inspected features can be reduced by up to 90% without affecting the accuracy of the tracker. Taken together, this thesis makes a major contributions to model-free trackers, both in performance as well as in efficiency, and shows the power of online learning, also for model-based trackers. With that steps are taken towards realizing computers that can see.","Tracking; Model free; Online Learning; Spatial Constraint; Speed up","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","INSY","","","",""
"uuid:b20732c8-7d1b-48bc-969b-f51380bc0ec8","http://resolver.tudelft.nl/uuid:b20732c8-7d1b-48bc-969b-f51380bc0ec8","Liquid-Si Technology for High-Speed Circuits on Flexible Substrates","Zhang, J.","Beenakker, C.I.M. (promotor)","2015","Recently, flexible, wearable and disposable electronics have attracted a lot of attention. Printing enables low-cost fabrication of circuits on flexible substrates. Printed organic and metal oxide thin-film transistors (TFTs) have been researched intensively due to the ease of solution-processing. But their carrier mobility and reliability are inferior to conventional CMOS transistors fabricated with crystalline Si. Printed Si TFTs have also been reported, including amorphous Si and poly-crystalline Si TFTs. Both techniques are based on a precursor of liquid-Si solution. The high temperature required for forming Si film and the low mobility due to randomly positioned grain boundaries inside the channel region are limitations for fabricating high-speed circuits on flexible substrates. In this thesis single-grain Si TFTs with high performance produced at a low temperature (< 350 °C) from a printed liquid-Si solution on a flexible substrate is presented. Applications may include display drivers, flexible memories, printed RFID tags and other high-speed circuits on flexible substrates. Liquid Si is the mixture of a cyclopentasilane (CPS) monomer, UV-polymerized CPS and solvent. It can be spin coated on top of a substrate. Under thermal treatment, the solvent is evaporated, Si-H bonds are broken, and an amorphous Si film is formed. After the film is thermally annealed at 650 °C for dehydrogenation, it is crystallized by a XeCl excimer laser (308 nm) to make location-controlled single grains, using the ?-Czochralski crystallization method. Top-gated Si TFTs are fabricated with the channel inside a grain, and self-alignment source/drain doping by ion implantation is employed in the process. In Chapter 3, the fabrication process is discussed in detail. Due to the absence of grain boundaries in the channel region, the TFTs show carrier mobilities of 423 cm2/Vs for electrons and 118 cm2/Vs for holes, which are higher than those of organic-, metal oxide-, a-Si- or poly-Si TFTs. NMOS TFTs show stable behavior under gate and drain stress, and negligible hysteresis effect. On the other hand, PMOS TFTs show trap generation and carrier injection from the gate. To meet the temperature requirements for fabrication on flexible substrates, a low-temperature (<350 °C) process is demonstrated in Chapter 4. With doctor blade coating of pure CPS monomers, curing using UV light, annealing at 350 °C and dehydrogenating by excimer laser at room temperature, an amorphous film with low hydrogen concentration can be formed on top of a polyimide substrate without damaging the substrate. Single-grain Si TFTs are fabricated using a low-temperature a-Si film, and the carrier mobility is 460 cm2/Vs for electrons and 121 cm2/Vs for holes. This is the first time that single-grain Si TFTs are fabricated on top of a flexible substrate. By etching away the polyimide substrate, the devices are released from the supporting Si wafer, and are then transferred onto a 125 ?m-thick PEN foil, becoming flexible. The bending diameter, which is the diameter one can bend until device destruction, is as low as 6 mm. An improved substrate transfer process is investigated in Chapter 5. By placing the devices between two layers of 10-?m-thick polyimide, the devices could be bent to a diameter of 3 mm. They survive 140 bending-releasing cycles at 3 mm. Theoretically they function after many more cycles. SiO2, as the most important dielectric in the semiconductor industry, is also investigated for low-temperature fabrication from the same liquid-Si solution. SiO2 is fabricated at 350 °C, using a doctor-blade coating method and oxidation of the incompletely thermally annealed a-Si in oxygen plasma. As shown in Chapter 6, the atomic ratio O/Si of the resulting oxide film is 1.66, and the breakdown electric field strength is 1.1 MV/cm. Besides being a dielectric layer, the Si-rich SiO2 film can be crystallized by an excimer laser to form nanocrystalline Si dots for flash memory applications. This thesis deals with liquid-Si technology for high-speed circuits on flexible substrates. The work focuses on flexible single-grain Si TFTs and low-temperature silicon oxide. Upon satisfactory performance of the resulting devices, future work could be done on new processes for lower-temperature fabrications, new substrate transfer methods for more flexible devices and new circuit designs for complex digital or analog circuits.","flexible devices; solution-processed liquid-Si; thin-film transistors; single-grain transistors; laser crystallization","en","doctoral thesis","","","","","","","","2017-01-26","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:07fc2767-47df-41ac-8fe6-d4a4ca1ae83e","http://resolver.tudelft.nl/uuid:07fc2767-47df-41ac-8fe6-d4a4ca1ae83e","Intraannual variability of tides in the thermosphere from model simulations and in situ satellite observations","Häusler, K.; Hagan, M.E.; Forbes, J.M.; Zhang, X.; Doornbos, E.; Bruinsma, S.; Lu, G.","","2015","In this paper, we provide insights into limitations imposed by current satellite-based strategies to delineate tidal variability in the thermosphere, as well as the ability of a state-of-the-art model to replicate thermospheric tidal determinations. Toward this end, we conducted a year-long thermosphere-ionosphere-mesosphere-electrodynamics general circulation model (TIME-GCM) simulation for 2009, which is characterized by low solar and geomagnetic activity. In order to account for tropospheric waves and tides propagating upward into the ?30–400 km model domain, we used 3-hourly MERRA (Modern-Era Retrospective Analysis for Research and Application) reanalysis data. We focus on exospheric tidal temperatures, which are also compared with 72 day mean determinations from combined Challenging Minisatellite Payload (CHAMP) and Gravity Recovery and Climate Experiment (GRACE) satellite observations to assess the model's capability to capture the observed tidal signatures and to quantify the uncertainties associated with the satellite exospheric temperature determination technique. We found strong day-to-day tidal variability in TIME-GCM that is smoothed out when averaged over as few as ten days. TIME-GCM notably overestimates the 72 day mean eastward propagating tides observed by CHAMP/GRACE, while capturing many of the salient features of other tidal components. However, the CHAMP/GRACE tidal determination technique only provides a gross climatological representation, underestimates the majority of the tidal components in the climatological spectrum, and moreover fails to characterize the extreme variability that drives the dynamics and electrodynamics of the ionosphere-thermosphere system. A multisatellite mission that samples at least six local times simultaneously is needed to provide this quantification.","","en","journal article","American Geophysical Union","","","","","","","2015-07-24","Aerospace Engineering","Space Engineering","","","",""
"uuid:814e3ed8-2242-4bfd-a4e6-33fc81f3d161","http://resolver.tudelft.nl/uuid:814e3ed8-2242-4bfd-a4e6-33fc81f3d161","Empirical evaluation of an on-street parking pricing scheme in the city center","Cats, O.; Zhang, C.; Nissan, A.","","2015","Parking pricing policies can be used as a policy instrument to steer the parking market and reduce the externalities caused by traffic in general and parking in particular. A more efficient management of parking demand can improve the utilization of the limited parking capacity at high-demand areas. Even though parking policies are often a topic of public debate, there is lack of systematic empirical analysis of various parking measures. This paper proposes a methodology to empirically measure and evaluate the impacts of on-street parking policies. The utilization of on-street parking demand is computed based on transaction data from 70 ticket vending machines which is calibrated using floating car films. Measures of parking utilization such as occupancy and its temporal variation, throughput, parking duration and turnover are compared prior and following the introduction of a new parking scheme in the center of Stockholm, Sweden, in September 2013. The results indicate that the policy led to a reduction in parking occupancy although it did not yield the 85% occupancy level objective. Furthermore, the price increase has contradictory effects on throughput and turnover due to the interaction between parking occupancy and duration. The results also question the transferability of price elasticity. It is thus recommended to consider multiple measures of parking utilization when carrying out policy evaluation.","Parking Demand Management; on-street parking; Vending Machine Data; revealed preferences; occupancy; elasticity","en","conference paper","TRB","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:c90c7a12-6456-4589-89a6-9448b6f33258","http://resolver.tudelft.nl/uuid:c90c7a12-6456-4589-89a6-9448b6f33258","Influence of wall proximity on flow around two tandem circular cylinders","Wang, X.K.; Zhang, J.-X.; Zhou, B.; Tan, S.K.","","2015","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:e7256f2b-3bf1-4677-9ae5-b95485002562","http://resolver.tudelft.nl/uuid:e7256f2b-3bf1-4677-9ae5-b95485002562","Chloride transport in partially saturated mortar made of blended cement","Zhang, Y. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2015","Due to environmental (low CO2 emission) and economic benefit, supplementary cementitious materials (SCMs) have been widely used in reinforced concrete structures. However, the question from engineering practice is to which extend these blended mixtures do meet the future durability criteria. Chloride ingression is nowadays considered as the main concern for reinforcement corrosion. Due to self-desiccation or drying process, cement-based materials are no longer saturated, which would greatly influence the chloride transport properties.
This paper explores the effect of water saturation level on chloride diffusivity in cement-based materials by resistivity measurements. Experiments were performed on mortars made of different blended materials, i.e. fly ash, blast furnace slag and limestone powder. Mortar materials have been curing for 200 days conditioning in humid room at 20±1°C, followed by oven drying at 50°C until the samples reach targeted water saturation levels. The results showed that chloride diffusivity is highly dependent on the water saturation level and water-vapour desorption isotherm of the mortar material. The dependency varies with cement type, and is significantly related to pore size distribution characteristics. In addition, the effect of water content on chloride diffusivity is more evident in blended cement mortars than reference ordinary Portland cement mortar. For the materials studied in this research, the capillary pores with diameter range of 7.1-73 nm plays dominated role in chloride diffusion under non-saturated state.","cement mortar; saturation degree; chloride; supplementary cementitious materials","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:8aa49f4e-206e-45c9-8e36-0d95e6f920d3","http://resolver.tudelft.nl/uuid:8aa49f4e-206e-45c9-8e36-0d95e6f920d3","Progress in Understanding Color Maintenance in Solid-State Lighting Systems","Yazdan Mehr, M. (TU Delft Materials Innovation Institute); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2015","In this paper, progresses of color maintenance, also known as color shift, in solid-state lighting (SSL) systems are thoroughly reviewed. First, color shift is introduced and a few examples are given from different real-life industrial conditions. Different degradation mechanisms in different parts of the system are also explained. Different materials used as lenses/encapsulants in light-emitting diode (LED)-based products are introduced and their contributions to color shift are discussed. Efforts put into standardization, characterizing, and predicting lumen maintenance are also briefly reviewed in this paper.","color shift; light-emitting diode (LED); lumen degradation; lumen depreciation","en","review","","","","","","Advanced Materials and Materials Genome—Review","","","","Materials Innovation Institute","Electronic Components, Technology and Materials","","",""
"uuid:6500a592-c3a8-4f30-93e5-43474f0ed815","http://resolver.tudelft.nl/uuid:6500a592-c3a8-4f30-93e5-43474f0ed815","A numerical study of unsteady cavitation on a hydrofoil by les and URANS method","Li, Zi Ru (Wuhan University of Technology); Zhang, Guang Ming (Wuhan University of Technology); He, Wei Dong (Wuhan University of Technology); van Terwisga, T.J.C. (TU Delft Ship Hydromechanics and Structures; Maritime Research Institute Netherlands (MARIN))","Farhat, M. (editor); Müller, A. (editor)","2015","In this paper, the unsteady cavitation phenomena on a NACA0015 hydrofoil is numerically simulated by unsteady Reynolds-Averaged Navier-Stokes (URANS) method and Large Eddy Simulation (LES) in single-fluid approaches to multiphase modelling, respectively. It is observed that the large-scale structures and characteristic periodic shedding predicted by the URANS with the modified SST k-ω turbulence model show a good qualitative match with the experimental observations but with quantitative discrepancies, such as a different cavity length and volume, and a different location of shedding. Compared to the URANS results, the LES results reproduce more details of unsteady dynamics with an improved quantitative agreement.","","en","conference paper","IOP Publishing","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:ac93ae99-df44-4bcc-a7ea-3c0f19636fa9","http://resolver.tudelft.nl/uuid:ac93ae99-df44-4bcc-a7ea-3c0f19636fa9","Transportation modeling and management","Zhang, X.; Van Zuylen, H.; Yin, Y.; Chow, A.H.F.; Shao, H.","","2014","Editorial","","en","journal article","Hindawi Publishing Corporation","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:cd86fd57-30f3-422e-8d6a-40c7a663f199","http://resolver.tudelft.nl/uuid:cd86fd57-30f3-422e-8d6a-40c7a663f199","Biomethane and Phosphorus Recovery from Brackish Aquaculture Recirculation System","Zhang, X.","Van Lier, J.B. (promotor)","2014","","anaerobic digestion; brackish aquaculture recirculation systems; bacterial and archaeal population; inorganic coagulants; struvite; biomethane","en","doctoral thesis","","","","","","","","2017-01-01","Civil Engineering and Geosciences","Water Management","","","","52.0017, 4.3725"
"uuid:bf0babd8-2e6d-40f5-b805-a629a4160ae3","http://resolver.tudelft.nl/uuid:bf0babd8-2e6d-40f5-b805-a629a4160ae3","Chaotic Dynamics in Smart Grid and Suppression Scheme via Generalized Fuzzy Hyperbolic Model","Sun, Q.; Wang, Y.; Yang, J.; Qiu, Y.; Zhang, H.","","2014","This paper presents a method to control chaotic behavior of a typical Smart Grid based on generalized fuzzy hyperbolic model (GFHM). As more and more distributed generations (DG) are incorporated into the Smart Grid, the chaotic behavior occurs increasingly. To verify the behavior, a dynamic model which describes a power system with DG is presented firstly. Then, the simulation result shows that the power system can lead to chaos under certain initial conditions. Based on the universal approximation of GFHM, we confirm that the chaotic behavior could be suppressed by a new controller, which is designed by means of solving a linear matrix inequality (LMI). This approach could make a good application to suppress the chaos in Smart Grid. Finally, a numerical example is given to demonstrate the effectiveness of the proposed chaotic suppression strategy.","","en","journal article","Hindawi","","","","","","","","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:9513c303-96a1-4efa-a526-540ed62c021c","http://resolver.tudelft.nl/uuid:9513c303-96a1-4efa-a526-540ed62c021c","Human discrimination of depth of field in stereoscopic and nonstereoscopic photographs","Zhang, T.; Nefs, H.T.; Heynderickx, I.E.J.R.","","2014","Depth of field (DOF) is defined as the distance range within which objects are perceived as sharp. Previous research has focused on blur discrimination in artificial stimuli and natural photographs. The discrimination of DOF, however, has received less attention. Since DOF introduces blur which is related to distance in depth, many levels of blur are simultaneously present. As a consequence, it is unclear whether discrimination thresholds for blur are appropriate for predicting discrimination thresholds for DOF. We therefore measured discrimination thresholds for DOF using a two-alternative forced-choice task. Ten participants were asked to observe two images and to select the one with the larger DOF. We manipulated the scale of the scene—that is, the actual depth in the scene. We conducted the experiment under stereoscopic and nonstereoscopic viewing conditions. We found that the threshold for a large DOF (39.1 mm) was higher than for a small DOF (10.1 mm), and the thresholds decreased when scale of scene increased. We also found that there was no significant difference between stereoscopic and nonstereoscopic conditions. We compared our results with thresholds predicted from the literature. We concluded that using blur discrimination thresholds to discriminate DOF may lead to erroneous conclusions because the depth in the scene significantly affects people’s DOF discrimination ability.","depth of field; discrimination; stereo photographs","en","journal article","Pion","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:acc7014c-2bee-49d0-b10c-a7f9a31d2e31","http://resolver.tudelft.nl/uuid:acc7014c-2bee-49d0-b10c-a7f9a31d2e31","Chloride diffusivity in partially saturated cement-based materials assessed by resistivity measurements","Zhang, Y.; Ye, G.","","2014","Concrete is seldom saturated due to its self-desiccation. Even the submerged concrete structures may remain unsaturated for quite a long time. It has been reported the saturation level of pore solution has significant effect on species penetration. However, very little work was proposed regarding the transport properties and serviceability of concrete structures made of blended cementitious materials. This paper initiated the study of chloride ion diffusion in various blended cement-based system under non-saturated condition by resistivity measurements. Experiments have been performed on mortars made of different cement-based materials (Portland cement, fly ash, blast furnace slag, limestone powder) with different water to binding ratio (w/b=0.4, 0.5, 0.6). The mortar specimens have been curing for 200 days conditioning with 98% RH and 20°C, followed by oven drying at 50°C until the specimens reach different saturation levels from 95% down to 18%. The resistivity measurements for different cement-based systems are performed. The results showed that saturation level has significant effect on the chloride diffusion coefficient. As to the relation between relative diffusivity and water saturation, the effect of w/b is less obvious in system with higher w/b. Compared with FA and LP system, the deepest decrease in relative diffusivity was found in BFS-blended system with the decrease of water saturation level.","blended materials; relative diffusivity; non-saturated; chloride; resistivity","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:c9feb42a-54a2-4ab2-9b9e-4c91e097e913","http://resolver.tudelft.nl/uuid:c9feb42a-54a2-4ab2-9b9e-4c91e097e913","Single-Grain Si TFTs Fabricated on a Precursor from Doctor-Blade Coated Liquid-Si","Zhang, J.; Trifunovic, M.; Van der Zwan, M.; Takagishi, H.; Shimoda, T.; Ishihara, R.","","2014","Printing is attractive for manufacturing flexible circuits. This manuscript presents our investigation of single-grain Si TFTs fabricated from printed liquid-Si, on a polyimide substrate with the maximum process temperature of 350 °C. The field-effect mobility is 460 cm2/Vs for electrons and 121 cm2/Vs for the holes. CMOS inverters were also fabricated. The devices function at the bending diameter of 3 mm. The device performance under the bending stress was discussed.","","en","journal article","Electrochemical Society","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:06abf5c2-f5f4-4d32-a941-0e015bf03d8b","http://resolver.tudelft.nl/uuid:06abf5c2-f5f4-4d32-a941-0e015bf03d8b","Metagenomic insights into the bio-functionality of 21 anaerobic biogas reactors (abstract)","Tao, Y.; Gao, D.W.; Wang, H.Y.; Zhang, X.; Ghasimi, S.M.D.; Ozgun, H.; Ersahin, M.E.; Zhou, Z.B.; Liu, G.; Temudo, M.F.; Kloek, J.; Spanjers, H.; De Kreuk, M.K.; Van Lier, J.B.","","2014","","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:fb175261-43a4-44ee-ad4b-ee586ccdd1aa","http://resolver.tudelft.nl/uuid:fb175261-43a4-44ee-ad4b-ee586ccdd1aa","Wet-chemical Treatment for Improved Surface Passivation of Textured Silicon Heterojunction Solar Cells","Deligiannis, D.; Alivizatos, S.; Ingenito, A.; Zhang, D.; Van Sebille, M.; Van Swaaij, R.A.C.M.M.; Zeman, M.","","2014","Silicon heterojunction (SHJ) solar cells constantly gain more attention due to their low cost and relatively high efficiency. An important aspect of these solar cells is the incorporation of intrinsic hydrogenated amorphous silicon (a-Si:H) layers at each side of the c-Si wafer, which has increased the efficiency potential due to the excellent surface passivation. By applying a randomly textured instead of a double-side polished wafer, optical enhancement is achieved resulting in significant reflection reduction and high short-circuit current densities (Jsc). However, texturing-induced defects lead to an a-Si:H/c-Si interface with increased recombination, which limits the open circuit voltage (Voc) of the SHJ device after using the same cleaning treatment as for the flat wafer. Thus, a one-to-one transfer of process parameters from flat to textured c-Si substrate is not necessarily appropriate and a different wet-chemical treatment is needed. In this work, a chemical treatment is demonstrated, which leads to an improved surface passivation.","silicon heterojunction; passivation; nitric acid; cleaning; wafer texturing","en","journal article","Elsevier","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:007109ef-b80a-4dd1-ba88-c498f9eaacbf","http://resolver.tudelft.nl/uuid:007109ef-b80a-4dd1-ba88-c498f9eaacbf","Out-of-sample validation for structured expert judgment of Asian carp establishment in Lake Erie","Cooke, R.M.; Wittmann, M.E.; Lodge, D.M.; Rothlisberger, J.D.; Rutherford, E.S.; Zhang, H.; Mason, D.M.","","2014","Structured expert judgment (SEJ) is used to quantify the uncertainty of nonindigenous fish (bighead carp [Hypophthalmichthys nobilis] and silver carp [H. molitrix]) establishment in Lake Erie. The classical model for structured expert judgment model is applied. Forming a weighted combination (called a decision maker) of experts' distributions, with weights derived from performance on a set of calibration variables from the experts' field, exhibits greater statistical accuracy and greater informativeness than simple averaging with equal weights. New methods of cross validation are applied and suggest that performance characteristics relative to equal weighting could be predicted with a small number (1-2) of calibration variables. The performance-based decision maker is somewhat degraded on out-of-sample prediction, but remained superior to the equal weight decision maker in terms of statistical accuracy and informativeness.","Asian carp; classical model; cross validation; invasive species; structured expert judgment","en","journal article","Wiley","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Applied Mathematics","","","",""
"uuid:d77c2db4-fea3-4283-a428-03262c6b76db","http://resolver.tudelft.nl/uuid:d77c2db4-fea3-4283-a428-03262c6b76db","OPTI-VFA: Novel monitoring and process control system for efficient production of VFA and biogas in anaerobic digesters (poster)","Irizar, I.; Aymerich, E.; Esteban, M.; Zhang, X.; Spanjers, H.; Paaso, J.; Rahkamaa-Tolonen, K.","","2014","","","en","journal article","","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:f5107a63-713a-4d0d-8956-bd0cb38de5e8","http://resolver.tudelft.nl/uuid:f5107a63-713a-4d0d-8956-bd0cb38de5e8","Reliability of LED-based Products is a Matter of Balancing Temperatures","van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2014","Solid State Lighting (SSL) technologies and products are gradually pervading into our daily life. An SSL system is composed of a LED engine with a micro-electronics driver(s), integrated in a housing that also provides the optical, sensing and other functions. Knowledge of (system) reliability is crucial for not only the business success of the future SSL applications, but also solving many associated scientific challenges. In practice, a malfunction of the system might be induced by the failure and/or degradation of the subsystems/interfaces. Extra costs, in terms of additional efforts/designs/parts, have been considered in order to secure the guaranteed reliability performance of SSL system. Most of SSL system designs, which allow few failures of the subsystem/interface during the application period, can be achieved with significant cost reduction when the (system) reliability is well understood by proper experimental and simulation techniques. Our keynote will address the items to ensure high reliability and thermal management of SSL systems and set out the challenges for good thermal management, high performance, and reliable SSL systems.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Accepted Author Manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:e979ebcc-6edf-4e32-a27c-57e0ac432954","http://resolver.tudelft.nl/uuid:e979ebcc-6edf-4e32-a27c-57e0ac432954","Lunar tide contribution to thermosphere weather","Zhang, J.T.; Forbes, J.M.; Zhang, C.H.; Doornbos, E.N.; Bruinsma, S.L.","","2014","As the utilization of low-Earth orbit increases, so does the need for improved ephemeris predictions and thus more accurate density models. In this paper we quantify the density variability of the thermosphere attributable to the lunar gravitational tide, a potentially predictable component of variability not included in any operational density prediction models to date. Using accelerometer measurements from the GOCE satellite near 260 km altitude, the level of lunar tidal density variability is shown to be about half that associated with the low level of geomagnetic variability that occurs about 75% of the time (Kp ? 3), thus constituting an element of “space weather.” Our conclusion is that the lunar tide ought to be considered for inclusion in contemporary density models of the thermosphere for operational ephemeris predictions. Some suggested first steps are included in the conclusions of this paper.","lunar tide; thermosphere weather; GOCE","en","journal article","American Geophysical Union","","","","","","","2015-03-01","Aerospace Engineering","Space Engineering","","","",""
"uuid:80f00205-f370-4eea-a19b-ee7be33f11c5","http://resolver.tudelft.nl/uuid:80f00205-f370-4eea-a19b-ee7be33f11c5","Use of Structured Expert Judgment to Forecast Invasions by Bighead and Silver Carp in Lake Erie","Wittmann, M.E.; Cooke, R.M.; Rothlisberger, J.D.; Ruttherford, E.; Zhang, H.; Mason, D.M.; Lodge, D.M.","","2014","Identifying which nonindigenous species will become invasive and forecasting the damage they will cause is difficult and presents a significant problem for natural resource management. Often, the data or resources necessary for ecological risk assessment are incomplete or absent, leaving environmental decision makers ill equipped to effectively manage valuable natural resources. Structured expert judgment (SEJ) is a mathematical and performance-based method of eliciting, weighting, and aggregating expert judgments. In contrast to other methods of eliciting and aggregating expert judgments (where, for example, equal weights may be assigned to experts), SEJ weights each expert on the basis of his or her statistical accuracy and informativeness through performance measurement on a set of calibration variables. We used SEJ to forecast impacts of nonindigenous Asian carp (Hypophthalmichthys spp.) in Lake Erie, where it is believed not to be established. Experts quantified Asian carp biomass, production, and consumption and their impact on 4 fish species if Asian carp were to become established. According to experts, in Lake Erie Asian carp have the potential to achieve biomass levels that are similar to the sum of biomasses for several fishes that are harvested commercially or recreationally. However, the impact of Asian carp on the biomass of these fishes was estimated by experts to be small, relative to long term average biomasses, with little uncertainty. Impacts of Asian carp in tributaries and on recreational activities, water quality, or other species were not addressed. SEJ can be used to quantify key uncertainties of invasion biology and also provide a decision-support tool when the necessary information for natural resource management and policy is not available.","Asian carp; ecological forecasting; invasive species; Laurentian Great Lakes; risk assessment","en","journal article","Society for Conservation Biology","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Applied Mathematics","","","",""
"uuid:38ea15bb-7e5e-4464-a00f-28b87e28559e","http://resolver.tudelft.nl/uuid:38ea15bb-7e5e-4464-a00f-28b87e28559e","Exploration of the Growing Trend of Electric Vehicles in Beijing with System Dynamics method and Vensim model","Zhang, C.; Qin, C.","","2014","This research is conducted to explore the growing trend of private vehicles in Beijing, China, in the coming 25 years using the system dynamics (SD) method. The vensim software is used to build the SD model and do simulations. First, the paper introduces the background of the private vehicles in Beijing and analyzes the issues of traffic congestion and air pollution caused by them. Second, the method system dynamics is justified to analyze the concerned issues of Beijing vehicle and the attendant traffic congestion and air pollution. Third, qualitative analysis is done to explore what possible policies the Beijing government can adopt to increase the percentage of electrical vehicles (EVs) and control the number of total private vehicles at the same time. A causal loop diagram is drawn to facilitate the way of thinking. Afterwards, a quantitative SD model about the system is built based on the causal loop diagram and qualitative analysis is made. Finally, the SD model is simulated to explore the plausible future trend about private vehicles and study the implications of different policies.","system dynamics; vensim; Beijing; electric vehicle; air pollution; traffic congestion","en","conference paper","System Dynamics Society","","","","","","","","Delft University of Technology","","","","",""
"uuid:31981176-3bad-4c13-b2f8-ce06f53d9818","http://resolver.tudelft.nl/uuid:31981176-3bad-4c13-b2f8-ce06f53d9818","Tailoring the Mechanical Properties of High-Aspect-Ratio Carbon Nanotube Arrays using Amorphous Silicon Carbide Coatings","Poelma, R.H.; Morana, B.; Vollebregt, S.; Schlangen, H.E.J.G.; Van Zeijl, H.W.; Fan, X.; Zhang, G.Q.","","2014","The porous nature of carbon nanotube (CNT) arrays allows for the unique opportunity to tailor their mechanical response by the infiltration and deposition of nanoscale conformal coatings. Here, we fabricate novel photo-lithographically defined CNT pillars that are conformally coated with amorphous silicon carbide (a-SiC) to strengthen the interlocking of individual CNTs at junctions using low pressure chemical vapor deposition (LPCVD). We further quantify the mechanical response by performing flat-punch nanoindentation measurements on coated CNT pillars with various high-aspect-ratios. We discovered new mechanical failure modes of coated CNT pillars, such as “bamboo” and brittle-like composite rupture as coating thickness increases. Furthermore, a significant increase in strength and modulus is achieved. For CNT pillars with high aspect ratio (1:10) and coating thickness of 21.4 nm, the compressive strength increases by an order of magnitude of 3, towards 1.8 GPa (from below 1 MPa for uncoated CNT pillars) and the elastic modulus increases towards 125 GPa. These results show that our coated CNT pillars, which can serve as vertical interconnects and 3D super-capacitors, can be transformed into robust high-aspect-ratio 3D-micro architectures with semiconductor device compatible processes.","carbon nanotubes; conformal coating; silicon carbide; mechanical testing; material properties; effects of coating on nanofoam; vertical interconnects; super capacitors; ultra strong","en","journal article","Wiley","","","","","","","2015-07-17","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:e19b1fa2-9500-40a9-bf35-4501e319ab56","http://resolver.tudelft.nl/uuid:e19b1fa2-9500-40a9-bf35-4501e319ab56","Through-polymer via (TPV) and method to manufacture such a via","Poelma, R.H.; Van Zeijl, H.; Zhang, G.","","2014","The invention relates to vias for three dimensional (3D) stacking, packaging and heterogeneous integration of semi-conductor layers and wafers. In particular, the invention relates to a process for the manufacture of a via, to a via, to a 3D circuit and to a semiconductor device. Vias are interconnects used to vertically interconnect chips, devices, interconnection layers and wafers i.e. in an out-of-plane direction.","","en","patent","European Patent Office","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:32c461a3-1ffb-46de-990d-752966c5bb60","http://resolver.tudelft.nl/uuid:32c461a3-1ffb-46de-990d-752966c5bb60","Dynamic plasmonic beam shaping by vector beams with arbitrary locally linear polarization states","Man, Z.; Du, L.; Min, C.; Zhang, Y.; Zhang, C.; Zhu, S.; Urbach, H.P.; Yuan, X.C.","","2014","Vector beams, which have space-variant state of polarization (SOP) comparing with scalar beams with spatially homogeneous SOP, are used to manipulate surface plasmon polarizations (SPPs). We find that the excitation, orientation, and distribution of the focused SPPs excited in a high numerical aperture microscopic configuration highly depend on the space-variant polarization of the incident vector beam. When it comes to vector beam with axial symmetry, multi-foci of SPPs with the same size and uniform intensity can be obtained, and the number of foci is depending on the polarization order n. Those properties can be of great value in biological sensor and plasmonic tweezers applications.","","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:b2dc0eb2-4ee1-4c9b-b5e3-8d87c6b71856","http://resolver.tudelft.nl/uuid:b2dc0eb2-4ee1-4c9b-b5e3-8d87c6b71856","Stable acetate production in extreme-thermophilic (70ºC) mixed culture fermentation by selective enrichment of hydrogenotrophic methanogens","Zhang, F.; Zhang, Y.; Ding, J.; Dai, K.; Van Loosdrecht, M.C.M.; Zeng, R.J.","","2014","The control of metabolite production is difficult in mixed culture fermentation. This is particularly related to hydrogen inhibition. In this work, hydrogenotrophic methanogens were selectively enriched to reduce the hydrogen partial pressure and to realize efficient acetate production in extreme-thermophilic (706C) mixed culture fermentation. The continuous stirred tank reactor (CSTR) was stable operated during 100 days, in which acetate accounted for more than 90% of metabolites in liquid solutions. The yields of acetate, methane and biomass in CSTR were 1.5 ± 0.06, 1.0 ± 0.13 and 0.4 ± 0.05 mol/mol glucose, respectively, close to the theoretical expected values. The CSTR effluent was stable and no further conversion occurred when incubated for 14 days in a batch reactor. In fed-batch experiments, acetate could be produced up to 34.4 g/L, significantly higher than observed in common hydrogen producing fermentations. Acetate also accounted for more than 90% of soluble products formed in these fed-batch fermentations. The microbial community analysis revealed hydrogenotrophic methanogens (mainly Methanothermobacter thermautotrophicus and Methanobacterium thermoaggregans) as 98% of Archaea, confirming that high temperature will select hydrogenotrophic methanogens over aceticlastic methanogens effectively. This work demonstrated a potential application to effectively produce acetate as a value chemical and methane as an energy gas together via mixed culture fermentation.","environmental biotechnology; environmental science","en","journal article","Nature Publishing Group","","","","","","","","Applied Sciences","BT/Biotechnology","","","",""
"uuid:1c9c01a2-e74a-4da1-b46f-f1a776413121","http://resolver.tudelft.nl/uuid:1c9c01a2-e74a-4da1-b46f-f1a776413121","Tuning the phase transition in transition-metal-based magnetocaloric compounds","Miao, X.F.; Caron, L.; Roy, P.; Dung, N.H.; Zhang, L.; Kockelmann, W.A.; De Groot, R.A.; Van Dijk, N.H.; Brück, E.","","2014","Neutron-diffraction experiments on the (Mn,Fe)2(P,Si)-type compounds have shown a site preference of Si atoms in the hexagonal structure. The degree of ordering of Si depends on the Si/P ratio, while it is independent of the Mn/Fe ratio. The ferromagnetic-paramagnetic magnetoelastic transition is closely related to the size of the magnetic moment on the 3f site. A preferred occupation of Si atoms on the 2c site stabilizes and decreases the magnetic moment on the 3f and 3g site, respectively, which is supported by our first-principles density functional theory calculations. This effect, together with the contribution from the Si substitution-induced changes in the interatomic distances, leads to a phase transition that is tunable in temperature and degree of first order in Mn1.25Fe0.70P1?xSix compounds. These results provide us with further insight into the relationship between the magnetoelastic phase transition and the local atomic coordination.","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:124a794f-2808-4317-b2e6-ccf281f544a0","http://resolver.tudelft.nl/uuid:124a794f-2808-4317-b2e6-ccf281f544a0","Pore structure of blended cement paste by means of pressurization¿depressurization cycling mercury intrusion porosimetry","Zhang, Y.; Wu, B.; Zhou, J.; Ye, G.; Shui, Z.","","2014","Concrete containing supplementary cementitious materials (SCMs) has different durability properties from that containing pure Portland cement. The durability of concrete is always associated with the properties of microstructure, especially pore structure. Pressurization–Depressurization Cycling Mercury Intrusion Porosimetry (PDC-MIP) was recently developed which can overcome the “ink bottle” effect and provide a more accurate estimation of the pore size distribution in cement-based materials. In this study, the PDC-MIP was applied to characterize the evolution of pore structure of cement pastes blended with fly ash and/or limestone powder. The results revealed that as hydration proceeds the volume of gel pores (<0.01 um) increased while the volume of capillary pores (>0.05 um) decreased. The addition of fly ash increases the volume of gel pores (<0.01 um).","mercury porosimetry; pore size; supplementary cementitious materials","en","conference paper","DCMat Ageing Centre, Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:1d2cffb7-c5d4-4c13-9e97-7a1c12cf9ae8","http://resolver.tudelft.nl/uuid:1d2cffb7-c5d4-4c13-9e97-7a1c12cf9ae8","Modelling of Metal Nanoimprinting","Zhang, Y.","Thijsse, B.J. (promotor); Nicola, L. (promotor)","2014","This thesis addresses the feasibility of a new nanotechnology, direct metal nanoimprinting. The main aim of this work is to investigate, by means of computer simulations, the possibility to create reproducible patterns in a metal layer by plastically deforming it with a rigid template.","nanotechnology; metal nanoimprinting","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:910fbe01-d6f6-4a58-87a9-b2420b76fe2b","http://resolver.tudelft.nl/uuid:910fbe01-d6f6-4a58-87a9-b2420b76fe2b","Development of a framework for information acquisition and processing in cyber-physical systems","Li, Y.; Song, Y.; Horvath, I.; Opiyo, E.Z.; Zhang, G.","","2014","In the designing and modeling of CPSs, the information acquisition and processing processes are often application dependent and process oriented. Those information management frameworks are simple and effective for small scale systems. However, many functions developed are not reusable or cannot be directly re-used, when a large number of details and relations need to be added. Aiming at designing a flexible and scalable system with “plug-and-play” components, a preliminary information acquisition and processing framework for CPSs is proposed in this paper based on the object oriented design (OOD) method. The concept of informational hierarchy within CPSs is identified first. Then it is further elaborated as instantaneous information, dynamic information and context information. Using these three types of information, together with the physical properties of a component in CPSs, the concept of hybrid object is proposed as the basic component of the proposed framework. By defining the inherent and update operation of hybrid objects, the proposed information acquisition and processing framework is formed with hierarchical hybrid objects. To verify the effectiveness and the efficiency of the proposed framework, a case study on designing and modeling a gas metal arc welding (GMAW) based rapid manufacturing system is presented. Limitations of the proposed framework and future research directions are discussed as well.","Information acquisition and processing framework; object oriented design; hybrid object; plug-and-play; cyber-physical systems","en","conference paper","TMCE","","","","","","","","Industrial Design Engineering","Design Engineering","","","",""
"uuid:7f2eb1e2-3ea4-4ee7-a8cc-e9136bc3134b","http://resolver.tudelft.nl/uuid:7f2eb1e2-3ea4-4ee7-a8cc-e9136bc3134b","Single-grain Si thin-film transistors for monolithic 3D-ICs and flexible electronics","Ishihara, R.; Zhang, J.; Trifunovic, M.; Derakhshandeh Kheljani, J.; Golshani, N.; Tajari Mofrad, M.R.; Chen, T.; Beenakker, C.I.M.; Shimoda, T.","","2014","We review our recent achievements in monolithic 3D-ICs and flexible electronics based on single-grain Si TFTs that are fabricated inside a single-grain with a low-temperature process. Based on pulsed-laser crystallization and submicron sized cavities made in the substrate, amorphous-Si precursor film was converted into poly-Si having grains that are formed on predetermined positions. Using the method called µ-Czochralski process and LPCVD a-Si precursor film, two layers of the SG Si TFT layers with the grains having a diameter of 6µm were vertically stacked with a maximum process temperature of 550°C. Mobility for electrons and holes were 600cm2/Vs and 200cm2/Vs, respectively. As a demonstration of monolithic 3D-ICs, the two SG-TFT layers were successfully implemented into CMOS inverter, 3D 6T-SRAM and single-grain lateral PIN photo-diode with in-pixel amplifier. The SG Si TFTs were applied to flexible electronics. In this case, the a-Si precursor was prepared by doctor-blade coating of liquid-Si based on pure cyclopentasilane (CPS) on a polyimide (PI) substrate with maximum process temperature of 350°C. The µ-Czochralski process provided location-controlled Si grains with a diameter of 3µm and mobilities of 460 and 121cm2/Vs for electrons and holes, respectively, were obtained. The devices on PI were transferred to a plastic foil which can operate with a bending diameter of 6mm. Those results indicate that the SG TFTs are attractive for their use in both monolithic 3D-ICs and flexible electronics.","silicon; thin-film transistor; 3D-ICs; excimer-laser; crystallization; SRAM; image sensor; flexible electronics","en","journal article","Institute of Electronics, Information and Communication Engineers","","","","","","","2014-10-01","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:9faceaa8-05c0-4f5d-92ec-53fea48e4fdb","http://resolver.tudelft.nl/uuid:9faceaa8-05c0-4f5d-92ec-53fea48e4fdb","Engineered Tumor Cell Apoptosis Monitoring Method Based on Dynamic Laser Tweezers","Zhang, Y.; Wu, X.; Min, C.; Zhu, S.; Urbach, H.P.; Yuan, X.","","2014","Monitoring the cells’ apoptosis progression could provide a valuable insight into the temporal events that initiate cell death as well as the potential for rescue of apoptotic cells. In this paper, we engineered a novel and robust method for monitoring apoptosis of tumor cells based on dynamic laser tweezers, using A549 and HeLa cell line as typical samples. The entire experiment can be completed in a few hours with small amount of fluid sample, presenting great advantages of celerity, microscaled measurement, and label-free explorations without perturbing experimental conditions in combination with other probes. Validity and stability of this method are verified experimentally in terms of physical parameters of the system. The proposed technique has great potential in improving cancer treatment by monitoring the objective efficacy of tumor cell killing.","","en","journal article","Hindawi","","","","","","","","Applied Sciences","Imaging Physics","","","",""
"uuid:06a2f08f-d506-4b8c-89f1-418e4937bc0b","http://resolver.tudelft.nl/uuid:06a2f08f-d506-4b8c-89f1-418e4937bc0b","Comparison of CFD simulations to non-rotating MEXICO blades experiment in the LTT wind tunnel of TUDelft","Zhang, Y.; Van Zuijlen, A.; Van Bussel, G.","","2014","In this paper, three dimensional flow over non-rotating MEXICO blades is simulated by CFD methods. The numerical results are compared with the latest MEXICO wind turbine blades measurements obtained in the low speed low turbulence (LTT) wind tunnel of Delft University of Technology. This study aims to validate CFD codes by using these experimental data measured in well controlled conditions. In order to avoid use of wind tunnel corrections, both the blades and the wind tunnel test section are modelled in the simulations. The ability of Menter's k-w shear stress transport (SST) turbulence model is investigated at both attached flow and massively separated flow cases. Steady state Reynolds averaged Navier Stokes (RANS) equations are solved in these computations. The pressure distribution at three measured sections are compared under the conditions of different in flow velocities and a range of angles of attack. The comparison shows that at attached flow condition, good agreement can be obtained for all three airfoil sections. Even with massively separated flow, still fairly good pressure distribution comparison can be found for the DU and NACA airfoil sections, although the RIS¬ section shows poor comparison. At the near stall case, considerable deviations exists on the forward half part of the upper surface for all three sections.","","en","journal article","IOP Publishing","","","","","","","","Aerospace Engineering","Aerodynamics, Wind Energy & Propulsion","","","",""
"uuid:3b4e41eb-2b45-4648-82c6-a5d899dce6d3","http://resolver.tudelft.nl/uuid:3b4e41eb-2b45-4648-82c6-a5d899dce6d3","Challenges in modelling river flow and ice regime on the Ningxia–Inner Mongolia reach of the Yellow River, China","Fu, C.; Popescu, I.; Wang, C.; Mynett, A.E.; Zhang, F.","","2014","During winter the Yellow River in China is frequently subjected to ice flood disasters. Possible dike breaking due to ice floods poses a serious threat to the part of the region located along the river, in particular the Ning–Meng reach (including Ningxia Hui and the Inner Mongolia autonomous regions). Due to its special geographical location and river flow direction, the ice dams and jams lead to dike breaking and overtopping on the embankment, which has resulted in huge casualties and property losses throughout history. Therefore, there is a growing need to develop capability in forecasting and analysing river ice floods. Research into ice floods along the river is taking place at the Yellow River Conservancy Commission (YRCC). A numerical model is one of the essential parts of the current research going on at the YRCC, which can be used to supplement the inadequacies in the field and lab studies which are being carried out to help understand the physical processes of river ice on the Yellow River. Based on the available data about the Ning–Meng reach of the Yellow River, the YRCC river ice dynamic model (YRIDM) has been tested for capabilities to conduct ice flood forecasting. The YRIDM can be applied to simulate water level, discharge, water temperature, and ice cover thickness under unsteady-state conditions. Different scenarios were designed to explore the model uncertainty for two bounds (5 and 95%) and probability distribution. The YRIDM is an unsteady-state flow model that can show the basic regular pattern of ice floods; hence it can be used as an important tool to support decision making. The recommendation is that data and research should be continued in order to support the model and to measure improvements.","","en","journal article","European Geosciences Union","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:7b2c27d3-8a5b-4471-a575-d55181d28c51","http://resolver.tudelft.nl/uuid:7b2c27d3-8a5b-4471-a575-d55181d28c51","High charge carrier mobility and efficient charge separation in highly soluble perylenetetracarboxyl-diimides","Günba?, D.D.; Xue, C.; Patwardhan, S.; Fravventura, M.C.; Zhang, H.; Jager, W.F.; Sudhölter, E.J.R.; Laurens, D.A.; Siebbeles, L.D.A.; Savenije, T.J.; Jin, S.; Grozema, F.C.","","2014","In this communication we report on the synthesis and charge mobility of highly soluble perylenebisimid derivatives.We show that introduction of alkylester side chains results in compounds combining a high solubility with charge mobilities up to 0.22 cm2 V_1 s_1. These materials are therefore interesting as an electron acceptor for solution-processed organic photovoltaics.","Gold for Gold; Open Access","en","journal article","RSC Publishing","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:b3cbae91-30e0-495c-ace4-2b0a5c995d0f","http://resolver.tudelft.nl/uuid:b3cbae91-30e0-495c-ace4-2b0a5c995d0f","A Novel Emergent State Control Law for an Integrated Helicopter/Turboshaft Engine System","Zhang, H.; Li, Y.; Deng, S.","","2014","A two-layer robust control scheme is proposed to get a better response ability for emergency maneuvers of helicopter. Note that the power used in ascending flight is the main coupling between helicopter and its turboshaft engines; therefore vertical flight control is separated from conventional helicopter control loops and combined with fuel flow and turbine bleeding to new control loops denoted as an inner layer, whereas the mission level flight control is as the out layer. A conclusion in global asymptotically tracking for devising this new scheme is firstly derived from a Generalized Gronwall-Bellman approach. Due to this integrated designing, not only is the helicopter better controlled, but also much better power rapid tracking is realized for engines. Simulations are conducted to validate the new scheme in emergent ascending and descending flights, and the results illustrate that the response time of the closed-loop system is dramatically reduced when compared to the traditional one. Moreover, the presented system also has better dynamic performance under inferences.","","en","journal article","Hindawi Publishing Corporation","","","","","","","","Aerospace Engineering","Aerodynamics, Wind Energy & Propulsion","","","",""
"uuid:fdc48a80-83d8-4969-a664-3f27e223fdb0","http://resolver.tudelft.nl/uuid:fdc48a80-83d8-4969-a664-3f27e223fdb0","Two-dimensional moonpool resonances for interface and surface-piercing twin bodies in a two-layer fluid","Zhang, X; Bandyk, P.","","2014","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:5202a62c-77c6-4a42-a6a5-b3cbfced73a5","http://resolver.tudelft.nl/uuid:5202a62c-77c6-4a42-a6a5-b3cbfced73a5","Partial validation and verification of the Neumann-Michell theory of ship waves","Zhang, C.L.; Noblesse, F.; Wan, D.C.","","2014","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:d168a0e7-aa62-4d05-a615-a5a18dc1ec57","http://resolver.tudelft.nl/uuid:d168a0e7-aa62-4d05-a615-a5a18dc1ec57","LES-based numerical analysis of surface-pressure fluctuations and unsteady thrust of a marine propeller","Tian, J.; Yang, H.; Zhang, Z.; Yuan, G.; Rao, Z.; Hua, H.","","2014","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:607d8e8b-c5bc-42f3-9b0a-bf6d1b3ec1cf","http://resolver.tudelft.nl/uuid:607d8e8b-c5bc-42f3-9b0a-bf6d1b3ec1cf","Analysis of heave motions of a truss spar platform semi-closed moon pool","Liu, Liqin; Incecik, A.; Zhang, Y.; Tang, Y.","","2014","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:bc5b193b-3fa8-48c4-ac5d-5df5c2e9883e","http://resolver.tudelft.nl/uuid:bc5b193b-3fa8-48c4-ac5d-5df5c2e9883e","CGILS: Results from the first phase of an international project to understand the physical mechanisms of low cloud feedbacks in single column models","Zhang, M.; Bretherton, C.S.; Blossey, P.N.; Austin, P.H.; Bacmeister, J.T.; Bony, S.; Brient, F.; Cheedela, S.K.; Cheng, A.; Del Genio, A.D.; De Roode, S.R.; Endo, S.; Franklin, C.N.; Golaz, J.C.; Hannay, C.; Heus, T.; Isotta, F.A.; Dufresne, J.L.; Kang, I.S.; Kawai, H.; Köhler, M.; Larson, V.E.; Liu, Y.; Lock, A.P.; Lohmann, U.; Khairoutdinov, M.F.; Molod, A.M.; Neggers, R.A.J.; Rasch, P.; Sandu, I.; Senkbeil, R.; Siebesma, A.P.; Siegenthaler-Le Drian, C.; Stevens, B.; Suarez, M.J.; Xu, K.M.; Von Salzen, K.; Webb, M.J.; Wolf, A.; Zhao, M.","","2013","CGILS—the CFMIP-GASS Intercomparison of Large Eddy Models (LESs) and single column models (SCMs)—investigates the mechanisms of cloud feedback in SCMs and LESs under idealized climate change perturbation. This paper describes the CGILS results from 15 SCMs and 8 LES models. Three cloud regimes over the subtropical oceans are studied: shallow cumulus, cumulus under stratocumulus, and well-mixed coastal stratus/stratocumulus. In the stratocumulus and coastal stratus regimes, SCMs without activated shallow convection generally simulated negative cloud feedbacks, while models with active shallow convection generally simulated positive cloud feedbacks. In the shallow cumulus alone regime, this relationship is less clear, likely due to the changes in cloud depth, lateral mixing, and precipitation or a combination of them. The majority of LES models simulated negative cloud feedback in the well-mixed coastal stratus/stratocumulus regime, and positive feedback in the shallow cumulus and stratocumulus regime. A general framework is provided to interpret SCM results: in a warmer climate, the moistening rate of the cloudy layer associated with the surface-based turbulence parameterization is enhanced; together with weaker large-scale subsidence, it causes negative cloud feedback. In contrast, in the warmer climate, the drying rate associated with the shallow convection scheme is enhanced. This causes positive cloud feedback. These mechanisms are summarized as the “NESTS” negative cloud feedback and the “SCOPE” positive cloud feedback (Negative feedback from Surface Turbulence under weaker Subsidence—Shallow Convection PositivE feedback) with the net cloud feedback depending on how the two opposing effects counteract each other. The LES results are consistent with these interpretations.","low cloud feedbacks; CGILS; single column models; large eddy models","en","journal article","American Geophysical Union","","","","","","","2014-06-26","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:8d1abf33-74d0-4042-bae9-6e4468b7bb81","http://resolver.tudelft.nl/uuid:8d1abf33-74d0-4042-bae9-6e4468b7bb81","Averaging Level Control to Reduce Off-Spec Material in a Continuous Pharmaceutical Pilot Plant","Lakerveld, R.; Benyahia, B.; Heider, P.L.; Zhang, H.; Braatz, R.D.; Barton, P.I.","","2013","The judicious use of buffering capacity is important in the development of future continuous pharmaceutical manufacturing processes. The potential benefits are investigated of using optimal-averaging level control for tanks that have buffering capacity for a section of a continuous pharmaceutical pilot plant involving two crystallizers, a combined filtration and washing stage and a buffer tank. A closed-loop dynamic model is utilized to represent the experimental operation, with the relevant model parameters and initial conditions estimated from experimental data that contained a significant disturbance and a change in setpoint of a concentration control loop. The performance of conventional proportional-integral (PI) level controllers is compared with optimal-averaging level controllers. The aim is to reduce the production of off-spec material in a tubular reactor by minimizing the variations in the outlet flow rate of its upstream buffer tank. The results show a distinct difference in behavior, with the optimal-averaging level controllers strongly outperforming the PI controllers. In general, the results stress the importance of dynamic process modeling for the design of future continuous pharmaceutical processes.","control; process modeling; process simulation; parameter estimation; dynamic modeling; optimization; crystallization; continuous pharmaceutical manufacturing","en","journal article","MDPI","","","","","","","","Mechanical, Maritime and Materials Engineering","Process and Energy","","","",""
"uuid:36e87a9f-daca-4fc9-963e-d1c4519022e3","http://resolver.tudelft.nl/uuid:36e87a9f-daca-4fc9-963e-d1c4519022e3","On the Formation of Radial Tidal Current off the Central Jiangsu Coast","Yao, P.; Stive, M.J.F.; Zhang, C.; Su, M.; Wang, Z.B.","","2013","In the South Yellow Sea off the Jiangsu coast, there exists a special type of sand bodies, which are known as radial sand ridge field (RSRF). One of the characteristics of this area is the distinctive radial tidal current field. Although many studies have focused on the hydrodynamic environment around radial sand ridges, the knowledge on the genesis of the radial tidal current is still in a very basic stage. This paper attempts to explore the influence of the tidal dynamics in large Shelf Sea on the formation mechanism of the local tidal current pattern using a schematized process-based model. Different incoming tidal signals are applied in this model respectively to investigate the related current pattern in RSRF. The results reveal that the radial current field only exists under some specified tidal signals from open sea. Furthermore, the geometry of the basin also plays a significant role in the formation of the current pattern.","radial tidal current field; radial sand ridges; formation mechanism; tidal wave","en","conference paper","Tsinghua University Press","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:1005c5ae-d4f6-40c3-8f13-3062ac87ac70","http://resolver.tudelft.nl/uuid:1005c5ae-d4f6-40c3-8f13-3062ac87ac70","Further Research on the Tidal Wave System in the Southern Yellow Sea","Su, M.; Wang, Z.B.; Zhang, C.; Yao, P.; Stive, M.J.F.","","2013","A two-dimensional tidal wave model for the Chinese marginal seas with high resolution is set up and the verification results demonstrate that it can well simulate the large domain. Based on this model, a series of numerical experiments are constructed to analyze the influence of local bathymetry and reclamation of the Jiangsu coast on the tidal wave system. According to the simulation results, the existence of the radial tidal current pattern have not been obviously influenced by the local bathymetry except the magnitude of the current velocity. However, it effects the tidal wave near the Jiangsu coast considerably. The reclamation affects the radial current field slightly, whereas the tidal wave near the southern Jiangsu coast is impacted significantly. Besides, further experiments by adding thin dam in the Southern Yellow Sea are studied and discussed. The results illustrate the existence of the tidal wave from the Northern Yellow Sea, which is important for the formation of the rotating tidal wave system in the Southern Yellow Sea.","Southern Yellow Sea; Jiangsu coast; rotating tidal wave systemt; tidal current; Delft3D","en","conference paper","Tsinghua University Press","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:e7dc5eb4-eade-4195-bf9e-87571efa9ccb","http://resolver.tudelft.nl/uuid:e7dc5eb4-eade-4195-bf9e-87571efa9ccb","Challenges in modeling ice floods on the Ningxia-Inner Mongolia reach of the Yellow River, China","Fu, C.; Popescu, I.; Wang, C.; Mynett, A.E.; Zhang, F.","","2013","During winter the Yellow River in China is frequently subjected to ice flood disasters. Possible dike-breaking due to ice floods poses a serious threat to the part of the region located along the river, in particular the Ning-Meng reach (including Ningxia Hui and the Inner Mongolia Autonomous Region). Due to its special geographical location and river flow direction, the ice dams and jams lead to dike-breaking and overtopping on the embankment, which has resulted in huge casualties and property losses throughout history. Therefore, there is a growing need to develop capability in forecasting and analysing river ice floods. Research into ice floods along the river is taking place at the Yellow River Conservancy Commission (YRCC). A numerical model is one of the essential parts of the current research going on at the YRCC, which can be used to supplement the inadequacies in the field and lab studies which are being carried out to help understand the physical processes of river ice on the Yellow River. Based on the available data about the Ning-Meng reach of the Yellow River, the YRCC River Ice Dynamic Model (YRIDM) has been tested for capabilities to conduct ice flood forecasting. The YRIDM can be applied to simulate water level, discharge, water temperature, and ice cover thickness under unsteady-state conditions. Different scenarios were designed to explore the model uncertainty for two bounds (5% and 95%) and probability distribution. The YRIDM is an unsteady-state flow model that can show the basic regular pattern of ice floods; hence it can be used as an important tool to support decision-making. The recommendation is that data and research should be continued in order to support the model and to measure improvements.","","en","journal article","European Geosciences Union","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:0795cebc-3f61-4b6c-9a90-4182016ae876","http://resolver.tudelft.nl/uuid:0795cebc-3f61-4b6c-9a90-4182016ae876","Gulou Structure Grammar and its Computer Implementation","Xiong, L.; Xiong, W.; Zhang, H.","","2013","Gulou is a type of building found in ethnic Dong peoples settlements in south west China. It plays a significant role in the traditional Dong architecture and shows both social and technical values. In the near future the technique as an intangible culture heritage would face the risk of extinction because of globalization. The paper argues that the use of formal grammar and computer tools could help the preservation and learning of the design knowledge of Gulou Structure and develop Gulou designs which would be adapted to modern needs. A shape grammar called Gulou Structure Grammar (GSG) and its computer implementation are made to achieve the goals of capturing the design knowledge of Gulou structure, generating new Gulou designs and promoting the education of Gulou building techniques.","Gulou structure; shape grammar; parametric model; ethnic building technique","en","conference paper","","","","","","","","","","","","","",""
"uuid:d0c537c0-cf3a-4cd5-bf7e-4215a95a5a5e","http://resolver.tudelft.nl/uuid:d0c537c0-cf3a-4cd5-bf7e-4215a95a5a5e","Experimental Study on Cementitious Composites Embedded with Organic Microcapsules","Wang, X.; Xing, F.; Zhang, M.; Han, N.; Qian, Z.","","2013","The recovery behavior for strength and impermeability of cementitious composites embedded with organic microcapsules was investigated in this study. Mortar specimens were formed by mixing the organic microcapsules and a catalyst with cement and sand. The mechanical behaviors of flexural and compression strength were tested. The results showed that strength could increase by up to nine percent with the addition of a small amount of microcapsules and then decrease with an increasing amount of microcapsules. An orthogonal test for investigating the strength recovery rate was designed and implemented for bending and compression using the factors of water/cement ratio, amount of microcapsules, and preloading rate. It is shown that the amount of microcapsules plays a key role in the strength recovery rate. Chloride ion permeability tests were also carried out to investigate the recovery rate and healing effect. The initial damage was obtained by subjecting the specimens to compression. Both the recovery rate and the healing effect were nearly proportional to the amount of microcapsules. The obtained cementitious composites can be seen as self-healing owing to their recovery behavior for both strength and permeability.","self-healing concrete; organic microcapsules; permeability; recovery rate; healing effect","en","journal article","MDPI","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:855e8cae-aec2-40b4-9899-ba37c00cab01","http://resolver.tudelft.nl/uuid:855e8cae-aec2-40b4-9899-ba37c00cab01","Effects of climate variability on evaporation in Dongping Lake, China, during 2003–2010","Rong, Y.; Su, H.; Zhang, R.; Duan, Z.","","2013","Based on two long-term, hourly (10:30–11:30 and 13:10–14:10) meteorological over-lake observations and data from Shenxian meteorological station, nearby Dongping Lake, the Penman-Monteith equation and reference evaporation ratio algorithm were used to calculate lake evaporation in Dongping Lake, China, from 2003 to 2010. The variation trend of evaporation of Dongping Lake was analyzed, and the influences that caused changes in lake evaporation were also discussed. The results show that (1) the total annual evaporation in Dongping Lake increased at 18.24 mm/a during 2003–2010.The major climatic factors accounting for this increase are the rising net radiation and the rising air temperature; (2) the total annual evaporation in a particular hour (13:10–14:10) in Dongping Lake increased at 4.55 mm/a during 2003–2010—the major climate factors that accounted for this increase are rising net radiation, followed by air temperature, wind velocity, and air humidity; (3) against the background of global warming, the climate of Dongping Lake tended to be dry during 2003–2010; the largest contribution to this comes from air temperature, followed by wind velocity and relative humidity; and (4) the monthly evaporation in Dongping Lake has seasonal variability.","","en","journal article","Hindawi Publishing Corporation","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:1d9c4022-dbd6-4452-9842-4649c1fdd432","http://resolver.tudelft.nl/uuid:1d9c4022-dbd6-4452-9842-4649c1fdd432","A Freight Transport Model for Integrated Network, Service, and Policy Design","Zhang, M.","Tavasszy, L.A. (promotor)","2013","“The goal of the European Transport Policy is to establish a sustainable transport system that meets society’s economic, social and environmental needs
” (ECE, 2009). This statement indicates the challenges that the European transport policy makers are faced with when facilitating an increasing freight transport demand with limited transport infrastructures. The development of an interconnected intermodal transport system has been recognized by the European Commission as an important, strategic task that will contribute to solving the dilemma between the accommodation of an increased freight flow and the need for a sustainable living environment. This thesis focuses on model-based, quantitative analysis for infrastructure network design decisions for large scale intermodal transport systems.. The involvement of public concerns, as represented by the governmental objectives on sustainability, brings additional complexity into infrastructure network design. Governments are often concerned with network design on a regional scale or a national scale. The enlargement of the network scale to an international level further increases the level of heterogeneity of the network, among other factors in terms of the number of actors involved, the diversity of transport demand and the variety of transport service supply. These new objectives and dimensions pose new challenges to freight transport infrastructure network design. This thesis proposes a new model to support policy making for an intermodal freight transport network. The model is able to simultaneously incorporate large scale, multimodal, multi-commodity and multi-actor perspectives. It can be used for integrated policy, infrastructure and service design. Results can be visualized per transport mode and per commodity value group on a geographic information system at segmental level, terminal level, corridor level, regional level, national level, and network level. Implementation of the model for a realistic scale network design is another contribution of this thesis. To this end, we calibrated the model by using two approaches: a Genetic Algorithm based method and a feedback-based method. The model was validated by comparing the modelled link flows with observations, testing the cross elasticities of the costs to demand and comparing the catchment area of the terminals with areas observed in practice. The calibration results indicate that the model adequately captures the network usage decisions on an aggregated level. The model was applied to Dutch container transport network design problems. Databases of Dutch container transport demand, features of the European multimodal freight transport infrastructure network, information about selected inland waterway transport services, and information about transport and transhipment costs, emissions and external costs were embedded in the model. After completing the theoretical and empirical specification the model was applied to policy decisions on the Dutch container transport. The thesis extensively discusses the integrated infrastructure, service, and policy design that may contribute to managing the costs of the freight flows, meanwhile ensuring a sustainable living environment. The main findings from the application are as follows. - A higher CO2 price can results in lower total transport costs, despite extra handling costs in intermodal transhipments. The costs saved by bundling freight and using intermodal transport can compensate the additional handling costs. As these cannot compensate for the internalized CO2 emission costs, the total operational costs borne by transport operators will increase. - Network efficiency can be increased by closing terminals that are not able to attract sufficient volumes of demand. However, it is not likely to happen in practice, due to the fact that the private terminal operators and the local governments have local interests to protect on those small terminals that may conflict with the objective of minimizing total network costs. - The hub-network-services assumed and tested in this study cannot compete with road transport or shuttle barge transport services in the base scenario due to the extra transhipment costs, low load factor, and low demand for IWW container transport. In a future scenario, these services are only feasible under very high traffic growth. - There is not one single optimal future infrastructure network. Instead, a good infrastructure network design mainly depends on the future demand, transport price, and development of new transport technology. Based on the conclusions drawn in this thesis, implementing the combination of CO2 pricing and terminal network configuration is more effective than solely implementing CO2 pricing, with regard to total network CO2 emissions. A range of efficient networks, forming a frontier of minimal total network costs and total network CO2 emissions, is presented in the thesis, instead of one single optimal solution. The frontier provides more options in terminal network optimization in terms of the target network performance. The question which is the optimal network will depend on the relative value placed on CO2 emissions. The thesis ends with a vision on future freight transport network design models. A potential research direction is to incorporate the dimension of time into the model. This extension will enable the model to capture dynamic demand; to be applicable for scheduling synchronized intermodal transport services; to provide more realistic estimations of transport emissions and to analyse network reliability, including network robustness and service robustness. Reference: CEC (2009) 'COMMUNICATION FROM THE COMMISSION: A sustainable future for transport: Towards an integrated, technology-led and user friendly system', Commission of the European Communities, Brussels.","freight; transport; network design; optimization; GIS; service network; transport policy","en","doctoral thesis","TRAIL Research School","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:15c1058d-e6b2-4647-aba6-b33cb85265e9","http://resolver.tudelft.nl/uuid:15c1058d-e6b2-4647-aba6-b33cb85265e9","Identification and systematic annotation of tissue-specific differentially methylated regions using the Illumina 450k array","Slieker, R.C.; Bos, S.D.; Goeman, J.J.; Bovee, J.V.M.G.; Talens, R.P.; Van der Breggen, R.; Suchiman, H.E.D.; Lameijer, E.W.; Putter, H.; Van dern Akker, E.B.; Zhang, Y.; Jukema, J.W.; Slagboom, P.E.; Meulenbelt, I.; Heijmans, B.T.","","2013","Background: DNA methylation has been recognized as a key mechanism in cell differentiation. Various studies have compared tissues to characterize epigenetically regulated genomic regions, but due to differences in study design and focus there still is no consensus as to the annotation of genomic regions predominantly involved in tissue-specific methylation. We used a new algorithm to identify and annotate tissue-specific differentially methylated regions (tDMRs) from Illumina 450k chip data for four peripheral tissues (blood, saliva, buccal swabs and hair follicles) and six internal tissues (liver, muscle, pancreas, subcutaneous fat, omentum and spleen with matched blood samples). Results: The majority of tDMRs, in both relative and absolute terms, occurred in CpG-poor regions. Further analysis revealed that these regions were associated with alternative transcription events (alternative first exons, mutually exclusive exons and cassette exons). Only a minority of tDMRs mapped to gene-body CpG islands (13%) or CpG islands shores (25%) suggesting a less prominent role for these regions than indicated previously. Implementation of ENCODE annotations showed enrichment of tDMRs in DNase hypersensitive sites and transcription factor binding sites. Despite the predominance of tissue differences, inter-individual differences in DNA methylation in internal tissues were correlated with those for blood for a subset of CpG sites in a locus- and tissue-specific manner. Conclusions: We conclude that tDMRs preferentially occur in CpG-poor regions and are associated with alternative transcription. Furthermore, our data suggest the utility of creating an atlas cataloguing variably methylated regions in internal tissues that correlate to DNA methylation measured in easy accessible peripheral tissues.","differentially methylated region; illumina 450k; annotation; algorithm; tissue","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:87ea9306-9cd4-4583-a56a-8fe6208f1114","http://resolver.tudelft.nl/uuid:87ea9306-9cd4-4583-a56a-8fe6208f1114","Microstructure and deterioration mechanisms of Portland cement paste at elevated temperature","Zhang, Q.","Van Breugel, K. (promotor); Ye, G. (promotor)","2013","","Portland cement paste; high temperature; dehydration; microstructural change; Lattice model","en","doctoral thesis","VSSD","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:3e3d348c-fdc2-4646-8a59-954ad70f7dea","http://resolver.tudelft.nl/uuid:3e3d348c-fdc2-4646-8a59-954ad70f7dea","Groundwater–surface water interactions, vegetation dependencies and implications for water resources management in the semi-arid Hailiutu River catchment, China – a synthesis","Zhou, Y.; Wenninger, J.; Yang, Z.; Yin, L.; Huang, J.; Hou, L.; Wang, X.; Zhang, D.; Uhlenbrook, S.","","2013","During the last decades, large-scale land use changes took place in the Hailiutu River catchment, a semi-arid area in northwest China. These changes had significant impacts on the water resources in the area. Insights into groundwater and surface water interactions and vegetation-water dependencies help to understand these impacts and formulate sustainable water resources management policies. In this study, groundwater and surface water interactions were identified using the baseflow index at the catchment scale, and hydraulic and water temperature methods as well as event hydrograph separation techniques at the sub-catchment scale. The results show that almost 90% of the river discharge consists of groundwater. Vegetation dependencies on groundwater were analysed from the relationship between the Normalized Difference Vegetation Index (NDVI) and groundwater depth at the catchment scale and along an ecohydrogeological cross-section, and by measuring the sap flow of different plants, soil water contents and groundwater levels at different research sites. The results show that all vegetation types, i.e. trees (willow (Salix matsudana) and poplar (Populus simonii), bushes (salix – Salix psammophila), and agricultural crops (maize – Zea mays)), depend largely on groundwater as the source for transpiration. The comparative analysis indicates that maize crops use the largest amount of water, followed by poplar trees, salix bushes, and willow trees. For sustainable water use with the objective of satisfying the water demand for socio-economical development and to prevent desertification and ecological impacts on streams, more water-use-efficient crops such as sorghum, barley or millet should be promoted to reduce the consumptive water use. Willow trees should be used as wind-breaks in croplands and along roads, and drought-resistant and less water-use intensive plants (for instance native bushes) should be used to vegetate sand dunes.","","en","journal article","European Geosciences Union (EGU)","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:190dd6ba-2e86-49d3-a588-4a3d213d9c9a","http://resolver.tudelft.nl/uuid:190dd6ba-2e86-49d3-a588-4a3d213d9c9a","Time Resolved Tomographic PIV Measurements of Rough-Wall Turbulent Channel Flow","Miorini, R.; Zhang, C.; Katz, J.","","2013","Rough-wall turbulent channel flow is investigated via time-resolved tomographic particle image velocimetry (TPIV). To facilitate 3D measurements in the bulk flow as well as very close to the rough surface the optical refractive index of the transparent acrylic channel wall is matched with that of the liquid, a concentrated solution of sodium iodide in water (62%-64% by weight). The roughness consists of staggered pyramidal elements with h/k = 55 (half channel to roughness heights ratio) and k+ = 64, which satisfies the well-characterized rough wall boundary layer conditions. The Reynolds number based on half channel height is 40000. Our research is aimed at studying mechanisms involved with generation of coherent structures as large-scale outer layer turbulence and the mean flow interact with the roughness elements, and their subsequent propagation away from the wall. Data is acquired using four high speed cameras at 3000 frames per second, the sample volume size is 40.6×44.1×17.4 mm3, and the vector spacing after 3D cross-correlation with 75% overlap is 0.588×0.588×0.588 mm3. Consistent with recent observations based on holographic PIV measurements, the TPIV data confirms the formation of U-shaped vortices that wrap around the low speed regions above peaks of the pyramids. Interactions among quasi-streamwise legs of vortices generated by neighboring pyramids generate powerful ejection events that lift these structures away from the wall.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:073e9289-67b9-437c-b63a-7684374a11ee","http://resolver.tudelft.nl/uuid:073e9289-67b9-437c-b63a-7684374a11ee","The tidal wave system in the Chinese marginal seas","Su, M.; Stive, M.J.F.; Zhang, C.K.; Yao, P.; Chen, Y.P.; Wang, Z.B.","","2013","A 2D large-scale tidal wave model is set up for the Chinese marginal seas and it is proved to simulate the tidal motion in this large domain well. Based on the model, sensitivity analyses have been carried out to investigate the influences of various factors on the tidal wave system. According to the results, the effect of river discharges and the tidal generating force on the whole tidal wave system is not obvious, but they do have influence in the shallow water area. In addition, the results show that sea level rise will impact on the China coast more than on the west coast of Korea. Furthermore, this paper demonstrates that the Shandong Peninsula is not the crucial reason for the formation of the radial tidal current off Jiangsu coast. A better insight into the propagation mechanism of the tidal wave in the Chinese marginal seas is obtained.","Chinese marginal seas; tidal wave; tidal current; radial sand ridges; Jiangsu coast; Delft 3D","en","conference paper","Bordeaux University","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:7c1811cb-108d-4334-aa58-e551e60d5244","http://resolver.tudelft.nl/uuid:7c1811cb-108d-4334-aa58-e551e60d5244","The influence of hydrodynamic forcing on sediment transport pathways and shoreline evolution in a coral reef environment","Pomeroy, A.W.M.; Lowe, R.J.; Bowyer, C.; Zhang, Z.; Falter, J.; Van Dongeren, A.; Roelvink, D.","","2013","","sediment; hydrodynamics; morphology; coral reefs","en","conference paper","Bordeaux University","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:c96fe364-4586-4ce7-95d7-1735dc0a36c7","http://resolver.tudelft.nl/uuid:c96fe364-4586-4ce7-95d7-1735dc0a36c7","The genesis of the radial tidal current off the Central Jiangsu Coast","Yao, P.; Wang, Z.B.; Zhang, C.K.; Su, M.; Chen, Y.P.; Stive, M.J.F.","","2013","One of the characteristics of the radial sand ridge field (RSRF) in the South Yellow Sea off the Jiangsu coast is the distinctive radial tidal current field. Although many studies have focused on the hydrodynamic environment around radial sand ridges, the knowledge on the genesis of the radial tidal current is still in a very basic stage. This paper attempts to explore the formation mechanism of the local radial tidal current field by a schematized process-based model. Two factors which are hypothesized to be responsible for the radial tidal current pattern are investigated: coastline shape, and the submarine topography. The results show that the schematized model sufficiently represents the real pattern of the tidal wave propagation in the South Yellow Sea. A preliminary description of the formation mechanism and main influence factors are obtained through sensitive analysis.","radial tidal current field; radial sand ridges; formation mechanism; schematized model; tidal wave","en","conference paper","Bordeaux University","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:4b947020-c5e0-4c68-8840-bc5ed498af03","http://resolver.tudelft.nl/uuid:4b947020-c5e0-4c68-8840-bc5ed498af03","Single-grain Si thin-film transistors on flexible polyimide substrate fabricated from doctor-blade coated liquid-Si","Zhang, J.; Trifunovic, M.; Van der Zwan, M.; Takagishi, H.; Kawajiri, R.; Shimoda, T.; Beenakker, C.I.M.; Ishihara, R.","","2013","Solution process of silicon will provide high-speed transistor fabrication with low-cost by, for example, roll-to-roll process. In this paper, a low-temperature process (350?°C) is reported for fabrication of high-quality Si devices on a polyimide substrate from doctor-blade coated liquid-Si. With this method, different semiconductor devices have been fabricated, reporting a carrier mobility of 460 cm2/V s and 121 cm2/V s for electrons and holes, respectively. The devices were peeled off and transferred onto a polyethylene naphthalate foil to achieve flexible devices. CMOS inverters were also fabricated and show full output swing.","carrier mobility; elemental semiconductors; silicon; substrates; thin film transistors","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:24245770-dfba-4e37-a50c-6b35545dc3aa","http://resolver.tudelft.nl/uuid:24245770-dfba-4e37-a50c-6b35545dc3aa","Investigating self-healing capacity of micro-cracked ECC with different volume of fly ash","Zhang, Z.; Qian, S.","","2013","Crack is called the intrinsic flaw of concrete that is inevitable for concrete infrastructures during their service life. The presence of crack offer aggressive agent access to pass through, which has a direct impact on durability, therefore resulting in shortened service life. Nevertheless, the influence of crack on the durability can be greatly minimized when crack width is controlled within certain limits. Engineered Cementitious Composite (ECC) is a new class of HPFRCC micro-mechanically designed to achieve high tensile strain capacity of 3-5%, while maintaining very tight crack width. In this paper, we attempt to investigate the self-healing capacity of micro-cracked ECC by capillary water sorption test. Three ECC mix proportions with different volume of fly ash are used in this research. Before water curing the specimens are pre-loaded at 28 days so as to produce micro-cracks. The micro-cracked specimens are then cured under water for another 30 and 60 days, respectively, before water sorption test is conducted. It is found that water absorbed by cracks of the pre-loaded specimens reduces with increasing curing age, which suggests that self-healing products accumulate within the cracks over time. Subsequent ESEM observations also confirm the above findings. Most pronounced self-healing behavior is revealed for the mixture with highest fly ash content, which also shows smallest crack width. The crack width reduces with increasing volume of fly ash, denoting better capacity of crack width control. With excellent crack width control and self-healing behavior, ECC can be an ideal material for durable concrete infrastructure.","micro-cracked ECC; self-healing; fly ash; capillary water sorption test","en","conference paper","","","","","","","","","","","","","",""
"uuid:aa8f9d99-afc6-4225-b7c4-cffeb8fc9687","http://resolver.tudelft.nl/uuid:aa8f9d99-afc6-4225-b7c4-cffeb8fc9687","Self-healing of thermoplastic polymer by living polymerization","Zhang, M.Q.; Rong, M.Z.","","2013","Autonomic self-healing thermosetting polymers or elastomers have been substantially studied, in which polymerization of the healing agents released from pre-embedded capsules serves as the key issue. As for thermoplastics, another major class of polymeric materials, however, researches on their self-healing approaches are less reported. Here in this work we show the feasibility of a healing chemistry based on living polymerization (that excludes the possibility of chain transfer and termination) for thermoplastics. Monomer-loaded microcapsules are dispersed in a living polymer matrix that contains living chain ends. When the fluidic monomer is released upon cracking, it is easily polymerized at room temperature wherever it meets the matrix. Then, the newly formed macromolecules, which are covalently attached to the interface, fill the interstitial space of cracks and fuse with the matrix into one, offering satisfied healing efficiency. No catalyst is required for resuming chain growth in the system. The repair processes are carried out without the necessity for manual intervention. Details of the microcapsules preparation, matrix synthesis and types of controlled radical polymerization (atom transfer radical polymerization (ATRP), and reversible additionfragmentation chain transfer (RAFT)) are discussed. It is hoped that the results would serve as solid basis for the development of self-healing thermoplastic polymers.","thermoplastics; self-healing; living polymerization; microcapsules","en","conference paper","","","","","","","","","","","","","",""
"uuid:8808435e-320a-4a74-a313-a93d25b4f2a3","http://resolver.tudelft.nl/uuid:8808435e-320a-4a74-a313-a93d25b4f2a3","Understanding the self-replenishing of hydrophobic coatings for further industrial applications","Zhang, Y.; Esteves, A.C.C.; Van der Ven, L.G.J.; Van Benthem, R.A.T.M.; De With, G.","","2013","Hydrophobic materials hold many properties that are desirable in coatings, e.g. water repellency and low-adhesion are essential to achieve an easy-to-clean/ self-cleaning behavior. However, most of the coatings currently available cannot maintain their hydrophobicity upon surface damage or wear, due to the irreversible loss of the low surface energy chemical groups. This damage reduces the service-life time of coatings and limits its implementation on industrial applications. Therefore, the recovery of surface chemical groups is crucial for extending the service-life of hydrophobic polymeric coatings. One way to achieve this is to introduce a self-healing mechanism which can replenish the low surface energy groups at the surface after the damage. The proof-of-principle was previously reported for a model self-replenishing system based on a Poly(urethane) crosslinked soft (low-Tg) network with a small amount of fluorinated dangling chains [1]. In these systems the low surface energy dangling groups can re-orient towards the new air/coating interfaces created upon damaged. In this poster we report further studies in this model Poly(urethane)-based system which allowed us to clarify key details on the dynamics and kinetics of the selfreplenishing mechanism, e.g. the distribution of the dangling chains at the surface and in the bulk [2]. Furthermore, we will also discuss the clear drawbacks identified for the current model system which will restrict its direct industrial applications: 1) weak mechanical properties, e.g. low hardness (due to low Tg) and low solvent resistance. 2) there possibly existed the surface rearrangement due to the presence of hydrophilic ester part in the molecule. So the hysteresis of the coatings were high. 3) the current cross-linking procedure involves high temperature and long curing time.","coatings; self-replenishing; hydrophobic","en","conference paper","","","","","","","","","","","","","",""
"uuid:ff068c04-3dfd-4f6b-ad92-2abe0e739f9a","http://resolver.tudelft.nl/uuid:ff068c04-3dfd-4f6b-ad92-2abe0e739f9a","Self healing by Cu precipitation in deformed Fe-Cu-B-N-C","Zhang, S.; Langelaan, G.; Schut, H.; Brück, E.; Van der Zwaag, S.; Van Dijk, N.H.","","2013","Steels are among the most widely used construction materials as their mechanical properties can be tuned over a very wide range of desired combinations of strength formability and other properties. However, when exposed for long times to high temperatures steel components can exhibit premature and low-ductility creep fracture, which arises from the formation, growth and coalescence of (initially) nanoscale pores. Self-healing of such defects is regarded as a promising new approach to enhance the component lifetime. In principle, it could be achieved by nanoscale precipitation on the creep cavity surface preventing further growth. Earlier work has shown that Cu may be a suitable alloying element to induce such a healing behaviour in stainless steels. In the present work the high temperature precipitation behaviour of Cu on deformation inducted defects is studied for a number of high-purity Fe-Cu-B-N-C alloys using positron annihilation spectroscopy (PAS) and hardness tests. Samples with 0% and 8% cold pre-strain are utilized to study the influence of prior tensile deformation on the precipitation kinetics of copper. The time evolution of the S-W points derived from Coincidence Doppler Broadening spectra indicates that deformation-induced defects enhance the Cu precipitation kinetics. A clear reduction in open volume defects is accompanied by a strong increase of Cu signature during the initial stage of aging, demonstrating the self-healing potential in the Fe-Cu-B-N-C alloy. A comparison between the hardness behaviour of Fe-Cu, Fe-Cu-B-N, and Fe- Cu-B-N-C indicates the added carbon counteracts the acceleration of Cu precipitation caused by the addition of B and N.","self-healing; Cu precipitation; deformation-induced defects; carbon addition; positron annihilation spectroscopy","en","conference paper","","","","","","","","","","","","","",""
"uuid:18d64886-55ce-40c5-b7ba-c97475a4a60a","http://resolver.tudelft.nl/uuid:18d64886-55ce-40c5-b7ba-c97475a4a60a","Interaction between microcapsules and cementitious matrix after cracking in a self-healing system","Wang, X.; Xing, F.; Zhang, M.; Han, N.; Qian, Z.","","2013","A new type of self-healing cementitious composites by using organic microcapsules is designed in Guangdong Key Laboratory of Durability for Coastal Civil Engineering, Shenzhen University. For the organic microcapsules, the shell material is urea formoldehyde (UF), and the core healing agent is Epoxy. The effect of organic microcapsules on mechanical behaviors of the composite specimens and the interaction between an organic microcapsule and an approaching crack is investigated in this study. The mechanical behaviors of bending and compression strengths for mortar specimens are tested. The results show that the strength may increase with a small amount of microcapsules and then decrease with increasing of microcapsules. The FEM numerical simulation is carried out to study the interaction between a crack and a microcapsule in the concrete matrix. It is known that there exist two possibilities when a crack approaches a microcapsule, the microcapsule is ruptured or debonded from the matrix. The self-healing function is based on the rupture of microcapsules. Thus determination of judgment criterion (The physical trigger mechanism-cracking) that under what condition a microcapsule ruptures is necessary. For simplicity, a two-dimensional plane square area is considered, in which the side length is 1 cm. A microcapsule of radius 0.1mm is located at the center of the area. Left hand side is a line crack. The interface between the microcapsule and the mortar matrix, as well as the bonding behavior of the microcapsule shell wall is modeled using the cohesive traction-separation constitutive relationship. The actual parameters of the materials may lead to rupture or debonding of a microcapsule. Through numerical simulation, the criterion of the possible failure pattern for a microcapsule is obtained in terms of the intensity of microcapsule wall, the intensity of the interface, thickness of the microcapsule wall, location of the crack, and the microcapsule radius.","self-healing concrete; organic microcapsules; interaction, crack; debonding","en","conference paper","","","","","","","","","","","","","",""
"uuid:00195cb0-a1a3-4e09-8151-cfed6bf48d37","http://resolver.tudelft.nl/uuid:00195cb0-a1a3-4e09-8151-cfed6bf48d37","Self healing by Cu precipitation in deformed Fe-Cu-B-N-C","Zhang, S.; Langelaan, G.; Schut, H.; Brück, E.; Van der Zwaag, S.; Van Dijk, N.H.","","2013","Steels are among the most widely used construction materials as their mechanical properties can be tuned over a very wide range of desired combinations of strength formability and other properties. However, when exposed for long times to high temperatures steel components can exhibit premature and low-ductility creep fracture, which arises from the formation, growth and coalescence of (initially) nanoscale pores. Self-healing of such defects is regarded as a promising new approach to enhance the component lifetime. In principle, it could be achieved by nanoscale precipitation on the creep cavity surface preventing further growth. Earlier work has shown that Cu may be a suitable alloying element to induce such a healing behaviour in stainless steels. In the present work the high temperature precipitation behaviour of Cu on deformation inducted defects is studied for a number of high-purity Fe-Cu-B-N-C alloys using positron annihilation spectroscopy (PAS) and hardness tests. Samples with 0% and 8% cold pre-strain are utilized to study the influence of prior tensile deformation on the precipitation kinetics of copper. The time evolution of the S-W points derived from Coincidence Doppler Broadening spectra indicates that deformation-induced defects enhance the Cu precipitation kinetics. A clear reduction in open volume defects is accompanied by a strong increase of Cu signature during the initial stage of aging, demonstrating the self-healing potential in the Fe-Cu-B-N-C alloy. A comparison between the hardness behaviour of Fe-Cu, Fe-Cu-B-N, and Fe-Cu-B-N-C indicates the added carbon counteracts the acceleration of Cu precipitation caused by the addition of B and N.","self-healing; Cu precipitation; deformation-induced defects; carbon addition; positron annihilation spectroscopy","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:a10ad3ec-d3ea-4c05-a48d-26ae734442dc","http://resolver.tudelft.nl/uuid:a10ad3ec-d3ea-4c05-a48d-26ae734442dc","Combined physical and biological gel-based healing of cementitious materials","Harbottle, M.J.; Zhang, J.; Gardner, D.R.","","2013","The outcomes of a preliminary experimental programme into a gel-based healing system that allows immediate healing of cementitious materials followed by longerterm development of robust healing through biological processes are reported. Alginate gels protect and maintain the viability of encapsulated microorganisms and have been used for protection of these and other cargoes in various situations. Soluble alginates form relatively strong, stable gels on contact with cations such as calcium, and can form gels on contact with cementitious materials. Calcium alginate gels were formed both in isolation and in contact with cementitious surfaces and assessed for their ability to protect encapsulated microorganisms (Sporosarcina pasteurii) from the harsh cementitious environment and their subsequent ability to generate calcium carbonate within the gel structure via urea biodegradation.","self-healing cementitious materials; Sporosarcina pasteurii; alginate","en","conference paper","","","","","","","","","","","","","",""
"uuid:edf1127b-59ed-458d-b587-c10bf0a6ec7e","http://resolver.tudelft.nl/uuid:edf1127b-59ed-458d-b587-c10bf0a6ec7e","Design of microcapsule system used for self-healing cementitious material","Zhang, M.; Han, N.; Xing, F.; Wang, X.; Schlangen, H.E.J.G.","","2013","For a microcapsule based self-healing system in the cementitious material, a fundamental issue is to find and facilitate a suitable microcapsule system, concerning either the material selection or design and manufacture process. In this study, urea formaldehyde resin is used for the shell of microcapsule, and bisphenol an epoxy resin E-51 diluted by n-butyl glycidy ether (BGE) is adopted as the heal-agent inside the microcapsule. The production process mainly includes pre-polymerization preparation, emulsification, acidification and curing stage. The fundamental reaction mechanisms with respect to the synthesis process and the properties of the obtained microcapsule are discussed in this paper. Meanwhile, the healing mechanism by means of catalyst MC120D is further explored. Results show that the microcapsule obtained with the adopted production process can be used for the self-healing system in the cementitious materials.","self-healing; microcapsule; in situ polymerization; activation energy; reaction order; curing kinetics","en","conference paper","","","","","","","","","","","","","",""
"uuid:271311d9-e4d3-4443-9d91-17806144a709","http://resolver.tudelft.nl/uuid:271311d9-e4d3-4443-9d91-17806144a709","Improvement on bacteria-induced calcium mineralization ability of Bacillus pseudofirmus by an integrated high-throughput screening strategy","Zhang, J.L.; Deng, X.; Feng, X.; Han, N.X.; Jonkers, H.M.","","2013","The CaCO3-mineralizing bacteria from different taxonomic groups have shown potential in restoration of construction material such as concretes, cements and stony materials. However, these strains are far from the demand of practical application due to some shortages, including the low mineralizing capacity. In this work, an integrated high-throughput screening(HTS) strategy was developed in an attempt to screen enhanced CaCO3-producing mutants of Bacillus pseudofirmus. The isolates, mutagenized by ultraviolet radiation (UV), were cultivated in the suitable media containing calcium ion by using 96-deep-well microliter plates. The residual calcium in supernatants from micro-cultivation plates was determined by O-Cresolphthalein Complexone method to evaluate the CaCO3-producing activity. On the other hand, the activity of carbonic anhydrase of the isolates, which is responsible for the formation of CO3 2-, was also monitored to further evidence the bacteriainduced calcium mineralization process. As a result, the mutant strain B388 with the highest calcium mineralizing capability (92.67%, 11.1% higher than that of the original strain) was obtained from about 3000 isolates. It is proved that this novel HTS strategy is a promising procedure for selecting high efficient calcium mineralizing microorganisms.","biomineralization; Bacillus pseudofirmus; UV-induced mutation; high throughput screening","en","conference paper","","","","","","","","","","","","","",""
"uuid:651cdaa4-89c2-4728-b3a5-138baaed44ed","http://resolver.tudelft.nl/uuid:651cdaa4-89c2-4728-b3a5-138baaed44ed","Enhancing the creep life of steels by self-healing through dynamic in-service precipitation","Langelaan, G.; Zhang, S.; Brück, E.; Van der Zwaag, S.; Van Dijk, N.H.","","2013","Abstract only.","steel; grain boundary; precipitation; creep; cavities","en","conference paper","","","","","","","","","","","","","",""
"uuid:50984655-f8d7-4971-b433-a40c6e280ecc","http://resolver.tudelft.nl/uuid:50984655-f8d7-4971-b433-a40c6e280ecc","Evaluation of a microcapsule based self-healing system for cementitious materials","Zhang, M.; Han, N.; Xing, F.; Wang, X.; Schlangen, H.E.J.G.","","2013","An international cooperation research project has been financially supported by China Nature Science Foundation, which consists of three relatively independent, but strategically integrated research sub-programs, aiming at the formation of a selfhealing system based on the microcapsule principle for the cementitious composites. In this paper, a self-healing system triggered by physical process (cracking) is introduced. The healing material mainly consists of epoxy like materials. The discussion concerning microcapsule techniques are presented in another paper in this conference. This study mainly focuses on the two healing mechanisms: i.e. the mechanical recovery and the permeability related recovery. The primary test results concerning these healing mechanisms are presented and the healing effects on the relevant properties are further discussed.","self-healing; mircocapsule; healing effect; mechanical behaviour; permeability","en","conference paper","","","","","","","","","","","","","",""
"uuid:d18d7db2-d535-443c-aa63-44d6a93e429d","http://resolver.tudelft.nl/uuid:d18d7db2-d535-443c-aa63-44d6a93e429d","Improvement on bacteria-induced calcium mineralization ability of Bacillus pseudofirmus by an integrated high-throughput screening strategy","Zhang, J.L.; Deng, X.; Feng, X.; Han, N.X.; Jonkers, H.M.","","2013","The CaCO3-mineralizing bacteria from different taxonomic groups have shown potential in restoration of construction material such as concretes, cements and stony materials. However, these strains are far from the demand of practical application due to some shortages, including the low mineralizing capacity. In this work, an integrated high-throughput screening(HTS) strategy was developed in an attempt to screen enhanced CaCO3-producing mutants of Bacillus pseudofirmus. The isolates, mutagenized by ultraviolet radiation (UV), were cultivated in the suitable media containing calcium ion by using 96-deep-well microliter plates. The residual calcium in supernatants from micro-cultivation plates was determined by O-Cresolphthalein Complexone method to evaluate the CaCO3-producing activity. On the other hand, the activity of carbonic anhydrase of the isolates, which is responsible for the formation of CO3 2-, was also monitored to further evidence the bacteriainduced calcium mineralization process. As a result, the mutant strain B388 with the highest calcium mineralizing capability (92.67%, 11.1% higher than that of the original strain) was obtained from about 3000 isolates. It is proved that this novel HTS strategy is a promising procedure for selecting high efficient calcium mineralizing microorganisms.","biomineralization; Bacillus pseudofirmus; UV-induced Mutation; high throughput screening","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:5d1d64f5-2883-4009-b291-538d2b9d1496","http://resolver.tudelft.nl/uuid:5d1d64f5-2883-4009-b291-538d2b9d1496","Evaluation of a microcapsule based self-healing system for cementitious materials","Zhang, M.; Han, N.; Xing, F.; Wang, X.; Schlangen, H.E.J.G.","","2013","An international cooperation research project has been financially supported by China Nature Science Foundation, which consists of three relatively independent, but strategically integrated research sub-programs, aiming at the formation of a selfhealing system based on the microcapsule principle for the cementitious composites. In this paper, a self-healing system triggered by physical process (cracking) is introduced. The healing material mainly consists of epoxy like materials. The discussion concerning microcapsule techniques are presented in another paper in this conference. This study mainly focuses on the two healing mechanisms: i.e. the mechanical recovery and the permeability related recovery. The primary test results concerning these healing mechanisms are presented and the healing effects on the relevant properties are further discussed.","self-healing; mircocapsule; healing effect; mechanical behaviour; permeability","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:7a74cc18-967f-42a4-8b77-7948eb2c3a57","http://resolver.tudelft.nl/uuid:7a74cc18-967f-42a4-8b77-7948eb2c3a57","Interaction between microcapsules and cementitious matrix after cracking in a self-healing system","Wang, X.; Xing, F.; Zhang, M.; Han, N.; Qian, Z.","","2013","A new type of self-healing cementitious composites by using organic microcapsules is designed in Guangdong Key Laboratory of Durability for Coastal Civil Engineering, Shenzhen University. For the organic microcapsules, the shell material is urea formoldehyde (UF), and the core healing agent is Epoxy. The effect of organic microcapsules on mechanical behaviors of the composite specimens and the interaction between an organic microcapsule and an approaching crack is investigated in this study. The mechanical behaviors of bending and compression strengths for mortar specimens are tested. The results show that the strength may increase with a small amount of microcapsules and then decrease with increasing of microcapsules. The FEM numerical simulation is carried out to study the interaction between a crack and a microcapsule in the concrete matrix. It is known that there exist two possibilities when a crack approaches a microcapsule, the microcapsule is ruptured or debonded from the matrix. The self-healing function is based on the rupture of microcapsules. Thus determination of judgment criterion (The physical trigger mechanism-cracking) that under what condition a microcapsule ruptures is necessary. For simplicity, a two-dimensional plane square area is considered, in which the side length is 1 cm. A microcapsule of radius 0.1mm is located at the center of the area. Left hand side is a line crack. The interface between the microcapsule and the mortar matrix, as well as the bonding behavior of the microcapsule shell wall is modeled using the cohesive traction-separation constitutive relationship. The actual parameters of the materials may lead to rupture or debonding of a microcapsule. Through numerical simulation, the criterion of the possible failure pattern for a microcapsule is obtained in terms of the intensity of microcapsule wall, the intensity of the interface, thickness of the microcapsule wall, location of the crack, and the microcapsule radius.","self-healing concrete; organic microcapsules; interaction; crack; debonding","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:f61bc173-3dc2-4fe5-8152-b62db2cfce60","http://resolver.tudelft.nl/uuid:f61bc173-3dc2-4fe5-8152-b62db2cfce60","Design of microcapsule system used for self-healing cementitious material","Zhang, M.; Han, N.; Xing, F.; Schlangen, H.E.J.G.","","2013","For a microcapsule based self-healing system in the cementitious material, a fundamental issue is to find and facilitate a suitable microcapsule system, concerning either the material selection or design and manufacture process. In this study, urea formaldehyde resin is used for the shell of microcapsule, and bisphenol – an epoxy resin E-51 diluted by n-butyl glycidy ether (BGE) is adopted as the heal-agent inside the microcapsule. The production process mainly includes pre-polymerization preparation, emulsification, acidification and curing stage. The fundamental reaction mechanisms with respect to the synthesis process and the properties of the obtained microcapsule are discussed in this paper. Meanwhile, the healing mechanism by means of catalyst MC120D is further explored. Results show that the microcapsule obtained with the adopted production process can be used for the self-healing system in the cementitious materials.","self-healing; microcapsule; in situ polymerization; activation energy; reaction order; curing kinetics","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:46c23c35-30fe-43e0-a4a1-ab9200627d11","http://resolver.tudelft.nl/uuid:46c23c35-30fe-43e0-a4a1-ab9200627d11","Intrinsic self-healing of polymer solid while keeping integrity and load-bearing capability","Rong, M.Z.; Zhang, M.Q.; Yuan, C.E.; Wang, F.","","2013","Generally, intrinsic self-healing polymers based on reversible covalent bonds scission and reconnection would lose their load bearing capability as a result of molecular cleavage during healing process. This shortcoming also results in creep deformation of the products. To solve the problem, we introduce a novel healing mechanism by using dynamically reversible C-ON bonds. Upon heating to a certain homolysis temperature, C-ON bonds in alkoxyamines moieties frequently cleave but immediately recombine. When alkoxyamines are incorporated into polymer chains, C-ON covalent bonds fission and recombination synchronously take place with very high frequency, and the equilibrium tends to the combination side. Cracked parts can thus be restored through chemical exchange reaction of alkoxyamine moieties. More importantly, because a large amount of C-ON bonds remain connection, the possible material deformation in the course of crack remending could be avoided. Here, crosslinked polystyrenes and epoxy with alkoxyamine moieties are synthesized as model polymers to prove feasibility of the above considerations. It is shown that the polymers can repeatedly self-heal cracks without deformation induced by chain scission, and provides the polymer with mechanical stability at elevated temperature as well. Moreover, the healing can be conducted within a wide temperature range when different alkoxyamines moieties are used. Molecular structures of alkoxyamines moieties, as well as thermodynamic behavior of C-ON bonds scission and recombination, etc., are studied in detail to optimize selfhealing behavior of the polymers. Full reversibility can be acquired when only one type of C-ON bond is included in the polymers. Accordingly, synthesis routes, molecular structures, thermally reversible characteristics, self-healing performance and self-healing mechanism, thermal stability and thermal-mechanical properties, etc, have been studied in detail.","polymer solid; intrinsic self-healing; alkoxyamines moieties; thermally dynamic reversible; load-bearing capability","en","conference paper","","","","","","","","","","","","","",""
"uuid:4e70b4a1-abd6-477c-8c36-cd32607e2820","http://resolver.tudelft.nl/uuid:4e70b4a1-abd6-477c-8c36-cd32607e2820","Effect of carbon on copper precipitation in deformed Fe-based alloys studied by positron annihilation spectroscopy","Zhang, S.; Schut, H.; Brück, E.; Van der Zwaag, S.; Van Dijk, N.H.","","2013","The role of deformation-induced defects and carbon addition on the copper precipitation during aging at 550 oC is investigated in high-purity Fe-Cu-B-N-C alloy samples by Coincidence Doppler Broadening. In samples with 0% and 8% cold pre-strain, the influence of tensile pre-deformation on the precipitation kinetics of copper is studied. The deformation-induced defects are found to enhance the Cu precipitation kinetics. A sharp reduction in open volume defects is accompanied with a strong increase of Cu signature during the initial stage of aging, implying that the open defects (mainly dislocations) act as nucleation sites for Cu precipitates. A comparison of the time evolution of S-W plots between Fe-Cu, Fe-Cu-B-N, and Fe-Cu-B-N-C alloys indicates that the addition of carbon does not alter the Cu precipitation mechanism but decelerates the kinetics.","","en","journal article","IOP Publishing","","","","","","","","Applied Sciences","","","","",""
"uuid:5e059ec0-fb45-4944-918a-b96e810deac5","http://resolver.tudelft.nl/uuid:5e059ec0-fb45-4944-918a-b96e810deac5","An efficient pricing algorithm for swing options based on Fourier cosine expansions","Zhang, B.; Oosterlee, C.W.","","2013","Swing options give contract holders the right to modify amounts of future delivery of certain commodities, such as electricity or gas. We assume that these options can be exercised at any time before the end of the contract, and more than once. However, a recovery time between any two consecutive exercise dates is incorporated as a constraint to avoid continuous exercise. We introduce an efficient way of pricing these swing options, based on the Fourier cosine expansion method, which is especially suitable when the underlying is modeled by a Lévy process.","","en","journal article","RISK journal, Financial Publishing Limited","","","","","","","2014-01-01","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Applied Mathematics","","","",""
"uuid:b3953102-2eca-41a6-b6f0-0a4513c231bc","http://resolver.tudelft.nl/uuid:b3953102-2eca-41a6-b6f0-0a4513c231bc","Visual discomfort and depth-of-field","O'Hare, L.; Zhang, T.; Nefs, H.T.; Hibbard, P.B.","","2013","Visual discomfort has been reported for certain visual stimuli and under particular viewing conditions, such as stereoscopic viewing. In stereoscopic viewing, visual discomfort can be caused by a conflict between accommodation and convergence cues that may specify different distances in depth. Earlier research has shown that depth-of-field, which is the distance range in depth in the scene that is perceived to be sharp, influences both the perception of egocentric distance to the focal plane, and the distance range in depth between objects in the scene. Because depth-of-field may also be in conflict with convergence and the accommodative state of the eyes, we raised the question of whether depth-of-field affects discomfort when viewing stereoscopic photographs. The first experiment assessed whether discomfort increases when depth-of-field is in conflict with coherent accommodation–convergence cues to distance in depth. The second experiment assessed whether depth-of-field influences discomfort from a pre-existing accommodation–convergence conflict. Results showed no effect of depth-of-field on visual discomfort. These results suggest therefore that depth-of-field can be used as a cue to depth without inducing discomfort in the viewer, even when cue conflicts are large.","depth-of-field, visual discomfort, natural images, blur","en","journal article","Pion","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:8594fe0e-f359-426c-8cb6-271bff80cc15","http://resolver.tudelft.nl/uuid:8594fe0e-f359-426c-8cb6-271bff80cc15","Efficient Pricing of European-Style Asian Options under Exponential Lévy Processes Based on Fourier Cosine Expansions","Zhang, B.; Oosterlee, C.W.","","2013","We propose an efficient pricing method for arithmetic and geometric Asian options under exponential Lévy processes based on Fourier cosine expansions and Clenshaw–Curtis quadrature. The pricing method is developed for both European style and American-style Asian options and for discretely and continuously monitored versions. In the present paper we focus on the European-style Asian options. The exponential convergence rates of Fourier cosine expansions and Clenshaw–Curtis quadrature reduces the CPU time of the method to milliseconds for geometric Asian options and a few seconds for arithmetic Asian options. The method’s accuracy is illustrated by a detailed error analysis and by various numerical examples.","arithmetic Asian options; exponential Lévy asset price processes; Fourier cosine expansions; ClenshawCurtis quadrature; exponential convergence","en","journal article","Society for Industrial and Applied Mathematics (SIAM)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Applied Mathematics","","","",""
"uuid:0bf7f00e-dab1-4964-a76a-88653e083c96","http://resolver.tudelft.nl/uuid:0bf7f00e-dab1-4964-a76a-88653e083c96","Marine low cloud sensitivity to an idealized climate change: The CGILS LES intercomparison","Blossey, P.N.; Bretherton, C.S.; Zhang, M.; Cheng, A.; Endo, S.; Heus, T.; Liu, Y.; Lock, A.P.; De Roode, S.R.; Xu, K.M.","","2013","Subtropical marine low cloud sensitivity to an idealized climate change is compared in six large-eddy simulation (LES) models as part of CGILS. July cloud cover is simulated at three locations over the subtropical northeast Pacific Ocean, which are typified by cold sea surface temperatures (SSTs) under well-mixed stratocumulus, cool SSTs under decoupled stratocumulus, and shallow cumulus clouds overlying warmer SSTs. The idealized climate change includes a uniform 2 K SST increase with corresponding moist-adiabatic warming aloft and subsidence changes, but no change in free-tropospheric relative humidity, surface wind speed, or CO2. For each case, realistic advective forcings and boundary conditions are generated for the control and perturbed states which each LES runs for 10 days into a quasi-steady state. For the control climate, the LESs correctly produce the expected cloud type at all three locations. With the perturbed forcings, all models simulate boundary-layer deepening due to reduced subsidence in the warmer climate, with less deepening at the warm-SST location due to regulation by precipitation. The models do not show a consistent response of liquid water path and albedo in the perturbed climate, though the majority predict cloud thickening (negative cloud feedback) at the cold-SST location and slight cloud thinning (positive cloud feedback) at the cool-SST and warm-SST locations. In perturbed climate simulations at the cold-SST location without the subsidence decrease, cloud albedo consistently decreases across the models. Thus, boundary-layer cloud feedback on climate change involves compensating thermodynamic and dynamic effects of warming and may interact with patterns of subsidence change.","cloud feedbacks","en","journal article","American Geophysical Union","","","","","","","2013-11-14","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:6481771e-092c-4c87-b44f-d4b90e66e487","http://resolver.tudelft.nl/uuid:6481771e-092c-4c87-b44f-d4b90e66e487","Thermodynamics of Slag Formation in Multi-component Oxide Systems in Thermal Processing of Solid Waste","Zhang, Z.","Boom, R. (promotor); Yang, Y. (promotor)","2013","","municipal solid waste; bottom ash; vitrification; thermodynamic; phase diagram; equilibrium; associate model","en","doctoral thesis","Delft University of Technology","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:c05a3d36-2ad9-4833-9920-e27bd39d4ee9","http://resolver.tudelft.nl/uuid:c05a3d36-2ad9-4833-9920-e27bd39d4ee9","Graphene based piezoresistive pressure sensor","Zhu, S.E.; Ghatkesar, M.K.; Zhang, C.; Janssen, G.C.A.M.","","2013","We present a pressure sensor based on the piezoresistive effect of graphene. The sensor is a 100?nm thick, 280??m wide square silicon nitride membrane with graphene meander patterns located on the maximum strain area. The multilayer, polycrystalline graphene was obtained by chemical vapor deposition. Strain in graphene was generated by applying differential pressure across the membrane. Finite element simulation was used to analyze the strain distribution. By performing electromechanical measurements, we obtained a gauge factor of ?1.6 for graphene and a dynamic range from 0?mbar to 700?mbar for the pressure sensor.","chemical vapour deposition; finite element analysis; graphene; piezoresistive devices; pressure sensors; silicon compounds","en","journal article","American Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:68886de8-5c70-413c-89f9-fda9b44771d7","http://resolver.tudelft.nl/uuid:68886de8-5c70-413c-89f9-fda9b44771d7","Multiscale Lattice Boltzmann-Finite Element Modelling of Transport Properties in Cement-based Materials","Zhang, M.","van Breugel, K. (promotor); Ye, G. (promotor)","2013","Cement-based materials are the most widely used man-made materials in the world. The durability of cement-based materials has been a major concern due to the premature failure and serviceability issues of many reinforced concrete structures. Durability of cement-based materials is to a large content dependent on their resistance to the ingress of aggressive species, such as chloride, sulfates and carbon dioxide, which is governed by different mechanisms including permeation, absorption, diffusion, or their combinations. Therefore, the primary factors governing the durability of reinforced concrete structures are the transport properties in cement-based materials, e.g. permeability and diffusivity, which depend on the evolution of their underlying microstructures over a wide range of length scales, concentration of aggressive species and environmental conditions, such as temperature, humidity and their variations. The main objective of this thesis is to develop a multiscale modelling scheme to estimate the transport properties in cement-based materials capturing the structural information at each scale and taking into account the influences of w/c ratio, time, chloride binding, degree of water saturation, chloride concentration, interfacial transition zone (ITZ), and aggregate content and shape. To achieve this objective, based on the homogeneous multiscale method, the hybrid lattice Boltzmann-finite element method is proposed and developed in this thesis, which combines the advantages of both lattice Boltzmann method and finite element method. Lattice Boltzmann method is used as micro-scale solver for predicting the transport properties in cement paste and ITZ. Finite element method is selected as meso-scale solver to estimate the transport properties in mortar and concrete. The upscaling between the micro-scale and meso-scale simulations is accomplished by using the volume averaging technique. The representative elementary volume (REV) of cement-based materials at a lower scale is determined with a numerical-statistical approach. Transport properties in the REV of cement-based materials at a lower scale are considered as input to predict the transport properties in cement-based materials at a higher scale. The developed multiscale lattice Boltzmann-finite element modelling framework enables to acquire a meso-scale solution, i.e. transport properties, while still capturing the micro-scale information. The methodology for multiscale modelling of transport properties in cement-based materials is presented in Part II of this thesis, made up of Chapters 3, 4 and 5. In Chapter 3, an overview of the implementation of multiscale modelling scheme is given. Chapter 4 describes the details of the micro-scale solver: lattice Boltzmann method, consisting of the PermLBS (the abbreviation of “Permeability Lattice Boltzmann Simulation”) module, SCMPLBS (the abbreviation of “modified Shan-Chen Multi-Phase Lattice Boltzmann Simulation”) module and DiffLBS (the abbreviation of “Diffusivity Lattice Boltzmann Simulation”) module. A series of benchmark tests are performed to validate each module. Chapter 5 presents the procedure to obtain the 3D structures of cement paste, ITZ, mortar and concrete. At micro-scale, the microstructures of cement paste are obtained through the cement hydration and microstructure formation model, HYMOSTRUC3D model, as well as the X-ray computed microtomography (?CT) scan. Cement paste is regarded as a heterogeneous material composed of capillary pores, hydration product and unhydrated cement grain. At meso-scale, both mortar and concrete are modelled as three-phase composite materials consisting of matrix, aggregate and ITZ. For the mesostructure of mortar, the aggregate is sand, and the matrix is bulk paste, while for the mesostructure of concrete, the aggregate is coarse aggregate (e.g. crushed stones, river gravels), and the matrix is mortar. The microstructure of ITZ is extracted from the “ribbon paste”. The microstructural evolution of the ITZ is simulated with the HYMOSTRUC3D model taking into account the wall effect. The results of multiscale modelling of transport properties in cement-based materials are shown in Part III of this thesis consisting of Chapters 6 and 7. Chapter 6 presents the micro-scale study, that is, transport properties in cement paste. The REV size of cement paste for transport properties is found to be 100×100×100 ?m3. The water permeability, moisture and chloride diffusivity in cement paste are simulated using the PermLBS module, SCMPLBS module, and DiffLBS, respectively. The effects of w/c ratio, time, chloride binding, degree of water saturation, and chloride concentration on the chloride diffusivity in cement paste have been studied in a quantitative manner. The simulation results are verified with the experimental data from literature. The predictions by the micro-scale solver agree quite well with the experimental data. Chapter 7 focus on the meso-scale study, that is, transport properties in mortar and concrete. The diameter of the used sands ranges from 0.125 to 4 mm. The REV size of mortar for transport properties is found to be 8×8×8 mm3. Chloride diffusivities in mortar and concrete are estimated using the meso-scale solver. The effects of ITZ, aggregate content and shape on the chloride diffusivity in mortar are quantitatively investigated. The simulation results of chloride diffusivities in cement-based materials at meso-scale show a good agreement with the experimental data from literature. Chloride-induced corrosion of steel rebars in the concrete is considered to be one of the major causes of deterioration in reinforced concrete structures. In order to demonstrate the potential applications of the developed multiscale modelling scheme to predict the service life of reinforced concrete structures, a case study is presented in Chapter 7. It is shown that the estimated transport properties in cement-based materials by the developed multiscale modelling scheme can be directly used as input for the service life prediction of reinforced concrete structures.","cement-based materials;; transport properties;; multiscale modelling;; lattice Boltzmann method;; finite element method;; durability","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:efeb04b2-cdb3-4c8e-a5b7-37195b61cd33","http://resolver.tudelft.nl/uuid:efeb04b2-cdb3-4c8e-a5b7-37195b61cd33","Geomechanics of fracture caging in wellbores","Weijermars, R.; Zhang, X.; Schultz-Ela, D.","","2013","This study highlights the occurrence of so-called ‘fracture cages’ around underbalanced wellbores, where fractures cannot propagate outwards due to unfavourable principal stress orientations. The existence of such cages is demonstrated here by independent analytical and numerical methods. We explain the fracture caging mechanism and pinpoint the physical parameters and conditions for its control. This new insight has great practical relevance for the effectiveness and safety of drilling operations in general, and hydraulic fracturing in particular. Fracture caging runaway poses a hazard for drilling operations in overpressured formations. Recognition of the fracture caging mechanism also opens up new opportunities for controlled engineering of its effects by the manipulation of the Frac number in wells in order to bring more precision in the fracking process of tight formations.","geomechanics; equations of state; fracture and flow","en","journal article","Oxford University Press","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:6bb7c382-3f25-4a64-b3f4-8a08da229185","http://resolver.tudelft.nl/uuid:6bb7c382-3f25-4a64-b3f4-8a08da229185","Ultrasonic processing of aluminum alloys","Zhang, L.","Katgerman, L. (promotor); Eskin, D.G. (promotor)","2013","The research in ultrasonic processing for metallurgical application shows a promising influence on improving casting properties of aluminium alloys. The principle of ultrasonic processing is introduction of acoustic waves with a frequency higher than 17 kHz into liquid metal. Several promising beneficial effects caused by ultrasonic processing in aluminium alloys were observed and researched, such as ultrasonic-aided grain refinement, reduction of thermal contraction during solidification, and ultrasonic degassing. The systematic study of this subject allows us to achieve a better understanding of ultrasonic processing in aluminium alloys. It also provides valuable information for optimization of ultrasonic processing parameters in industrial application.","Ultrasonic processing; Solidification; Aluminum alloys; Microstructure; Casting properties","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","MSE","","","",""
"uuid:2cf47233-3544-4c46-83c4-6219ed9071c6","http://resolver.tudelft.nl/uuid:2cf47233-3544-4c46-83c4-6219ed9071c6","Research on BOM based composable modeling method","Zhang, M.; He, Q.; Gong, J.","","2013","Composable modeling method has been a research hotpot in the area of Modeling and Simulation for a long time. In order to increase the reuse and interoperability of BOM based model, this paper put forward a composable modeling method based on BOM, studied on the basic theory of composable modeling method based on BOM, designed a general structure of the coupled model based on BOM, and traversed the structure of atomic and coupled model based on BOM. At last, the paper introduced the process of BOM based composable modeling and made a conclusion on composable modeling method based on BOM. From the prototype we developed and accumulative model stocks, we found this method could increase the reuse and interoperability of models.","BOM; composable modeling; atomic model; coupled model; model structure; model description","en","conference paper","SPIE","","","","","","","","Technology, Policy and Management","Multi Actor Systems","","","",""
"uuid:4daa330a-35cb-4fb4-a3ad-f6eb2f30d6c7","http://resolver.tudelft.nl/uuid:4daa330a-35cb-4fb4-a3ad-f6eb2f30d6c7","Photoluminescence Properties of Red-Emitting Mn2+-Activated CaAlSiN3 Phosphor for White-LEDs","Zhang, Z.; Delsing, A.C.A.; Notten, P.H.L.; Zhao, J.; Dorenbos, P.; Hintzen, H.T.","","2013","Mn2+-doped CaAlSiN3 phosphors have been prepared by a solid-state reaction method at high temperature and the solubility of Mn2+ in the host lattice as well as their photoluminescence properties were investigated. In CaAlSiN3, not only Ca2+ sites, but also Al3+ sites can be substituted by Mn2+ ions. CaAlSiN3 : Mn2+ absorbs blue light in the spectral range of 440–460 nm, and exhibits a broad band emission in the wavelength range of 475–750 nm, which can be ascribed to the 4T1 (4G) ? 6A1 (6S) transition of Mn2+ located at two different sites in CaAlSiN3. The emission bands at lower energy (15,950 cm?1 or 627 nm) and higher energy (18,250 cm?1 or 548 nm) are assigned to the Mn2+ locating at the Al site (MnAl) and Ca site (MnCa), respectively with energy transfer from MnCa to MnAl. In addition, the integral luminescence intensity only decreases to about 94% at 150?C of the value at 50?C, which is of great interest for the applications of white-LEDs.","","en","journal article","The Electrochemical Society","","","","","","","","Applied Sciences","RST/Radiation, Science and Technology","","","",""
"uuid:fdaf7d6a-1d45-41a5-8157-15586fa3480f","http://resolver.tudelft.nl/uuid:fdaf7d6a-1d45-41a5-8157-15586fa3480f","Understanding Operation and User Behavior in Peer-to-Peer Systems","Zhang, B.","Sips, H.J. (promotor)","2013","","","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Technology","","","",""
"uuid:5a87bfaf-322e-4fa2-9e17-8b69d4c4d7c9","http://resolver.tudelft.nl/uuid:5a87bfaf-322e-4fa2-9e17-8b69d4c4d7c9","Field-free synthetic-ferromagnet spin torque oscillator","Zhou, Y.; Xiao, J.; Bauer, G.E.W.; Zhang, F.C.","","2013","We study the magnetization dynamics of spin valve structures with a free composite synthetic ferromagnet (SyF) that consists of two ferromagnetic layers coupled through a normal metal spacer. A ferromagnetically coupled SyF can be excited into dynamical precessional states by an applied current without external magnetic fields. We analytically determine the stability of these states in the space spanned by the current density and SyF interlayer exchange coupling. Numerical simulations confirm our analytical results.","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:dc55dfc9-87d2-44a2-83ce-9ac2ee7c8059","http://resolver.tudelft.nl/uuid:dc55dfc9-87d2-44a2-83ce-9ac2ee7c8059","Quantum detector tomography of a time-multiplexed superconducting nanowire single-photon detector at telecom wavelengths","Natarajan, C.M.; Zhang, L.; Coldenstrodt-Ronge, H.; Donati, G.; Dorenbos, S.N.; Zwiller, V.; Walmsley, I.A.; Hadfield, R.H.","","2013","Superconducting nanowire single-photon detectors (SNSPDs) are widely used in telecom wavelength optical quantum information science applications. Quantum detector tomography allows the positive-operator-valued measure (POVM) of a single-photon detector to be determined. We use an all-fiber telecom wavelength detector tomography test bed to measure detector characteristics with respect to photon flux and polarization, and hence determine the POVM. We study the SNSPD both as a binary detector and in an 8-bin, fiber based, Time-Multiplexed (TM) configuration at repetition rates up to 4 MHz. The corresponding POVMs provide an accurate picture of the photon number resolving capability of the TM-SNSPD.","","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:5a075569-695e-4f8a-aa79-e18952019e1b","http://resolver.tudelft.nl/uuid:5a075569-695e-4f8a-aa79-e18952019e1b","Spiraling motion of underwater gliders; Modeling, analysis and experimental results","Zhang, S.","","2013","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:61f98753-03b8-478b-a643-018a6cb3ef72","http://resolver.tudelft.nl/uuid:61f98753-03b8-478b-a643-018a6cb3ef72","On two-dimensional moonpool resonance for twin bodies in a two-layer fluid","Zhang, Xinshu; Bandyk, Piotr","","2013","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:e0adda47-b8bb-4716-94c0-eb7100e688bb","http://resolver.tudelft.nl/uuid:e0adda47-b8bb-4716-94c0-eb7100e688bb","Experiences with a Permanently Installed Seismic Monitoring Array at the CO2 Storage Site at Ketzin (Germany): A Status Overview","Arts, R.J.; Zhang, X.; Verdel, A.R.; Santonico, D.; Meekes, J.A.C.; Noorlandt, R.P.; Paap, B.F.; Vandeweijer, V.P.","","2013","Since July 2008, CO2 is injected into a saline aquifer near the town of Ketzin in Germany. For monitoring the CO2- migration close to the injection well, TNO installed a fixed 2D seismic array of 120 meters length in 2009, with 3- component geophones at the surface, 4-component receivers at 50 meters depth and a central vertical array of 4- component receivers. This specific test acquisition set-up was and is being used both for the recording of high-quality active time-lapse seismic data as well as for continuous passive seismic data recording. The latter gave rise to the identification of a large number of surface noise related events and some very weak events possibly originating from the deeper subsurface. The active seismic data acquisition consisted of a conventional repeat survey after 2 years using an accelerated weight drop source, as well as a test with a prototype semi-permanent source located at the site during a period of 3 weeks in which CO2 injection was stopped. In both cases subtle changes at the reservoir level have been observed, though the limitations of the experimental lay-out make it difficult to come up with firm conclusions in terms of CO2 induced pressure and saturation changes. Further analysis of the data is ongoing work.","seismic monitoring; passive seismics; continous monitoring; permanent array; CO2 aquifer storage; time-lapse seismic; seismic noise interferometry; Ketzin","en","journal article","Elsevier","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:c17afe0c-47e0-4a3f-93dc-6e48eb7ab5ec","http://resolver.tudelft.nl/uuid:c17afe0c-47e0-4a3f-93dc-6e48eb7ab5ec","Single-Grain Si TFTs Fabricated by Liquid-Si and Long-Pulse Excimer-Laser","Ishihara, R.; Zhang, J.; Trifunovic, M.; Van der Zwan, M.; Takagishi, H.; Kawajiri, R.; Shimoda, T.; Beenakker, C.I.M.","","2012","Solution process of silicon using liquid-Si is attractive for fabrication of high-speed flexible electronics. We have fabricated single-grain Si TFTs on location-controlled Si grains with longpulse excimer laser crystallization of spin-coated liquid Si film. The maximum grain diameter is 3.5?m, and the mobilities for electrons and holes are 423cm2/Vs and 118cm2/Vs, respectively.","","en","journal article","The Electrochemical Society","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:c3f0ef24-b2b6-4eec-a895-0cce3bad2431","http://resolver.tudelft.nl/uuid:c3f0ef24-b2b6-4eec-a895-0cce3bad2431","Effect of Limestone Powder on Microstructure of Ternary Cementitious System","Zhang, Y.; Ye, G.","","2012","The pressure to reach sustainability favours the development of ternary composite cement. The synergistic effect on mechanical behaviour at 28 days between limestone powder (LP) and pozzolanic additives, i.e. fly ash (FA) and blast furnace slag (BFS), has been documented. In order to better understand the synergistic effect, this article investigated the effect of LP on the microstructure of PC-FA and PC-BFS cementitious system. The mineralogy and pore structure were determined after 28 days of curing at 20°C and 95% relative humidity. The mineralogy in pastes was identified by means of X-Ray diffraction (XRD) and thermogravimetry (TG). The pore structure was evaluated by Mercury intrusion porosimetry (MIP). The results showed that neither monosulfoaluminate nor ettringite was found in any of the XRD patterns, instead carboaluminate was observed. Hemicarboaluminate produced in FA-PC or BFS-PC system transformed into monocarboaluminate with the addition of LP. The porosity was enlarged compared with LP-free paste system. It seems that both the physical and chemical effect of LP contribute to the synergistic effect on mechanical behaviour of cementitious system hydrated up to 28 days.","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:b696ac89-cc4e-483d-be77-82cca0c9feb7","http://resolver.tudelft.nl/uuid:b696ac89-cc4e-483d-be77-82cca0c9feb7","Toward nonlinear modeling of meander evolution","Chen, D.; Zhang, Y.; Ottevanger, W.; Blanckaert, K.J.F.; Gu, L.","","2012","","","en","journal article","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:887774b3-21bf-48c0-8e92-bdc55666eb7c","http://resolver.tudelft.nl/uuid:887774b3-21bf-48c0-8e92-bdc55666eb7c","Nonlinear simulation of meander evolution","Chen, D.; Zhang, Y.; Ottevanger, W.; Blanckaert, K.; Leilei, G.","","2012","Evolution of meanders, a complex morpho-dynamic process, has been the focus of research challenging river engineers for decades. The study replicates the natural evolution of meandering processes by coupling the Bank Erosion and Retreat Model (BERM, by Chen and Duan) with a nonlinear flow model (by Blanckaert and de Vriend). Distinguished from our previous meander evolution model which adopted the first-order analytical solution of flow field in a sine-generated channel (by Johannesson and Parker), the present model is applicable to any channel planforms and more suitable for moderately and strongly curved flows. The computed results demonstrate the improvement of simulation of meander evolution by adopting the advanced hydrodynamic model.","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:573d7bc9-ed31-40d1-9127-3ecdf79c790a","http://resolver.tudelft.nl/uuid:573d7bc9-ed31-40d1-9127-3ecdf79c790a","Single-grain Si TFTs fabricated by liquid-Si and longpulse excimer-laser (abstract)","Ishihara, R.; Zhang, J.; Trifunovic, M.; Van der Zwan, M.; Takagishi, H.; Kawajiri, R.; Shimoda, T.; Beenakker, C.I.M.","","2012","","","en","conference paper","The Electrochemical Society","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:05aea124-11ff-43d7-9c50-5b16459373ab","http://resolver.tudelft.nl/uuid:05aea124-11ff-43d7-9c50-5b16459373ab","Dehydration kinetics of Portland cement paste at high temperature","Zhang, Q.; Ye, G.","","2012","Portland cement paste is a multiphase compound mainly consisting of calcium-silicate-hydrate (CSH) gel, calcium hydroxide (CH) crystal, and unhydrated cement core. When cement paste is exposed to high temperature, the dehydration of cement paste leads to not only the decline in strength, but also the increased pore pressure in the paste. In this article, the dehydration kinetic was characterized in term of the combination of kinetics of CSH and CH. The dehydration kinetics data of cement paste at different heating rates was collected by thermogravimetry. The influence of temperature on the reaction rate is analyzed by Arrhenius equation. The Arrhenius parameters of CSH and CH, activation energy, and pre-exponential factor are determined by isoconversional method. The calculated kinetics parameters were validated by further experimental data finally","kinetics; dehydration; cement paste; high temperature; TG; isocontroversional method","en","journal article","Springer-Verlag","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:fb8df4d1-33e0-4e8c-96ea-9f4c31ebac59","http://resolver.tudelft.nl/uuid:fb8df4d1-33e0-4e8c-96ea-9f4c31ebac59","An analytical solution for tidal propagation in the Yangtze Estuary, China","Zhang, E.F.; Savenije, H.H.G.; Chen, S.L.; Mao, X.H.","","2012","An analytical model for tidal dynamics has been applied to the Yangtze Estuary for the first time, to describe the tidal propagation in this large and typically branched estuary with three-order branches and four outlets to the sea. This study shows that the analytical model developed for a single-channel estuary can also accurately describe the tidal dynamics in a branched estuary, particularly in the downstream part. Within the same estuary system, the North Branch and the South Branches have a distinct tidal behaviour: the former being amplified demonstrating a marine character and the latter being damped with a riverine character. The satisfactory results for the South Channel and the South Branch using both separate and combined topographies confirm that the branched estuary system functions as an entity. To further test these results, it is suggested to collect more accurate and dense bathymetric and tidal information.","OA-Fund TU Delft","en","journal article","European Geosciences Union (EGU)","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:b4fe13a8-7cce-416f-802a-6fd618726d56","http://resolver.tudelft.nl/uuid:b4fe13a8-7cce-416f-802a-6fd618726d56","An internal deletion in MTH1 enables growth on glucose of pyruvate-decarboxylase negative, non-fermentative Saccharomyces cerevisiae","Oud, B.; Flores, C.L.; Gancedo, C.; Zhang, X.; Trueheart, J.; Daran, J.M.; Pronk, J.T.; Van Maris, A.J.A.","","2012","Background Pyruvate-decarboxylase negative (Pdc-) strains of Saccharomyces cerevisiae combine the robustness and high glycolytic capacity of this yeast with the absence of alcoholic fermentation. This makes Pdc-S. cerevisiae an interesting platform for efficient conversion of glucose towards pyruvate-derived products without formation of ethanol as a by-product. However, Pdc- strains cannot grow on high glucose concentrations and require C2-compounds (ethanol or acetate) for growth under conditions with low glucose concentrations, which hitherto has limited application in industry. Results Genetic analysis of a Pdc- strain previously evolved to overcome these deficiencies revealed a 225bp in-frame internal deletion in MTH1, encoding a transcriptional regulator involved in glucose sensing. This internal deletion contains a phosphorylation site required for degradation, thereby hypothetically resulting in increased stability of the protein. Reverse engineering of this alternative MTH1 allele into a non-evolved Pdc- strain enabled growth on 20 g l-1 glucose and 0.3% (v/v) ethanol at a maximum specific growth rate (0.24 h-1) similar to that of the evolved Pdc- strain (0.23 h-1). Furthermore, the reverse engineered Pdc- strain grew on glucose as sole carbon source, albeit at a lower specific growth rate (0.10 h-1) than the evolved strain (0.20 h-1). The observation that overexpression of the wild-type MTH1 allele also restored growth of Pdc-S. cerevisiae on glucose is consistent with the hypothesis that the internal deletion results in decreased degradation of Mth1. Reduced degradation of Mth1 has been shown to result in deregulation of hexose transport. In Pdc- strains, reduced glucose uptake may prevent intracellular accumulation of pyruvate and/or redox problems, while release of glucose repression due to the MTH1 internal deletion may contribute to alleviation of the C2-compound auxotrophy. Conclusions In this study we have discovered and characterised a mutation in MTH1 enabling Pdc- strains to grow on glucose as the sole carbon source. This successful example of reverse engineering not only increases the understanding of the glucose tolerance of evolved Pdc-S. cerevisiae, but also allows introduction of this portable genetic element into various industrial yeast strains, thereby simplifying metabolic engineering strategies.","inverse metabolic engineering; reverse metabolic engineering; whole genome sequencing; glucose tolerance; by-product reduction; MTH1 allele","en","journal article","BioMed Central","","","","","","","","Applied Sciences","BT/Biotechnology","","","",""
"uuid:0b5cfe00-54dd-4f40-b76f-fc7f1a9c66cb","http://resolver.tudelft.nl/uuid:0b5cfe00-54dd-4f40-b76f-fc7f1a9c66cb","Single-Mask Fabrication of Temperature Triggered MEMS Switch for Cooling Control in SSL System","Wei, J.; Ye, H.; Van Zeijl, H.W.; Sarro, P.M.; Zhang, G.Q.","","2012","A micro-electro-mechanical-system (MEMS) based, temperature triggered, switch is developed as a cost-effective solution for smart cooling control of solid-state-lighting systems. The switch (1.0x0.4 mm2) is embedded in a silicon substrate and fabricated with a single-mask 3D micro-machining process. The device switches on at a designed temperature threshold (130 °C) with a contact resistance of < 2 ohm, and switches off when the temperature drops below that limit. In this way, automatic control of a cooling system is possible, without any need of additional electronic components. The research is a part of the Enlight project.","solid state lighting; temperature sensor; active cooling","en","journal article","Elsevier","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Delft Institute of Microsystems and Nanoelectronics","","","",""
"uuid:c8f77d1b-6fb4-4bca-93b7-ed1069f40c3b","http://resolver.tudelft.nl/uuid:c8f77d1b-6fb4-4bca-93b7-ed1069f40c3b","Pattern classification approaches to matching building polygons at multiple scales","Zhang, X.; Zhao, X.; Molenaar, M.; Stoter, J.; Kraak, M.J.; Ai, T.","","2012","Matching of building polygons with different levels of detail is crucial in the maintenance and quality assessment of multi-representation databases. Two general problems need to be addressed in the matching process: (1) Which criteria are suitable? (2) How to effectively combine different criteria to make decisions? This paper mainly focuses on the second issue and views data matching as a supervised pattern classification. Several classifiers (i.e. decision trees, Naive Bayes and support vector machines) are evaluated for the matching task. Four criteria (i.e. position, size, shape and orientation) are used to extract information for these classifiers. Evidence shows that these classifiers outperformed the weighted average approach.","Data Matching; Multi-Scale Modeling; Map Generalization; Pattern Classification; Building Feature","en","conference paper","International Society for Photogrammetry and Remote Sensing","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:60ea5019-4e34-4311-918e-26137ddd553b","http://resolver.tudelft.nl/uuid:60ea5019-4e34-4311-918e-26137ddd553b","High/low-moment phase transition in hexagonal Mn-Fe-P-Si compounds","Dung, N.H.; Zhang, L.; Ou, Z.Q.; Zhao, L.; Van Eijck, L.; Mulders, A.M.; Avdeev, M.; Suard, E.; Van Dijk, N.H.; Brück, E.","","2012","Using high-resolution neutron diffraction measurements for Mn-rich hexagonal Mn-Fe-P-Si compounds, we show that the substitution of Mn for Fe on the 3f sites results in a linear decrease of the Fe/Mn(3f) magnetic moments, while the Mn(3g) magnetic moments remain constant. With increasing temperature, the Mn(3g) magnetic moments show almost no change, while the Fe/Mn(3f) moments decrease quickly when the transition temperature is approached. The reduction of the magnetic moments at the transition temperature and in the high-temperature range is discussed based on changes in interatomic distances and lattice parameters and high-temperature magnetic-susceptibility measurement.","magnetocaloric material; magnetic transition; transition metal","en","journal article","American Physical Society","","","","","","","","Applied Sciences","","","","",""
"uuid:8ebb71c9-6683-496f-9d2a-0a4283351277","http://resolver.tudelft.nl/uuid:8ebb71c9-6683-496f-9d2a-0a4283351277","Efficient Pricing of Early: Exercise and Exotic Options Based on Fourier Cosine Expansions","Zhang, B.","Oosterlee, C.W. (promotor)","2012","In the financial world, two tasks are of prime importance: model calibration and portfolio hedging. For both tasks, efficient option pricing is necessary, particularly for the calibration where many options with different strike prices and different maturities need to be priced at the same time. Therefore, a fast yet accurate pricing method is a necessity for banks and trading companies. Nowadays three groups of pricing methods are being used in the financial industry and academia, that is, Monte--Carlo methods, partial (integro-)differential equation (PIDE) methods, and numerical integration methods, where the option price is modeled as the discounted expected value of the payoff at maturity. The latter type of methods is attractive from both practice and research point of view, as the fast computational speed, especially for plain vanilla options, makes it useful for calibration at financial institutions. Usually numerical integration techniques are combined with the Fast Fourier transform or Hilbert transform, and therefore, the numerical integration methods are often referred to as the `transform methods'. Representatives of transform methods are the Carr--Madan method (Carr, Madan, 1999), the CONV method (Lord et.al. 2008) and the Hilbert transform method (Feng, Linetsky, 2008). A recent contribution to the transform method category is the COS method proposed in Fang, Oosterlee (2008, 2009), that is, an option pricing method based on the Fourier cosine expansions. It departs from a truncated risk--neutral formula, in which the conditional density function is recovered in terms of its characteristic function, by Fourier cosine expansions. This method can be used for asset processes as long as the characteristic function of the conditional density function is known, or can be approximated. For processes where the density function and its derivatives are continuous functions with respect to the underlying asset, the COS method exhibits an exponential convergence rate. Our research work is based on the COS method, which has been used for vanilla European option pricing (Fang Oosterlee, 2008), vanilla early--exercise option pricing and barrier option pricing (Fang, Oosterlee, 2009). The motivation of this thesis is to further improve the robustness of the COS method, make it efficient for non--Levy models, and extend it to different types of exotic options. The point of departure of this thesis is to improve the robustness of the COS method for call option pricing with early-exercise features, as presented in Chapter 1, where the call option prices are obtained from put option prices, in combination with the put--call parity and put--call duality relations, which are incorporated into our pricing algorithm at each early--exercise date to recover the Fourier coefficients and to compute the continuation value. The robustness of the pricing methods is demonstrated by error analysis, as well as by a series of numerical examples. In Chapter 2, the acceleration of option pricing by the COS method on the Graphics Processing Unit (GPU) is presented. After a brief discussion of the GPU and its potential for option pricing, we will study different ways of GPU implementation, followed by three examples of GPU acceleration, the so-called multiple strike option pricing, option pricing under hybrid models where the characteristic function is derived from a Riccati ODE system, and the example of Bermudan option pricing. Influence of data transfer between host and device is also discussed in this chapter. Extension of COS method to early--exercise option pricing with an Ornstein--Uhlenbeck (OU) process is explained in Chapter 3. OU processes for commodity derivatives, either with or without seasonality functions, are non--Levy processes and more computationally expensive within the COS framework, as compared to Levy processes. First of all, an accurate pricing algorithm is given, which can be used for all OU processes with different types of seasonality functions. Then, based on a detailed error analysis, a more efficient pricing method is proposed, which reduces the computing time from seconds to milliseconds. However, this new method is not advocated for all parameter settings. The conditions under which the basis point accuracy can be ensured is derived by error analysis. In the numerical part, the accuracy and efficiency of these two pricing methods are compared, and the conditions we derived from error analysis are further verified by several numerical experiments. In Chapter 4, we present an efficient pricing method for American--style swing options, based on Fourier cosine expansions. Here we assume that the holder of the swing option has the right, but not the obligation, to buy or sell a certain amount of commodity, such as gas and electricity, at any time before the expiry of the option, and more than once. Moreover, a recovery time is added between two consecutive exercises in which exercise is not allowed. Our pricing method is based on the Bellman principle, leading to a backward recursion procedure in which the optimal exercise regions are determined at each time step, after which the Fourier coefficients can be recovered recursively. Our method performs well for different underlying processes, different swing contracts and different types of recovery time. The pricing methods for European and early--exercise Asian options (ASCOS) are shown respectively in Chapters 5 and 6. In Chapter 5, we present an efficient option pricing method for Asian options written on different types of averaged asset prices, but without early--exercise features. In our method, the characteristic function of the average asset is recursively recovered, with the help of Fourier expansions and Clenshaw--Curtis quadrature. Then it is used in the risk--neutral formula to get the Asian option price. Exponential convergence rate is observed for most Levy processes, which is also supported by a detailed error analysis. Advantages of our pricing algorithm are that as the number of monitoring dates increases, the method stays robust and the computing time does not increase significantly, as shown in the numerical results. Our pricing method for early--exercise Asian options is presented in Chapter 6. In this case, the Fourier cosine coefficients of the option price are recursively recovered by Fourier transform and Clenshaw--Curtis quadrature. Then these coefficients are inserted into the risk--neutral formula, which, in the early--exercise Asian case, is a two--dimensional integration, to get the option value. The chain rule from probability theory is also needed in our algorithm to factorize the joint conditional density functions. An exponential convergence rate in the option price, as derived in a detailed error analysis, is observed from various numerical experiments. Factors of approximately hundred of speedup are achieved on the GPU. Conclusions and insight into future research are to be found in Chapter 7. In this thesis, efficient pricing methods for different early--exercise and exotic options, based on the Fourier cosine expansions, are presented, followed by an error analysis and numerical results, from which we see that the COS method is an efficient, robust and flexible method for pricing different types of option products, for different asset models, and is suitable for GPU acceleration. It is a promising tool for financial calibration and dynamic hedging in practice.","option pricing; Fourier cosine expansions; swing options; Asian options; Put--call parity and duality; Ornstein--Uhlenbeck processes; Levy processes; graphics processing unit","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Applied mathematics","","","",""
"uuid:1b335e74-1314-4f29-8a0a-81838aabfa0e","http://resolver.tudelft.nl/uuid:1b335e74-1314-4f29-8a0a-81838aabfa0e","Establishment of the coarse grained parameters for epoxy-copper interfacial separation","Wong, C.K.Y.; Leung, S.Y.Y.; Poelma, R.H.; Jansen, K.M.B.; Yuan, C.C.A.; Van Driel, W.D.; Zhang, G.","","2012","Atomistic coarse grained parameters were calculated from a non-equilibrium molecular dynamics simulation of the separation of an epoxy-copper interface. The methodology to determine the interaction energy and the equilibrium distance between the interfacial materials at a minimum energy is established. The traction-displacement relations of the separation under the influence of time taken for atomic interaction, displacement step, and molecular size have been studied. The study illustrates that the control of the time step in the molecular dynamics models is important to ensure a proper separation simulation. The result shows close matching with the thermodynamics work of adhesion. An analytical scheme to determine the coarse grained parameters from the relations is discussed. The proposed methodology contributes to the interpretation of interfacial adhesion beyond the continuum framework.","adhesion; copper; interface structure; metal-insulator boundaries; molecular dynamics method; resins","en","journal article","American Physical Society","","","","","","","","Delft University of Technology","","","","",""
"uuid:52678c7a-b4d1-4791-a49a-0ec4d872957e","http://resolver.tudelft.nl/uuid:52678c7a-b4d1-4791-a49a-0ec4d872957e","THz near-field Faraday imaging in hybrid metamaterials","Kumar, N.; Strikwerda, A.C.; Fan, K.; Zhang, X.; Averitt, R.D.; Planken, P.C.M.; Adam, A.J.L.","","2012","We report on direct measurements of the magnetic near-field of metamaterial split ring resonators at terahertz frequencies using a magnetic field sensitive material. Specifically, planar split ring resonators are fabricated on a single magneto-optically active terbium gallium garnet crystal. Normally incident terahertz radiation couples to the resonator inducing a magnetic dipole oscillating perpendicular to the crystal surface. Faraday rotation of the polarisation of a near-infrared probe beam directly measures the magnetic near-field with 100 femtosecond temporal resolution and (l /200) spatial resolution. Numerical simulations suggest that the magnetic field can be enhanced in the plane of the resonator by as much as a factor of 200 compared to the incident field strength. Our results provide a route towards hybrid devices for dynamic magneto-active control of light such as isolators, and highlight the utility of split ring resonators as compact probes of magnetic phenomena in condensed matter.","","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:3aa47d1d-11bf-4bd8-85ae-c05bacfe7c24","http://resolver.tudelft.nl/uuid:3aa47d1d-11bf-4bd8-85ae-c05bacfe7c24","Efficient pricing of Asian options under Lévy processes based on Fourier cosine expansions. Part II. Early-exercise features and GPU implementation","Zhang, B.; Van der Weide, J.A.M.; Oosterlee, C.W.","","2012","In this article, we propose an efficient pricing method for Asian options with early–exercise features. It is based on a two–dimensional integration and a backward recursion of the Fourier coefficients, in which several numerical techniques, like Fourier cosine expansions, Clenshaw–Curtis quadrature and the Fast Fourier transform (FFT) are employed. Rapid convergence of the pricing method is illustrated by an error analysis. Its performance is further demonstrated by various numerical examples, where we also show the power of an implementation on the Graphics Processing Unit (GPU).","earlyexercise Asian option; arithmetic average; Fourier cosine expansion; chain rule; ClenshawCurtis quadrature; exponential convergence; graphics processing unit (GPU) computation","en","report","Delft University of Technology, Faculty of Electrical Engineering, Mathematics and Computer Science, Delft Institute of Applied Mathematics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:a96438a9-ba9c-4a36-b7c7-ed1eb050f5b9","http://resolver.tudelft.nl/uuid:a96438a9-ba9c-4a36-b7c7-ed1eb050f5b9","Stabilized hot electron bolometer heterodyne receiver at 2.5?THz","Hayton, D.J.; Gao, J.R.; Kooi, J.W.; Ren, Y.; Zhang, W.; De Lange, G.","","2012","We report on a method to stabilize a hot electron bolometer (HEB) mixer at 2.5 THz. The technique utilizes feedback control of the local oscillator (LO) laser power by means of a swing-arm actuator placed in the optical beam path. We demonstrate that this technique yields a factor of 50 improvement in the spectroscopic Allan variance time which is shown to be over 30?s in a 12?MHz noise fluctuation bandwidth. Furthermore, broadband signal direct detection effects may be minimized by this technique. The technique is versatile and can be applied to practically any local oscillator at any frequency.","bolometers; fluctuations; hot carriers; receivers","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:9929dac1-70d3-4fb4-8ae9-312381e2edc8","http://resolver.tudelft.nl/uuid:9929dac1-70d3-4fb4-8ae9-312381e2edc8","Influence of the ice concentration of the ice loads on the hull of a ship in managed ice field","van der Werff, Solange; Haase, Andrea; Huijsmans, Rene; Zhang, Qin","","2012","","offshore","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ae344c20-5fc4-4a52-aa75-e33e02f67ea9","http://resolver.tudelft.nl/uuid:ae344c20-5fc4-4a52-aa75-e33e02f67ea9","Experimental investigation of nonlinear dynamic tension in mooring lines","Zhang, Su-xia; Tang, You-gang; Liu, Xi-jun","","2012","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:b3beaec0-34a4-427c-b520-ccc3ba97eb23","http://resolver.tudelft.nl/uuid:b3beaec0-34a4-427c-b520-ccc3ba97eb23","Efficient pricing of Asian options under Lévy processes based on Fourier cosine expansions Part I: European-style products","Zhang, B.; Oosterlee, C.W.","","2011","We propose an efficient pricing method for arithmetic, and geometric, Asian options under Levy processes, based on Fourier cosine expansions and Clenshaw–Curtis quadrature. The pricing method is developed for both European–style and American–style Asian options, and for discretely and continuously monitored versions. In the present paper we focus on European–style Asian options; American-style options are treated in an accompanying part II of this paper. The exponential convergence rate of Fourier cosine expansions and Clenshaw–Curtis quadrature reduces the CPU time of the method to milli-seconds for geometric Asian options and a few seconds for arithmetic Asian options. The method’s accuracy is illustrated by a detailed error analysis, and by various numerical examples.","Arithmetic Asian options, Lévy processes, Fourier cosine expansions, ClenshawCurtis quadrature, exponential convergence","en","report","Delft University of Technology, Faculty of Electrical Engineering, Mathematics and Computer Science, Delft Institute of Applied Mathematics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:e0f01ba3-40ba-4ab8-9350-da406a41688a","http://resolver.tudelft.nl/uuid:e0f01ba3-40ba-4ab8-9350-da406a41688a","Microstructure Analysis of Heated Portland Cement Paste","Zhang, Q.; Ye, G.","","2011","When a concrete structure is exposed to high temperature, the mechanical damage and chemical transformation take place simultaneously, which will change the microstructure of material. On the other hand, the mechanical properties and transport properties depend on the development of microstructure of cement paste. In order to study the microstructure changes at high temperature, in this contribution the cement paste samples were firstly heated to varied temperatures from 100 °C to 1000 °C with heating rate of 5 °C/min, and then naturally cooled to indoor temperature. In the microstructural analysis program, the environmental scanning electron microscope (ESEM), mercury intrusion porosimetry (MIP) and thermogravimetry analysis (TGA) were used. The images captured by ESEM were analyzed by segmentation and binary image processing in order to calculate the volume fractions of hydration products and porosity. The change on density distribution of hydration products was characterized by histogram of ESEM image at different temperatures. The porosity and pore size distribution of same samples were studied by MIP and the chemical decomposition was analyzed by TGA as well. Through the analysis of the information obtained from TGA and microstructure measurements, it is found that hydrated calcium silicate (CSH gel) and portlandite dehydrated into sparse crystalline particles when temperature reached 1000 °C. The porosity and the connectivity of pore of cement paste increased along with temperature increase. The results of this research provide a fundamental understanding on how the fire sapling occurs when concrete structures were exposed to high temperature.","microstructure; cement paste; high temperature; ESEM; MIP","en","journal article","Elsevier","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:b4ce5aa1-3aff-4439-b80f-86ce59d57964","http://resolver.tudelft.nl/uuid:b4ce5aa1-3aff-4439-b80f-86ce59d57964","Tidal flat evolution at the central Jiangsu coast, China","Gong, Z.; Wang, Z.B.; Stive, M.J.F.; Zhang, C.K.","","2011","A schematized process-based model of tidal flat evolution was constructed with dimensions similar to the tidal flats near the Wanggang Mouth at the central coast of Jiangsu, China. The simulated flow patterns agree qualitatively with field observations from literature, i.e. involving tidal asymmetry, current directions and tidal wave features. The analysis of the sediment fluxes depicts that deposition occurs from spring tide to neap tide and erosion from neap tide to spring tide. A sensitivity analysis test of the morphological acceleration factor shows that the ideal value is only 1, implying no acceleration factor. The long-term mudflat evolution has been simulated starting from an initial sand seabed. The simulated morphological characteristics, including the convex cross-shore profiles with steeper slope and the southern prograding coastline with slight higher accretion rate compared with the north side, are qualitatively consistent with reality. Most importantly, the creek patterns are roughly reproduced.","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:3367d2d6-2297-445e-bd5e-eddd59bd764a","http://resolver.tudelft.nl/uuid:3367d2d6-2297-445e-bd5e-eddd59bd764a","A novel un-differenced PPP-RTK concept","Zhang, B.; Teunissen, P.J.G.; Odijk, D.","","2011","In this contribution, a novel un-differenced (UD) (PPP-RTK) concept, i.e. a synthesis of Precise Point Positioning and Network-based Real-Time Kinematic concept, is introduced. In the first step of our PPP-RTK approach, the UD GNSS observations from a regional reference network are processed based upon re-parameterised observation equations, corrections for satellite clocks, phase biases and (interpolated) atmospheric delays are calculated and provided to users. In the second step, these network-based corrections are used at the user site to restore the integer nature of his UD phase ambiguities, which makes rapid and high accuracy user positioning possible. The proposed PPP-RTK approach was tested using two GPS CORS networks with inter-station distances ranging from 60 to 100 km. The first test network is the northern China CORS network and the second is the Australian Perth CORS network. In the test of the first network, a dual-frequency PPP-RTK user receiver was used, while in the test of the second network, a low-cost, single-frequency PPP-RTK user receiver was used. The performance of fast ambiguity resolution and the high accuracy positioning of the PPP-RTK results are demonstrated.","GNSS; PPP-RTK; Integer Ambiguity Resolution; Satellite Phase Bias","en","journal article","Cambridge University Press","","","","","","","2012-10-14","Aerospace Engineering","Remote Sensing","","","",""
"uuid:c83f4942-9482-4bb7-96e2-39c185a49b11","http://resolver.tudelft.nl/uuid:c83f4942-9482-4bb7-96e2-39c185a49b11","Molecular modeling of temperature dependence of solubility parameters for amorphous polymers","Chen, X.; Yuan, C.; Wong, C.K.Y.; Zhang, G.","","2011","A molecular modeling strategy is proposed to describe the temperature (T) dependence of solubility parameter (?) for the amorphous polymers which exhibit glass-rubber transition behavior. The commercial forcefield “COMPASS” is used to support the atomistic simulations of the polymer. The temperature dependence behavior of ? for the polymer is modeled by running molecular dynamics (MD) simulation at temperatures ranging from 250 up to 650 K. Comparing the MD predicted ? value at 298 K and the glass transition temperature (T g) of the polymer determined from ?–T curve with the experimental value confirm the accuracy of our method. The MD modeled relationship between ? and T agrees well with the previous theoretical works. We also observe the specific volume (v), cohesive energy (U coh), cohesive energy density (E CED) and ? shows a similar temperature dependence characteristics and a drastic change around the T g. Meanwhile, the applications of ? and its temperature dependence property are addressed and discussed.","glass transition temperature; molecular simulation; solubility parameter","en","journal article","Springer","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:cfb18caf-3625-4d87-82ce-5fc2132b2cd1","http://resolver.tudelft.nl/uuid:cfb18caf-3625-4d87-82ce-5fc2132b2cd1","Evaluation and selection of sensing materials for carbon dioxide (CO2) sensor by molecular modeling","Chen, X.P.; Wong, C.K.Y.; Yuan, C.A.; Zhang, G.Q.","","2011","We report a molecular modeling study to evaluate and select conducting polymers (CPs) as the sensing materials of carbon dioxide (CO2) sensor. The interaction between polymer and gas and the adsorption of the gas molecules in the polymer matrix are investigated. Polymers considered for this work include emeraldine base polyaniline (EB–PANI) and unprotonated sodium polyaniline salt (NaSPANI) with sulfur to nitrogen ratio (S/N) of 0.4, 0.5 and 0.6. Gases studied include CO2, humidity (H2O) and carbonic acid (H2CO3). The interaction energies of polymer-gas and the loading number of the analytes predict that the NaSPAN is more suitable for CO2 sensing than the EB–PANI.","polyaniline; CO2 sensor; grennhouse; molecular modeling","en","journal article","Elsevier","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:e292ce3b-18e5-4bef-b825-196867dec9fd","http://resolver.tudelft.nl/uuid:e292ce3b-18e5-4bef-b825-196867dec9fd","From first-order magneto-elastic to magneto-structural transition in (Mn,Fe)1.95P0.50Si0.50 compounds","Dung, N.H.; Zhang, L.; Ou, Z.Q.; Brück, E.","","2011","We report on structural, magnetic, and magnetocaloric properties of MnxFe1.95?xP0.50Si0.50 (x???1.10) compounds. With increasing the Mn:Fe ratio, a first-order magneto-elastic transition gradually changes into a first-order magneto-structural transition via a second-order magnetic transition. The study also shows that thermal hysteresis can be tuned by varying the Mn:Fe ratio. Small thermal hysteresis (less than 1 K) can be obtained while maintaining a giant magnetocaloric effect. This achievement paves the way for real refrigeration applications using magnetic refrigerants.","magnetocaloric effect; transition metal; magneto-elastic transition; magneto-structural transition","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Reactor Institute Delft","","","",""
"uuid:213381b0-912f-4fa2-ac62-4d24cf87178c","http://resolver.tudelft.nl/uuid:213381b0-912f-4fa2-ac62-4d24cf87178c","The evolutionary emergence of stochastic phenotype switching in bacteria","Rainey, P.B.; Beaumont, H.J.E.; Ferguson, G.C.; Gallie, J.; Kost, C.; Libby, E.; Zhang, X.X.","","2011","Stochastic phenotype switching – or bet hedging – is a pervasive feature of living systems and common in bacteria that experience fluctuating (unpredictable) environmental conditions. Under such conditions, the capacity to generate variable offspring spreads the risk of being maladapted in the present environment, against offspring likely to have some chance of survival in the future. While a rich subject for theoretical studies, little is known about the selective causes responsible for the evolutionary emergence of stochastic phenotype switching. Here we review recent work – both theoretical and experimental – that sheds light on ecological factors that favour switching types over non-switching types. Of particular relevance is an experiment that provided evidence for an adaptive origin of stochastic phenotype switching by subjecting bacterial populations to a selective regime that mimicked essential features of the host immune response. Central to the emergence of switching types was frequent imposition of ‘exclusion rules’ and ‘population bottlenecks’ – two complementary faces of frequency dependent selection. While features of the immune response, exclusion rules and bottlenecks are likely to operate in many natural environments. Together these factors define a set of selective conditions relevant to the evolution of stochastic switching, including antigenic variation and bacterial persistence.","","en","journal article","BioMed Central","","","","","","","","Applied Sciences","BN/Bionanoscience","","","",""
"uuid:be322526-b893-49ec-94f9-1f7c3bb2a4dd","http://resolver.tudelft.nl/uuid:be322526-b893-49ec-94f9-1f7c3bb2a4dd","Efficiency Comparison of Various Parking Charge Schemes Considering Daily Travel Cost in a Linear City","Zhang, X.; Van Wee, G.P.","","2011","In this paper, we introduce a new duration dependent parking fee regime based on the travel cost for an entire day, rather than a single commute trip. Commuters are assumed to reside at one end of a linear city and work in a business center at the other end. A two-stage differential method is used to derive user equilibrium travel patterns for both morning and evening rush hour commutes. Both individual travel cost and system travel cost are derived as functions of travel demand. We then compare the efficiency of the duration dependent parking fee regime with that of three previously proposed pricing regimes in the context of elastic travel demand. Results show that a pricing regime with both time-varying road tolls and location dependent parking fees is most efficient, followed by a regime with time-varying road tolls alone. Depending on the parking fee rate, a uniform duration-based parking fee regime may or may not be more efficient than a no-pricing regime. Under the duration dependent parking fee regime, an optimal parking fee rate can be obtained by minimizing system cost or maximizing social surplus, which gives rise to a system-wide performance no worse than that in the no-pricing regime.","parking; daily commute; Nash equilibrium; user equilibrium; road toll; parking fee","en","journal article","Delft University of Technology","","","","","","","","Technology, Policy and Management","Infrastructures, Systems and Services","","","",""
"uuid:3fbb1fd0-7e3c-4e90-8b82-b44d7941e22e","http://resolver.tudelft.nl/uuid:3fbb1fd0-7e3c-4e90-8b82-b44d7941e22e","Influence of ITO deposition and post annealing on HIT solar cell structures","Zhang, D.; Tavakoliyaraki, A.; Wu, Y.; Van Swaaij, R.A.C.M.M.; Zeman, M.","","2011","Heterojunction silicon with intrinsic thin layer (HIT) solar cells that combine advanced thin-film hydrogenated amorphous silicon (a-Si:H) and crystalline silicon (c-Si) technologies are promising because of the high performance at low cost. Due to the low conductivity of a-Si:H, indium tin oxide (ITO) needs to be used as a front contact layer on top of a-Si:H in order to collect photogenerated currents. The thin a-Si:H layer requires the ITO deposition to be soft so that the passivation is maintained after deposition. Otherwise, the passivation degradation resulting from ITO deposition should be recovered by some post processing. In this contribution, we investigate how the power density and the temperature during ITO deposition as well as post annealing influence the passivation quality of HIT solar cells as characterised by the open-circuit voltage (Voc) and minority carrier lifetime. Firstly, ITO sputtering with lower power density can reduce the degradation of the passivation quality after ITO deposition. Secondly, we have investigated the simultaneous annealing during ITO deposition at elevated temperature. On one hand, simultaneous annealing can recover some of the degradation resulting from sputtering. On the other hand, there is a temperature threshold above which degradation of the passivation is observed, probably by hydrogen effusion. Thirdly, we observe that post annealing can fully recover the degradation resulting from ITO sputtering at room temperature (RT).","heterojunction silicon solar cell; ITO; annealing","en","journal article","Elsevier","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:99338628-4ea5-476c-8669-b0a43faeeeb9","http://resolver.tudelft.nl/uuid:99338628-4ea5-476c-8669-b0a43faeeeb9","Multi-feature fusion for surveillance video indexing","Fernandez Arguedas, V.; Zhang, Q.; Chandramouli, K.; Izquierdo, E.","","2011","In this paper, we present a part of surveillance centric indexing framework aimed at studying the performance of multi-feature fusion technique for indexing objects from surveillance videos. The multi-feature fusion algorithm determines an optimal metric for fusing low-level descriptors extracted from different feature space. These low-level descriptors exhibit a non-linear behaviour and typically consist of different similarity metrics. The framework also includes a motion analysis component for the extraction of objects as blobs from individual frames. The proposed framework, in particular the multi-feature fusion algorithm is evaluated against kernel machines for indexing objects such as car and person on AVSS 2007 surveillance dataset.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:2bcfe016-a40c-4db8-9c91-b8f774010fe8","http://resolver.tudelft.nl/uuid:2bcfe016-a40c-4db8-9c91-b8f774010fe8","Influence of ultrasonic melt treatment on the formation of primary intermetallics and related grain refinement in aluminum alloys","Zhang, L.; Eskin, D.G.; Katgerman, L.","","2011","Ultrasonic melt treatment (UST) is known to induce grain refining in aluminum alloys. Previous studies have clearly shown that in Al–Zr–Ti alloys, the primary Al3Zr intermetallics were dramatically refined by cavitation-assisted fragmentation, and a good refinement effect was achieved. In this article, Al–Ti, Al–Ti–Zr alloys, and some commercial aluminum alloys are used to analyze the effect of UST on primary intermetallics and grain refinement. The addition of a small amount of Al–3Ti–B master alloy is also studied in order to compare with the addition of Ti and Zr in commercial aluminum alloys. Experimental results show that the ultrasonic grain refining effect is not only related to the size of particles which are refined and/or dispersed by UST, but also related to an undercooling available for activation of these particles in the solidification process. Athermal heterogeneous nucleation theory is considered to explain the effect of size and distribution of substrate particles on the grain structure with different undercoolings. The distribution of primary particle sizes results in the distribution of required undercoolings. Grain refining occurs when the undercooling is large enough to activate the refined primary intermetallics or dispersed inoculants.","","en","journal article","Springer Verlag","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:b1a405b7-5422-4402-9a22-827d03aa4b7d","http://resolver.tudelft.nl/uuid:b1a405b7-5422-4402-9a22-827d03aa4b7d","Multiagent task allocation in social networks","De Weerdt, M.M.; Zhang, Y.; Klos, T.","","2011","This paper proposes a new variant of the task allocation problem, where the agents are connected in a social network and tasks arrive at the agents distributed over the network. We show that the complexity of this problem remains NP-complete. Moreover, it is not approximable within some factor. In contrast to this, we develop an efficient greedy algorithm for this problem. Our algorithm is completely distributed, and it assumes that agents have only local knowledge about tasks and resources. We conduct a broad set of experiments to evaluate the performance and scalability of the proposed algorithm in terms of solution quality and computation time. Three different types of networks, namely small-world, random and scale-free networks, are used to represent various social relationships among agents in realistic applications. The results demonstrate that our algorithm works well and also that it scales well to large-scale applications. In addition we consider the same problem in a setting where the agents holding the resources are self-interested. For this, we show how the optimal algorithm can be used to incentivize these agents to be truthful. However, the efficient greedy algorithm cannot be used in a truthful mechanism, therefore an alternative, cluster-based algorithm is proposed and evaluated.","task allocation; social networks; resource allocation; distributed algorithm; mechanism design","en","journal article","Springer","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:43dc1355-9da6-4ac9-a48c-e427697d54d3","http://resolver.tudelft.nl/uuid:43dc1355-9da6-4ac9-a48c-e427697d54d3","NNFFC-adaptive output feedback trajectory tracking control for a surface ship at high speed","Zhang, L.-J.","","2011","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:4072ad78-b914-4c31-8a8f-92c3ef3f2ce9","http://resolver.tudelft.nl/uuid:4072ad78-b914-4c31-8a8f-92c3ef3f2ce9","Solving nonlinear wave-body interaction problems with the pre-corrected Fast Fourier Transform (pFFT) Method","Zhang, Sheguang; Weems, Kenneth; Lin, Woei-Min","","2011","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:74cb9be9-e3fb-4f24-918d-067353a6ea97","http://resolver.tudelft.nl/uuid:74cb9be9-e3fb-4f24-918d-067353a6ea97","Automatic diagnosis and control of distributed solid state lighting systems","Dong, J. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research)","","2011","This paper describes a new design concept of automatically diagnosing and compensating LED degradations in distributed solid state lighting (SSL) systems. A failed LED may significantly reduce the overall illumination level, and destroy the uniform illumination distribution achieved by a nominal system. To our knowledge, an automatic scheme to compensate LED degradations has not yet been seen in the literature, which requires a diagnostic step followed by control reconfigurations. The main challenge in diagnosing LED degradations lies in the usually unsatisfactory observability in a distributed SSL system, because the LED light output is usually not individually measured. In this work, we tackle this difficulty by using pulse width modulated (PWM) drive currents with a unique fundamental frequency assigned to each LED. Signal processing methods are applied in estimating the individual illumination flux of each LED. Statistical tests are developed to diagnose the degradation of LEDs. Duty cycle of the drive current signal to each LED is re-optimized once a fault is detected, in order to compensate the destruction of the uniform illumination pattern by the failed LED.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:6796de1a-46ce-4119-b868-504be5536c0c","http://resolver.tudelft.nl/uuid:6796de1a-46ce-4119-b868-504be5536c0c","Heterodyne gas cell measurements at 2.9 THz using a quantum cascade laser as local oscillator","Ren, Y.; Gao, J.R.; Hovenier, J.N.Higgins, R.; Zhang, W.; Bell, A.; Klein, B.; Klapwijk, T.M.","","2010","High-resolution heterodyne spectrometers operating at above 2 THz are crucial for detecting, e.g., the HD line at 2.7 THz and oxygen OI line at 4.7 THz in astronomy. The potential receiver technology is a combination of a hot electron bolometer (HEB) mixer and a THz quantum cascade laser (QCL) local oscillator (LO).Here we report the first highresolution heterodyne spectroscopy measurement of a gas cell using such a HEB-QCL receiver. The receiver employs a 2.9 THz free-running QCL as local oscillator and a NbN HEB as a mixer. By using methanol (CH3OH) gas as a signal source, we successfully recorded the methanol emission line at 2.92195 THz. Spectral lines at IF frequency at different pressures were measured using a FFTS and well fitted with a Lorentzian profile. Our gas cell measurement is a crucial demonstration of the QCL as LO for practical heterodyne instruments. Together with our other experimental demonstrations, such as using a QCL at 70 K to operate a HEB mixer and the phase locking of a QCL such a receiver is in principle ready for a next step, which is to build a real instrument for any balloon-, air-, and space-borne observatory.","THz quantum cascade laser, superconducting HEB mixer, heterodyne spectroscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:278106a3-743d-4459-a7d8-63acea463e8c","http://resolver.tudelft.nl/uuid:278106a3-743d-4459-a7d8-63acea463e8c","NbN hot electron bolometer mixer at 5.3 THz","Zhang, W.; Gao, J.R.; Khosropanah, P.; Bansal, T.; Klapwijk, T.M.; Miao, W.; Shi, S.C.","","2010","We report the sensitivity of a superconducting NbN hot electron bolometer mixer integrated with a tight spiral antenna at 5.3 THz. Using a measurement setup with black body calibration sources and a beam splitter in vacuo, and an antireflection coated Si lens, we obtained a double sideband receiver noise temperature of 1150 K, which is 4.5 times h?/kB (quantum limit). Our experimental results in combination with an antenna-to-bolometer coupling simulation suggest that HEB mixer can work well at least up to 6 THz, suitable for next generation of high-resolution spectroscopic of the neutral atomic oxygen (OI) line at 4.7 THz.","THz superconducting mixer, THz antenna, beam patterns, receiver noise temperature","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:39fcae97-c6fb-4fbb-b06a-2dbb63f03a22","http://resolver.tudelft.nl/uuid:39fcae97-c6fb-4fbb-b06a-2dbb63f03a22","Preventing Under-Reporting in Social Task Allocation","De Weerdt, M.M.; Zhang, Y.","","2010","In games where agents are asked to declare their available resources, they can also strategize over this declaration. Surprisingly, not in all such games a VCG payment can be applied to construct a truthful mechanism using an optimal algorithm, though such payments can prevent under-reporting of resources. We show this for the problem of allocating tasks in a social network (STAP). Since STAP is NP-hard, we introduce an approximation algorithm as well. However for such an approximation, a VCG payment cannot prevent under-reporting anymore. Therefore we introduce an alternative payment function that motivates agents to fully declare their resources. We also demonstrate by experiments that the approximation algorithm works well in different types of social networks.","","en","book chapter","Springer","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:fbcc6ff1-77f2-4053-93ac-ec715701b41c","http://resolver.tudelft.nl/uuid:fbcc6ff1-77f2-4053-93ac-ec715701b41c","Noise temperature and beam pattern of an NbN hot electron bolometer mixer at 5.25 THz","Zhang, W.; Khosropanah, P.; Gao, J.R.; Bansal, T.; Klapwijk, T.M.; Miao, W.; Shi, S.C.","","2010","We report the measured sensitivities of a superconducting NbN hot electron bolometer (HEB) heterodyne receiver at 5.25 THz. Terahertz (THz) radiation is quasioptically coupled to a HEB mixer with a lens and a spiral antenna. Using a measurement setup with black body calibration sources and a beam splitter in vacuo, and an antireflection coated Si lens, we obtained a double sideband (DSB) receiver noise temperature (TrecDSB) of 1150 K, which is nine times h?/2k, where h is the Planck constant, ? the frequency, and k the Boltzmann constant. In addition, the measured far field beam patterns of the integrated lens antenna show nearly collimated beams from 2.5 to 5.3 THz that allow reliable measurement of TrecDSB using the vacuum setup. Our experimental results in combination with an antenna-to-bolometer coupling simulation suggest that the HEB mixer can work well at least up to 6 THz, making it suitable for next generation of high-resolution spectroscopic space telescopes and, in particular, for the detection of the neutral atomic oxygen line at 4.7 THz.","antireflection coatings; bolometers; constants; lenses; niobium compounds; noise; receivers; silicon; spiral antennas; superconducting mixers","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:6befcf86-5d3c-47e6-aa8c-7b2849003059","http://resolver.tudelft.nl/uuid:6befcf86-5d3c-47e6-aa8c-7b2849003059","Enumeration and Exact Design of Weighted Voting Games (extended abstract)","De Keijzer, B.; Klos, T.B.; Zhang, Y.","","2010","","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:5a77187a-ce71-4ab5-8b06-3548a8b0aa2a","http://resolver.tudelft.nl/uuid:5a77187a-ce71-4ab5-8b06-3548a8b0aa2a","Shape, sizing optimization and material selection based on mixed variables and genetic algorithm","Tang, X.; Bassir, D.H.; Zhang, W.","","2010","In this work, we explore simultaneous designs of materials selection and structural optimization. As the material selection turns out to be a discrete process that finds the optimal distribution of materials over the design domain, it cannot be performed with common gradient-based optimization methods. In this paper, material selection is considered together with the shape and sizing optimization in a framework of multiobjective optimization of tracking the Pareto curve. The idea of mixed variables is often introduced in the case of mono-objective optimization. However, in the case of multi-objective optimization, we still face some hard key points related to the convexity and the continuity of the Pareto domain, which underline the originality of this work. In addition to the above aspect, there is a lack in the literature concerning the industrial applications that consider the mixed parameters. Continuous variables refer to structural parameters such as thickness, diameter and spring elastic constants while material ID is defined as binary design variable for each material. Both mechanical and thermal loads are considered in this work with the aim of minimizing the maximum stress and structural weight simultaneously. The efficiency of the design procedure is demonstrated through various numerical examples.","material selection; shape optimization; mixed design variables; multiobjective optimization; genetic algorithms","en","journal article","Springer","","","","","","","","Aerospace Engineering","Aerospace Structures","","","",""
"uuid:40870a9c-74ba-429e-b302-2665d21bc630","http://resolver.tudelft.nl/uuid:40870a9c-74ba-429e-b302-2665d21bc630","Forcefields based molecular modeling on the mechanical and physical properties of emeraldine base polyaniline","Chen, X.; Yuan, C.A.; Wong, K.Y.; Zhang, G.Q.","","2010","Molecular dynamics (MD) and molecular mechanical (MM) analysis are carried out to provide reliable and accurate model for emeraldine base polyaniline. This study validate the forcefields and model with the physical and mechanical properties of the polyaniline. The temperature effects on non-bond energy, potential energy and solubility parameter during the transformation from the rubbery to the glassy state have been analysed in this work. A new method using the solubility versus temperature (??T)(??T) curve for predicting the TgTg of polymer are suggested.","Emeraldine base; molecular dynamics; forcefields; glass transition","en","journal article","Elsevier","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:e73821a7-325b-4bd9-82ef-2b60716189a1","http://resolver.tudelft.nl/uuid:e73821a7-325b-4bd9-82ef-2b60716189a1","Formalization and data enrichment for automated evaluation of building pattern preservation","Zhang, X.; Stoter, J.E.; Ai, T.; Kraak, M.J.","","2010","Automated evaluation of generalization output relies to a large extent on that requirements (e.g. specifications, constraints) being formalized in machine-readable formats. Previous studies suggest that the formalization and automated evaluation are relatively easier for legibility constraints (improve the readability of maps) than for preservation constraints (preserving important real-world phenomena). Three major difficulties, i.e., pattern classification and characterization, pattern matching, and constraint formalization, in the automated evaluation of building pattern preservation constraint are analyzed in this paper. A classification of available building patterns is reviewed based on a previous work. In addition, the transition events describing allowed changes for building patterns to preserve during generalization are obtained through the study of existing maps series (from 1:10k to 1:100k). Based on the obtained knowledge on pattern types and acceptable transition events, an approach to automatically match corresponding building patterns at different scales is presented. The methodology proposed is validated by applying it to the interactively generalized data. The result shows promising results and also further improvement in order to apply the method in an overall evaluation to indicate acceptable generalization solutions.","automated evaluation; building pattern preservation; pattern matching; constraint formalization","en","conference paper","International Society of Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:659e4e31-0428-4d23-a2c9-6bc00a03c33a","http://resolver.tudelft.nl/uuid:659e4e31-0428-4d23-a2c9-6bc00a03c33a","Giant magnetocaloric effects by tailoring the phase transitions","Brück, E.H.; Trung, N.T.; Zhang, L.; Caron, L.; Buschow, K.H.J.","","2010","The MnCoGe alloy can crystallize in either the hexagonal Ni2In- or the orthorhombic TiNiSi-type of structure. In both phases MnCoGe behaves like a typical ferromagnet with a second-order magnetic phase transition. For MnCoGeBx with B on interstitial positions, we discover a giant magnetocaloric effect associated with a single first-order magnetostructural phase transition, which can be achieved by tuning the magnetic and structural transitions to coincide. The results obtained on the MnCoGe-type alloys may be extensible to other types of magnetic materials undergoing a first-order structural transformation and can open up some possibilities for searching magnetic refrigerants for room-temperature applications","OA-Fund TU Delft","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Fundamental Aspects of Materials and Energy","","","",""
"uuid:0da40b68-e2cf-4572-9d52-a009fd7efea4","http://resolver.tudelft.nl/uuid:0da40b68-e2cf-4572-9d52-a009fd7efea4","From single- to double-first-order magnetic phase transition in magnetocaloric Mn1−xCrxCoGe compounds","Trung, N.T.; Biharie, V.; Zhang, L.; Caron, L.; Buschow, K.H.J.; Brück, E.H.","","2010","Substitution of some Cr for Mn atoms in MnCoGe was employed to control the magnetic and structural transitions in this alloy to coincide, leading to a single first-order magnetostructural transition from the ferromagnetic to the paramagnetic state with a giant magnetocaloric effect observed near room temperature. Further increase in the Cr content in the Mn1?xCrxCoGe alloys can induce another first-order magnetoelastic transition from the antiferromagnetic to the ferromagnetic state occurring at lower temperature. The giant magnetocaloric effect as well as the simultaneous tunability of the two magnetic transitions make these materials promising for future cooling applications.","cobalt compounds; ferromagnetic-antiferromagnetic transitions; ferromagnetic-paramagnetic transitions; magnetocaloric effects; magnetoelastic effects; manganese compounds; chromium compounds; OA-Fund TU Delft","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","RRR/Radiation, Radionuclides and Reactors","","","",""
"uuid:166088ff-569f-4ed1-9e21-7dcaf8e6ad4f","http://resolver.tudelft.nl/uuid:166088ff-569f-4ed1-9e21-7dcaf8e6ad4f","Challenges in the Assembly and Handling of Thin Film Capped MEMS Devices","Zaal, J.J.M.; Van Driel, W.D.; Zhang, G.Q.","","2010","This paper discusses the assembly challenges considering the design and manufacturability of a Wafer Level Thin Film Package in MEMS applications. The assembly processes are discussed. The loads associated with these processes are illustrated and evaluated. Numerical calculations are combined with experimental observations in order to estimate the assembly risks. Our results emphasize the need for concurrent design for assembly.","MEMS; wafer level thin film package; packaging; resonator","en","journal article","MDPI AG","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:75eed5e6-b2c6-4ab2-ab55-8ce079e73f84","http://resolver.tudelft.nl/uuid:75eed5e6-b2c6-4ab2-ab55-8ce079e73f84","Discrete dislocation simulations of the flattening of nanoimprinted surfaces","Zhang, Y.; Van der Giessen, E.; Nicola, L.","","2010","Simulations of rough surface flattening are performed on thin metal films whose roughness is created by nanoimprinting flat single crystals. The imprinting is carried out by means of a rigid template with equal flat contacts at varying spacing. The imprinted surfaces are subsequently flattened by a rigid platen, while the change of roughness and surface profile is computed. Attention is focused mainly on comparing the response of the film surfaces with those of identical films cleared of the dislocations and residual stresses left by the imprinting process. The aim of these studies is to understand to what extent the loading history affects deformation and roughness during flattening. The limiting cases of sticking and frictionless contact between rough surface and platen are analyzed. Results showthat when the asperities are flattened such that the contact area is up to about one third of the surface area, the loading history strongly affects the flattening. Specifically, the presence of initial dislocations facilitates the squeezing of asperities independently of the friction conditions of the contact. For larger contact areas, the initial conditions affect only sticking contacts, while frictionless contacts lead to a homogeneous flattening of the asperities due to yield of the metal film. In all cases studied the final surface profile obtained after flattening has little to no resemblance to the original imprinted surface.","","en","journal article","Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Department of Materials Science and Engineering","","","",""
"uuid:3d4e0b56-4905-4a74-a280-91ca0e79967d","http://resolver.tudelft.nl/uuid:3d4e0b56-4905-4a74-a280-91ca0e79967d","Quantum noise in a terahertz hot electron bolometer mixer","Zhang, W.; Khosropanah, P.; Gao, J.R.; Kollberg, E.L.; Yngvesson, K.S.; Bansal, T.; Barends, R.; Klapwijk, T.M.","","2010","We have measured the noise temperature of a single, sensitive superconducting NbN hot electron bolometer (HEB) mixer in a frequency range from 1.6 to 5.3 THz, using a setup with all the key components in vacuum. By analyzing the measured receiver noise temperature using a quantum noise (QN) model for HEB mixers, we confirm the effect of QN. The QN is found to be responsible for about half of the receiver noise at the highest frequency in our measurements. The ?-factor (the quantum efficiency of the HEB) obtained experimentally agrees reasonably well with the calculated value.","bolometers; millimetre wave mixers; quantum noise","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:5d02b210-857d-4bda-81e0-9fd59da55906","http://resolver.tudelft.nl/uuid:5d02b210-857d-4bda-81e0-9fd59da55906","Efficient pricing of commodity options with early-exercise under the Ornstein–Uhlenbeck process","Zhang, B.; Grzelak, L.A.; Oosterlee, C.W.","","2010","We analyze the efficiency properties of a numerical pricing method based on Fourier-cosine expansions for early-exercise options. We focus on variants of Schwartz’ model [20] based on a mean reverting Ornstein-Uhlenbeck process [23], which is commonly used for modeling commodity prices. This process however does not possess favorable properties for the option pricing method of interest. We therefore propose an approximation of its characteristic function, so that the Fast Fourier Transform can be applied for highest efficiency.","","en","report","Delft University of Technology, Faculty of Electrical Engineering, Mathematics and Computer Science, Delft Institute of Applied Mathematics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:46714bc8-783c-4535-b222-ba1f89b5ea96","http://resolver.tudelft.nl/uuid:46714bc8-783c-4535-b222-ba1f89b5ea96","An efficient pricing algorithm for swing options based on fourier cosine expansions","Zhang, B.; Oosterlee, C.W.","","2010","Swing options give contract holders the right to modify amounts of future delivery of certain commodities, such as electricity or gas. In this paper, we assume that these options can be exercised at any time before the end of the contract, and more than once. However, a recovery time between any two consecutive exercise dates is incorporated as a constraint to avoid continuous exercise. We introduce an efficient way of pricing these swing options, based on the Fourier cosine expansion method, which is especially suitable when the underlying is modeled by a Lévy process.","","en","report","Delft University of Technology, Faculty of Electrical Engineering, Mathematics and Computer Science, Delft Institute of Applied Mathematics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:adccf357-5870-4ac6-8f7f-1f42db232f3b","http://resolver.tudelft.nl/uuid:adccf357-5870-4ac6-8f7f-1f42db232f3b","Acceleration of option pricing technique on graphics processing units","Zhang, B.; Oosterlee, C.W.","","2010","The acceleration of an option pricing technique based on Fourier cosine expansions on the Graphics Processing Unit (GPU) is reported. European options, in particular with multiple strikes, and Bermudan options will be discussed. The influence of the number of terms in the Fourier cosine series expansion, the number of strikes, as well as the number of exercise dates for Bermudan options, are explored. We also give details about the different ways of implementing on a GPU. Numerical examples include asset price processes based on a L´evy process of infinite activity and the stochastic volatility Heston model. Furthermore, we discuss the issue of precision on the present GPU systems.","","en","report","Delft University of Technology, Faculty of Electrical Engineering, Mathematics and Computer Science, Delft Institute of Applied Mathematics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:d90e9a21-9239-43ab-b515-0596673e8482","http://resolver.tudelft.nl/uuid:d90e9a21-9239-43ab-b515-0596673e8482","Magnetic properties of Dy nanoparticles and Al2O3-coated Dy nanocapsules","Liu, X.G.; Or, S.W.; Li, B.; Ou, Z.Q.; Zhang, L.; Zhamg, Q.; Geng, D.Y.; Yang, F.; Li, D.; Brück, E.H.; Zhang, Z.D.","","2010","","nanoparticles; magnetic properties; size effect; rare-earth metals","en","journal article","Springer","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:0e5166fd-570a-4da6-951a-56d95926a415","http://resolver.tudelft.nl/uuid:0e5166fd-570a-4da6-951a-56d95926a415","Seakeeping computations using double-body basis flows","Zhang, X.","","2010","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:84603039-1092-4145-8355-be6e46af73a8","http://resolver.tudelft.nl/uuid:84603039-1092-4145-8355-be6e46af73a8","Time-domain simulations of radiation and diffraction forces","Zhang, Xinshu","","2010","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:8a9472ec-870b-4649-b923-1ff69b4c3684","http://resolver.tudelft.nl/uuid:8a9472ec-870b-4649-b923-1ff69b4c3684","Financing affordable social housing","Zhang, X.Q.; Oxley, M.J.; Rollnick, R.","","2009","","","en","book","Un-Habitat","","","","","","","","OTB Research Institute","Housing Systems","","","",""
"uuid:167d7879-7f61-4c5e-9390-7d1435e9eda1","http://resolver.tudelft.nl/uuid:167d7879-7f61-4c5e-9390-7d1435e9eda1","Bioparticle Separation in Microfluidic Devices for in-Line Application","Zhang, L.","French, P.J. (promotor); Boscche, A. (promotor)","2009","There was an explosive growth in the bioprocess industry market during the last decade. The tight control of these processes is often very critical in order to optimize the process efficiency or even achieve the right product. Capillary electrophoresis (CE) system is a good option for process monitoring and controlling, since it has already been proved to be a powerful analytical tool used in laboratory situations. However the extremely high separation voltage required by CE operation is preventing the system miniaturization and integration, and thus hindering its way to in-line application. Therefore, in this work, two low-voltage separation methods are proposed and investigated with the aim of substituting the conventional microfluidic CE. In the first DEP method, the curved parts of a serpentine channel are effectively used for dielectrophoretic separation. The second method moving field CE is basically an evolution version of the traditional CE, in which the required separation voltage is significantly reduced by decreasing the span of the electrodes in the channel. For the DEP method, the curves are the essential parts where the dielectrophoretic separation takes place. A DC-biased AC electric field allows small particle separation in a curve as the dielectrophoresis is decoupled from the electroosmosis. The separation sensitivity was found to have a second order dependence on the ratio of AC to DC amplitudes and a linear dependence on the particle size. The separation resolution is limited by the Brownian motion and is voltage dependent. Since the curves are bended in the opposite directions, the separation will be wiped out in the next curve. Therefore, focusing must be conducted to bring all the particles to the same entering point for the next curve. In terms of focusing, the top-and-bottom electrode structure performs superior to the planar array for negative DEP because stronger electric field gradients are generated and particles are forced away from walls where nonuniform flow and nonspecific particle adhesion can occur. Because the hydrodynamic flow profile in a curve also decreases monotonously from the channel center to the outer sidewall, the same device can be used for both hydrodynamic and electrokinetic DEP separation. The hydrodynamic DEP,bearing a higher flow gradient, is expected to produce a larger separation than the electrokinetic DEP. The DEP device was fabricated by bonding of two processed substrates with an SU-8 layer sandwiched in between. The retention time of 2 µm and 4 µm PS particles in a channel segment containing 4 curves was measured in the case of hydrodynamic DEP. The 4 µm particles turn out to take an average 10% longer retention time than the 2 µm particles, which proves the concept. It was revealed that applying a DC voltage over a fraction of the channel will evoke flow distortion in moving field CE operation, and will in turn cause plug dispersion and peak broadening. For a given voltage, the smaller fraction it is applied on, the larger flow distortion will be caused in the EOF region. By extracting and analyzing the equivalent circuits of the fluid system, the flow distortion was found to be the consequence of a backward flow. The method we proposed is to generate, by coupling an electroosmotic pump (EOP), a forward flow and compensate the backward flow in the EOF segment. Specific pump structures were designed to relieve the driving voltage to the same level as the separation voltage. They are an array of slender channels and a broad thin channel. In order to minimize the flow loss, the channel arrays are proposed to be used in the injection channels as well to increase the flow resistance. The moving field CE prototype device was fabricated by laminating two layers of dry film resist on a processed glass substrate. The first layer is used to define the channel structure and the second acts as the lid of the channel. The flow distortion compensation was observed when the EOP driving voltage was increased to a certain level. The required EOP driving voltages with respect to different separation voltages were measured, which exhibit a linear relationship as expected. To detect cells at extremely low concentrations, we additionally proposed a biomass concentration detection method in which an electrokinetic technology is used for rapidly increasing the concentration of the cells while measuring the concentration. Due to the dielectric contrast between the viable cell and the surrounding medium, the cells could be trapped and accumulated by positive dielectrophoresis. Based on the simplified homogeneous sphere model, the frequency dependence of the induced dipole strength of the yeast cell was studied by computer methods. The threshold DEP forces required to dominate over the Brownian motion for cell trapping were investigated. To achieve accurate and sensitive detection, a contactless 4-electrode conductivity detector was suggested to be used. Readout circuits and measurement setups were verified by using ideal resistors to mimic the environment of a liquid suspension. This method is recommended to be further investigated by using viable yeast cells in future research.","microfluidics; separation; capillary electrophoresis; dielectrophoresis","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:4cc0538f-3488-4356-af40-c5053014a75c","http://resolver.tudelft.nl/uuid:4cc0538f-3488-4356-af40-c5053014a75c","Computing the Fault Tolerance of Multi-agent Deployment (extended abstract)","Zhang, Y.; Manisterski, E.; Kraus, S.; Subrahmanian, V.S.; Peleg, D.","","2009","","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:74ba9ae2-7b70-4b20-9747-7fee5ec1da8e","http://resolver.tudelft.nl/uuid:74ba9ae2-7b70-4b20-9747-7fee5ec1da8e","Multi-player Multi-issue Negotiation with Complete Information (extended abstract)","Wu, M.; De Weerdt, M.M.; La Poutré, H.; Yadati, C.; Zhang, Y.; Witteveen, C.","","2009","","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:75bb573c-ec56-4ab9-9c8c-9f9d0cc2c91e","http://resolver.tudelft.nl/uuid:75bb573c-ec56-4ab9-9c8c-9f9d0cc2c91e","Creating incentives to prevent intentional execution failures","Zhang, Y.; De Weerdt, M.M.","","2009","When information or control in a multiagent system is private to the agents, they may misreport this information or refuse to execute an agreed outcome, in order to change the resulting end state of such a system to their benefit. This may result in execution failures. When only information is private, mechanisms such as VCG use payments to create incentives for truthful behavior, and can then guarantee a non-negative utility for all agents. However, when control is also private, such existing mechanisms lose truthfulness and individual rationality: payments should depend on the actual outcome (not on the planned outcome) and some agents should be compensated. We give a more general version of the known negative result in the context of actions with dependencies, and we give a mechanism that can guarantee a nonnegative utility to the agents and is truthful in an ex-post Nash equilibrium.","control systems; costs; intelligent agent; multiagent systems; nash equilibrium; processor scheduling; waste materials","en","conference paper","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:4a138314-f44f-4f88-ba1f-62cc760eeaab","http://resolver.tudelft.nl/uuid:4a138314-f44f-4f88-ba1f-62cc760eeaab","Phase locking of a 2.7 THz quantum cascade laser to a microwave reference","Khosropanah, P.; Baryshev, A.; Zhang, W.; Jellema, W.; Hovenier, J.N.; Gao, J.R.; Klapwijk, T.M.; Paveliev, D.G.; Williams, B.S.; Kumar, S.; Hu, Q.; Reno, J.L.; Klein, B.; Hesler, J.L.","","2009","We demonstrate the phase locking of a 2.7 THz metal–metal waveguide quantum cascade laser (QCL) to an external microwave signal. The reference is the 15th harmonic, generated by a semiconductor superlattice nonlinear device, of a signal at 182 GHz, which itself is generated by a multiplier chain (x12) from a microwave synthesizer at ~15 GHz. Both laser and reference radiations are coupled into a bolometer mixer, resulting in a beat signal, which is fed into a phase-lock loop. The spectral analysis of the beat signal confirms that the QCL is phase locked. This result opens the possibility to extend heterodyne interferometers into the far-infrared range.","spectroscopy, heterodyne; astronomy and astrophysics; far infrared or terahertz; spectroscopy, teraherz; quantum-well, -wire and -dot devices","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:874a3ecc-1e8c-461a-aaae-08192558cc0a","http://resolver.tudelft.nl/uuid:874a3ecc-1e8c-461a-aaae-08192558cc0a","Stimulating European rail freight transport: Towards a new governance approach","Zhang, M.; Tavasszy, L.A.; Van der Heijden, R.E.C.M.","","2009","Developing rail freight sector in the EU is desirable from both a political-social point of view and from a market business point of view. Fragmentation in the national railway systems impedes, in many ways, social and economic benefits in the liberalised EU railway market. The need for innovative governance to deal with the fragmentation problems is therefore pressing. This paper sets out an innovative governance framework – MCC for the governing of the European rail freight domain. MCC is problem-driven, market-oriented and corridor-based. It puts the lens on the forming of horizontal transnational collaboration next to and in relation to the existing vertically-structured institutional arrangement. The design of the framework is based on multidisciplinary approach, where theories like multi-level governance, supply chain management, and geographic concepts such as corridors and networks are integrated. This governance framework is then employed to preliminary assess the existing governance practices such as policy guidelines and directives, as well as emergent governance developments such as transport corridors developed from both business and research projects. Three types of governance practices are derived from the assessment – legislative governance, corridor governance and MCC governance. The results contribute to a better policy making to accelerate the growth in the EU rail freight industry and the advancement of strategic management, spatial planning and organisation theory.","multi-level governance; corridor; rail freight transport; the European Union","en","conference paper","","","","","","","","2010-01-16","Technology, Policy and Management","Transport and Logistics","","","",""
"uuid:f0ad8e8e-efb0-4b0e-a994-97a0b1ff8288","http://resolver.tudelft.nl/uuid:f0ad8e8e-efb0-4b0e-a994-97a0b1ff8288","Thermoelectric effects in magnetic nanostructures","Hatami, M.; Bauer, G.E.W.; Zhang, Q.; Kelly, P.J.","","2009","We model and evaluate the Peltier and Seebeck effects in magnetic multilayer nanostructures by a finite-element theory of thermoelectric properties. We present analytical expressions for the thermopower and the current-induced temperature changes due to Peltier cooling/heating. The thermopower of a magnetic element is in general spin polarized, leading to spin-heat coupling effects. Thermoelectric effects in spin valves depend on the relative alignment of the magnetization directions and are sensitive to spin-flip scattering as well as inelastic collisions in the normal-metal spacer.","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:32c69aeb-6467-435c-be22-52f2b44d911a","http://resolver.tudelft.nl/uuid:32c69aeb-6467-435c-be22-52f2b44d911a","Automatic Registration of Terrestrial Laser Scanning Point Clouds using Panoramic Reflectance Images","Kang, Z.; Li, J.; Zhang, L.; Zhao, Q.; Zlatanova, S.","","2009","This paper presents a new approach to the automatic registration of terrestrial laser scanning (TLS) point clouds using panoramic reflectance images. The approach follows a two-step procedure that includes both pair-wise registration and global registration. The pair-wise registration consists of image matching (pixel-to-pixel correspondence) and point cloud registration (point-to-point correspondence), as the correspondence between the image and the point cloud (pixel-to-point) is inherent to the reflectance images. False correspondences are removed by a geometric invariance check. The pixel to-point correspondence and the computation of the rigid transformation parameters (RTPs) are integrated into an iterative process that allows for the pair-wise registration to be optimised. The global registration of all point clouds is obtained by a bundle adjustment using a circular self-closure constraint. Our approach is tested with both indoor and outdoor scenes acquired by a FARO LS 880 laser scanner with an angular resolution of 0.036º and 0.045º, respectively. The results show that the pair-wise and global registration accuracies are of millimetre and centimetre orders, respectively, and that the process is fully automatic and converges quickly.","point cloud; registration; LIDAR; terrestrial laser scanning; automation; image matching","en","journal article","MDPI AG","","","","","","","","Aerospace Engineering","Remote Sensing","","","",""
"uuid:a31d9d87-fd5d-460b-996d-0298fca22353","http://resolver.tudelft.nl/uuid:a31d9d87-fd5d-460b-996d-0298fca22353","Coordination by design and the price of autonomy","Ter Mors, A.W.; Yadati, C.; Witteveen, C.; Zhang, Y.","","2009","We consider a multi-agent planning problem as a set of activities that has to be planned by several autonomous agents. In general, due to the possible dependencies between the agents’ activities or interactions during execution of those activities, allowing agents to plan individually may lead to a very inefficient or even infeasible solution to the multi-agent planning problem. This is exactly where plan coordination methods come into play. In this paper, we aim at the development of coordination by design techniques that (i) let each agent construct its plan completely independent of the others while (ii) guaranteeing that the joint combination of their plans always is coordinated. The contribution of this paper is twofold. Firstly, instead of focusing only on the feasibility of the resulting plans, we will investigate the additional costs incurred by the coordination by design method, that means, we propose to take into account the price of autonomy: the ratio of the costs of a solution obtained by coordinating selfish agents versus the costs of an optimal solution. Secondly, we will point out that in general there exist at least two ways to achieve coordination by design: one called concurrent decomposition and the other sequential decomposition. We will briefly discuss the applicability of these two methods, and then illustrate them with two specific coordination problems: coordinating tasks and coordinating resource usage.We also investigate some aspects of the price of autonomy of these two coordination methods.","Multi-agent systems; Coordination; Autonomous planning; Algorithms","en","journal article","Springer","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Technology","","","",""
"uuid:a874b21e-dc41-46b0-9cb3-11e5ae262562","http://resolver.tudelft.nl/uuid:a874b21e-dc41-46b0-9cb3-11e5ae262562","Game Technology for Training and Education","Bidarra, R.; Zhang, X.","","2009","","","en","journal article","Hindawi Publishing Corporation","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Mediamatics","","","",""
"uuid:4f491cc5-cdc7-49b4-8b80-700dae2cf57c","http://resolver.tudelft.nl/uuid:4f491cc5-cdc7-49b4-8b80-700dae2cf57c","Validity improvement of evolutionary topology optimization: Procedure with element replaceable method","Zhu, J.; Zhang, W.; Bassir, D.H.","","2009","The aim of this paper is to enhance the validity of existing evolutionary topology optimization procedures. As this hard-killing scheme related to the element sensitivity values may lead to incorrect predictions of inefficient elements to be removed and the value of the objective function becomes sharply deteriorated during the iterations, a check position (CP) control is proposed to prevent the erroneous topology design generated by the rejection criteria of evolutionary methods. For this purpose, we introduce a sort of orthotropic cellular microstructure (OCM) element with moderate pseudodensity that acts as a compromising element between solid element and void OCM element. In this way, all inefficient elements removed previously are automatically replaced with the moderate OCM elements depending upon the deterioration of the objective function. Erroneously removed elements are then identified in the updated finite element model through a direct sensitivity computing of the moderate OCM elements and will be finally recovered by the bi-directional element replacement. Besides, detailed structures with checkerboard patterns are eliminated by controlling the local structural bandwidth with the so-called threshold method. Typical optimization examples of structural compliance and natural frequency that were difficult to tackle are solved by the proposed design procedure. Satisfactory numerical results are obtained.","optimization; evolutionary method; erroneous design; check position control; moderate microstructure","en","journal article","EDP sciences","","","","","","","","Aerospace Engineering","Aerospace Structures","","","",""
"uuid:89a023ae-52eb-4136-8a34-8745ecc28364","http://resolver.tudelft.nl/uuid:89a023ae-52eb-4136-8a34-8745ecc28364","X-ray investigation of buried SiGe islands for devices with strain-enhanced mobility","Hrauda, N.; Zhang, J.J.; Stangl, J.; Rehman-Khan, A.; Bauer, G.; Stoffel, M.; Schmidt, O.G.; Jovanovich, V.; Nanver, L.K.","","2009","In this work self-organized SiGe islands are used as stressors for Si capping layers, which later act as carrier channels in field effect transistors. To be able to address individual islands and to obtain a sufficiently narrow distribution of their properties, the SiGe islands are grown by molecular beam epitaxy on prepatterned Si substrates, with a regular two-dimensional array of pits. This combination of lithographic patterning and self-assembled island growth combines the advantages of both approaches and leads to very homogeneous island shape, size, and chemical composition. For processing, 4?in. wafers are used, and fields with pit periods between 600 and 1000?nm are defined by optical lithography. After growth of a Si buffer layer several monolayers of Ge are deposited, leading to island formation (dome or barn shaped) in the pits. Subsequent Si capping is performed at a low substrate temperature of 300?°C to avoid intermixing and shape changes of the buried islands. The Ge distribution in the buried islands and the strain distribution in the islands and the surrounding Si matrix are assessed by x-ray diffraction experiments, combined with three-dimensional model simulations using finite elements. Tensile strain values in the Si cap up to 8×10?3 can be achieved using this approach, which is difficult to achieve using other methods without introduction of dislocations.","buffer layers; carrier mobility; chemical analysis; field effect transistors; finite element analysis; Ge-Si alloys; island structure; molecular beam epitaxial growth; monolayers; photolithography; self-assembly; semiconductor epitaxial layers; semiconductor growth; semiconductor materials; tensile strength; X-ray diffraction","en","journal article","American Vacuum Society","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:3e7d1d39-d50c-4ae7-a4c5-c8458f0d3c2c","http://resolver.tudelft.nl/uuid:3e7d1d39-d50c-4ae7-a4c5-c8458f0d3c2c","Creating incentives to prevent execution failures: An extension of VCG mechanisms","Zhang, Y.; De Weerdt, M.M.","","2009","When information or control in a multiagent system is private to the agents, they may misreport this information or refuse to execute an agreed outcome, in order to change the resulting end state of such a system to their benefit. In some domains this may result in an execution failure. We show that in such settings VCG mechanisms lose truthfulness, and that the utility of truthful agents can become negative when using VCG payments (i.e., VCG is not strongly individually rational). To deal with this problem, we introduce an extended payment structure which takes into account the actual execution of the promised outcome. We show that this extended mechanism can guarantee a nonnegative utility and is (i) incentive compatible in a Nash equilibrium, and (ii) incentive compatible in dominant strategies if and only if all agents can be verified during execution.","mechanism design; multiagent planning","en","conference paper","Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:3edf7f0a-06bb-4f5e-8ff0-777f29efcfcf","http://resolver.tudelft.nl/uuid:3edf7f0a-06bb-4f5e-8ff0-777f29efcfcf","X-ray diffraction study of the composition and strain fields in buried SiGe islands","Hrauda, N.; Zhang, J.J.; Stoffel, M.; Stangl, J.; Bauer, G.; Rehman-Khan, A.; Holy, V.; Schmidt, O.G.; Jovanovic, V.; Nanver, L.K.","","2009","We report on studies of strain and composition of two-dimensionally ordered SiGe islands grown by molecular beam epitaxy using high resolution x-ray diffraction. To ensure a small size distribution of the islands, pit-patterned 4 (001) Si wafers were used as substrates. The Si wafers were patterned by optical lithography and reactive ion etching. The pits for island growth are ordered in regular 2D arrays with periods ranging from 500 to 1000nm along two orthogonal 110 directions. After the growth of a Si buffer layer, 5 to 9 monolayers of Ge are deposited, leading to the formation of islands with either dome- or barn shape, depending on the number of monolayers deposited. The Si capping of the islands is performed at low temperatures (300 C) to avoid intermixing and thus strain relaxation. Information on the surface morphology obtained by atomic force microscopy (AFM) was used to set up models for three-dimensional Finite Element Method (FEM) simulations of the islands including the patterned Si substrate. In the model, special attention was given to the non uniform distribution of the Ge content within the islands. The FEM results served as an input for calculating the diffracted x-ray intensities using kinematical scattering theory. Reciprocal space maps around the vicinity of symmetric (004) and asymmetric (113) and (224) Bragg peaks were recorded in coplanar geometry. Simulating different germanium gradients leads to altered scattered intensity distribution and consequently information on this quantity is obtained for both dome- and barn-shaped islands as well as on the strain fields.","","en","journal article","EDP sciences","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Micro Electronics","","","",""
"uuid:6a1a6a62-735c-47e2-abd0-a387795f2ce4","http://resolver.tudelft.nl/uuid:6a1a6a62-735c-47e2-abd0-a387795f2ce4","Vitrified bottom ash slag from municipal solid waste incinerators - Phase relations of CaO-SiO2-Na20 oxide system","Zhang, Z.; Xiao, Y.; Yang, Y.; Boom, R.; Voncken, J.H.L.","","2009","Vitrification is considered to be an attractive technology for bottom ash treatment because it destroys the hazardous organics, contributes to immobilization of the heavy metals, and additionally it reduces drastically the volume. The main components of the vitrified bottom ash slag are SiO2 , CaO, Al2 O3 , Fe2 O3 , Na 2 O and MgO, and the compositions have direct effect on the glass formation during vitrification, and further on the physical and mechanical properties of the slag. To provide essential data for the utilization of bottom ash as vitrified slag, the phase relations and thermodynamic properties of the oxide system need to be systematically investigated. In the present study, the liquidus temperature of the typical vitrified bottom ash slag was determined by using Differential Scanning Calorimetry (DSC) measurement. High temperature equilibrium experiments were conducted to investigate the phase relations of the vitrified slag and sub-oxide system CaO-SiO2 - Na2 O by means of Scanning Electron Microscopy (SEM), Electron Microprobe Analysis (EMPA) and X-ray Diffraction (XRD). The results show that the melting temperature of the vitrified bottom ash is around 1120°C. In the CaO-SiO2 - Na 2 O system with less than 50 mol% SiO2 , the liquidus temperature increases with increasing amounts of Na2 O along the tie-line of Na 2 O- Ca 3 Si 2 O 7 . The ompound of Na 2 Ca 3 Si 2 O 8 is identified in the oxide system.","Bottom ash; MSW Incinerators; CaO-SiO2-Na2O OXIDE SYSTEM","en","conference paper","Gecamin","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:82a8635f-2800-4979-8caa-09137ba143e5","http://resolver.tudelft.nl/uuid:82a8635f-2800-4979-8caa-09137ba143e5","Centrifuge modeling of caisson breakwater subject to wave-breaking impacts","Zhang, X.Y.","","2009","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:e6fbbf1d-f471-480d-a8e7-57d9623ff941","http://resolver.tudelft.nl/uuid:e6fbbf1d-f471-480d-a8e7-57d9623ff941","Numerical study of vortex cavitation supression with polymer injection","Zhang, Quan; Hsiao, Chao-Tsung; Chahine, Heorges","","2009","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:9200ded4-f3f3-4f74-84c2-1202c33faa77","http://resolver.tudelft.nl/uuid:9200ded4-f3f3-4f74-84c2-1202c33faa77","Unsteady dynamics of cloud cavitating flows around a hydrofoil","Wang, Guoyu; Zhang, Bo; Huang, Biao; Zhang, Mindi","","2009","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:58b6baba-c7b0-4842-84fd-038ac63ca995","http://resolver.tudelft.nl/uuid:58b6baba-c7b0-4842-84fd-038ac63ca995","Observations and numerical simulations of unsteady partial cavitation on 2-D hydrofoil","Peng, Xiaoxing; Zhang, G.; Ji, Bin; Lu, L.; Hong, F.","","2009","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ae46fa9c-adf8-4e7f-8a4d-15ccee910116","http://resolver.tudelft.nl/uuid:ae46fa9c-adf8-4e7f-8a4d-15ccee910116","Zinc Composite Layers, Incorporating Polymeric Nano-aggregates: Surface Analysis and Electrochemical Behavior","Koleva, D.A.; Zhang, X.; Petrov, P.; Boshkov, N.; Van Breugel, K.; De Wit, J.H.W.; Mol, J.M.C.; Tsvetkova, N.","","2008","This study reports on a comparative investigation of the corrosion behavior of zinc (Zn) and nano-composite zinc (ZnC) galvanic layers in 5% NaCl solution. The metallic matrix of the ZnC layers incorporates nano-sized, stabilized polymeric aggregates, formed from the amphiphilic tri-block co-polymer: poly(2-hydroxyethyl methacrylate) - poly (propylene oxide - poly (2-hydroxyethyl methacrylate) (PHEMA15PPO34PHEMA15). The main objective was to evaluate the electrochemical properties and surface characteristics of both coatings, thus further to investigate if the nano-composite layers will have better corrosion resistance, compared to pure galvanic zinc. The electrochemical behavior, investigated by Impedance spectroscopy (EIS) and Scanning vibrating electrode technique (SVET), supported by surface analysis, using Atomic-force microscopy (AFM) and Scanning electron microscopy (SEM), reveals higher corrosion resistance and consequently better performance of the nano-composite layers, compared to pure galvanic zinc. The mechanism of incorporation of the polymeric nano-aggregates in the coating and their influence on the barrier properties of the composite layers are also briefly discussed.","","en","journal article","The Electrochemical Society","","","","","","","","Delft University of Technology","","","","",""
"uuid:2f82f43f-1f72-4a4d-968c-0b4c0b61f3b2","http://resolver.tudelft.nl/uuid:2f82f43f-1f72-4a4d-968c-0b4c0b61f3b2","3.4 THz heterodyne receiver using a hot electron bolometer and a distributed feedback quantum cascade laser","Khosropanah, P.; Zhang, W.; Hovenier, J.N.; Gao, J.R.; Klapwijk, T.M.; Amanti, M.I.; Scalari, G.; Faist, J.","","2008","We report a heterodyne receiver using a superconducting NbN hot electron bolometer (HEB) integrated with a tight winding spiral antenna as mixer and a distributed feedback (DFB) terahertz quantum cascade laser (QCL) operating at 3.42 THz as local oscillator. The aim is to demonstrate the readiness of both devices for the detection of OH lines at 3.5 THz in a real instrument. We show that the improved single-spot beam of the terahertz QCL can easily pump the HEB mixer. We measured a double sideband receiver noise temperature of 2100 K at the optimum local oscillator power of 290 nW. This noise temperature can be further reduced to 1100 K if we correct the loss due to the use of an uncoated lens, and the losses of the window and the air. Therefore, the combination of a HEB and such a DFB QCL can in principle be used to detect an OH line at 3.5 THz. However, a high input power of several watts, which is needed to operate the QCL in a liquid-helium cryostat, poses a big challenge to the receiver stability.","bolometers; distributed feedback lasers; heterodyne detection; niobium compounds; quantum cascade lasers; superconducting devices","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:0cdc0f86-70da-4fef-aa4f-6de8d671847b","http://resolver.tudelft.nl/uuid:0cdc0f86-70da-4fef-aa4f-6de8d671847b","A 100-electron-beam source from a high brightness Schottky emitter for fast patterning applications","Zhang, Y.","Kruit, P. (promotor)","2008","","","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:549b4643-15e4-4133-b2bb-30d459e90a82","http://resolver.tudelft.nl/uuid:549b4643-15e4-4133-b2bb-30d459e90a82","Of Mechanism Design and Multiagent Planning (extended abstract)","Van der Krogt, R.P.J.; De Weerdt, M.M.; Zhang, Y.","","2008","","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:ffafcc7a-46e3-4eb1-bf7d-49585c3be996","http://resolver.tudelft.nl/uuid:ffafcc7a-46e3-4eb1-bf7d-49585c3be996","Autonomous Scheduling with Unbounded and Bounded Agents (extended abstract)","Narasimha, C.Y.; Witteveen, C.; Zhang, Y.; Wu, M.; La Poutré, H.","","2008","","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:f75f742b-117c-412a-9f23-00006eb4219a","http://resolver.tudelft.nl/uuid:f75f742b-117c-412a-9f23-00006eb4219a","Of Mechanism Design and Multiagent Planning","Van der Krogt, R.P.J.; De Weerdt, M.M.; Zhang, Y.","","2008","Multiagent planning methods are concerned with planning by and for a group of agents. If the agents are selfinterested, they may be tempted to lie in order to obtain an outcome that is more rewarding for them. We therefore study the multiagent planning problem from a mechanism design perspective, showing how to incentivise agents to be truthful. We prove that the well-known truthful VCG mechanism is not always truthful in the context of optimal planning, and present a modification to fix this. Finally, we present some (domain-dependent) poly-time planning algorithms using this fix that maintain truthfulness in spite of their non-optimality.","","en","conference paper","IOS Press","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:840ee404-3cc8-4a02-a2a8-57d83599641a","http://resolver.tudelft.nl/uuid:840ee404-3cc8-4a02-a2a8-57d83599641a","Interface Electronics for a CMOS Electrothermal Frequency-Locked-Loop","Zhang, C.; Makinwa, K.A.A.","","2008","","Electrothermal filter; frequency-locked-loop; synchronous demodulator; temperature sensor","en","journal article","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electronic Instrumentation Laboratory","","","",""
"uuid:2a5614ef-42a0-4330-86b5-efc8ff927e06","http://resolver.tudelft.nl/uuid:2a5614ef-42a0-4330-86b5-efc8ff927e06","Architectural scene rapid reconstruction based on features","Ding, Y.; Zhang, J.Q.","","2008","Retrieve the structure of model and the motion of camera is a classical and hot topic in computer vision and photogrammetry. A lot of automatic or semiautomatic techniques have been developed to optimize the retrieving processing from accuracy, stability and reality perspectives. These techniques are variant from data source, feature selection for matching, feature clustering and 3D model representation. The optimization algorithm and a completely automatic system are still under exploring. In this paper, we use some image-based algorithms for feature selection and matching of 3D man-made scene reconstruction. We present a robust point matching algorithm with RANSAC estimator, and compare two methods of line matching in a complex man-made environment. We point out the degeneracy when use epipolar line as a constraint to match line, instead use a global optimization method. Our experiments show that the proposed method is robust in a complex man-made scene.","3D reconstruction; feature matching; differential evolution; visualization; robust estimation","en","conference paper","International Society for Photogrammetry and Remote Sensing","","","","","","","","Aerospace Engineering","Remote Sensing","","","",""
"uuid:bbf2117f-d289-499b-a5c2-8f218e5db778","http://resolver.tudelft.nl/uuid:bbf2117f-d289-499b-a5c2-8f218e5db778","Electron optics of microlenses with inclined beams","Zhang, Y.; Barth, J.E.; Kruit, P.","","2008","For multielectron beam systems with a single electron source, the outside beams need to be collimated before entering the individual microcolumns. As an alternative of the traditional multibeam source design where the broad beam from the source is collimated by a single lens, the broad beam can be first split in subbeams that are focused by a microlens array and subsequently collimated by a deflector array. This configuration avoids the large angular error due to spherical and chromatic aberration of the collimator lens. The electron optics of the microlenses that are focusing skewed beams is investigated for different lens types and geometries. A two-electrode immersion microlens array with offset apertures is a good candidate due to its small off-axial aberrations. A 100-beam source unit, comprising a Schottky emitter, an immersion microlens array, and a deflector array, is capable of generating 100 parallel beamlets with beamlet current of 2?nA.","aberrations; electron optics; microlenses","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:9e3cfaa2-7316-4c9d-9148-672c1dbad741","http://resolver.tudelft.nl/uuid:9e3cfaa2-7316-4c9d-9148-672c1dbad741","Molecular simulation strategy for mechanical modeling of amorphous/porous low-dielectric constant materials","Yuan, C.A.; Van der Sluis, O.; Zhang, G.Q.; Ernst, L.J.; Van Driel, W.D.; Flower, A.E.; Van Silfhout, B.R.","","2008","We propose an amorphous/porous molecular connection network generation algorithm for simulating the material stiffness of a low-k material (SiOC:H). Based on a given concentration of the basic building blocks, this algorithm will generate an approximate and large amorphous network. The molecular topology is obtained by distributing these blocks randomly into a predefined framework. Subsequently, a structural relaxation step including local and global perturbations is applied to achieve the most likely stereochemical structure. Thus, the obtained mechanical properties of the low-k materials have been verified with the experimental data.","amorphous state; molecular dynamics method; permittivity; porous materials; silicon compounds","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:4d38cd4e-1bc7-4c2c-899e-ffe45cc3aa79","http://resolver.tudelft.nl/uuid:4d38cd4e-1bc7-4c2c-899e-ffe45cc3aa79","VCG-based Truthful Mechanisms for Social Task Allocation","Zhang, Y.; De Weerdt, M.M.","","2007","In many applications of the task allocation problem such as peer-topeer and grid computing, and virtual organizations, the (social or business) relations between the participating agents play an important role, and thus they should be taken into account. Furthermore, in such applications, agents providing the resources usually act self-interested. This paper therefore studies the problem of finding truthful mechanisms for these kinds of social task allocation problems. In this paper we give on the one hand an optimal mechanism and model the problem as an integer linear program (ILP), and on the other hand a polynomialtime approximation by splitting the problem into smaller sub-problems, each of which is solved optimally. We show that both mechanisms are truthful. The optimal mechanism may take exponential time for some instances, and in theory, the quality of the approximation is not guaranteed. However, we show experimentally that for problem instances where the social network has the smallworld property, the quality of the results for the approximation is quite good, due to the fact that the division into subproblems uses the locality of tasks in the social network.","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:aa614828-6685-40cd-9e83-65200f526061","http://resolver.tudelft.nl/uuid:aa614828-6685-40cd-9e83-65200f526061","Distributed Task Allocation in Social Networks (extended abstract)","De Weerdt, M.M.; Zhang, Y.; Klos, T.","","2007","Full paper is published in: Proceedings Autonomous Agents and Multi-Agent Systems (AAMAS), 2007","","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:a84c564c-772e-4e89-9c88-cd63ebfd11d9","http://resolver.tudelft.nl/uuid:a84c564c-772e-4e89-9c88-cd63ebfd11d9","SiGe growth on patterned Si(001) substrates: Surface evolution and evidence of modified island coarsening","Zhang, J.J.; Stoffel, M.; Rastelli, A.; Schmidt, O.G.; Jovanovi?, V.; Nanver, L.K.; Bauer, G.","","2007","The morphological evolution of both pits and SiGe islands on patterned Si(001) substrates is investigated. With increasing Si buffer layer thickness the patterned holes transform into multifaceted pits before evolving into inverted truncated pyramids. SiGe island formation and evolution are studied by systematically varying the Ge coverage and pit spacing and quantitative data on the influence of the pattern periodicity on the SiGe island volume are presented. The presence of pits allows the fabrication of uniform island arrays with any of their equilibrium shapes.","Ge-Si alloys; island structure; semiconductor growth; semiconductor materials; semiconductor quantum dots; surface morphology","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:7b738089-b818-43c8-8388-b438b41304b0","http://resolver.tudelft.nl/uuid:7b738089-b818-43c8-8388-b438b41304b0","Surface Analysis and Electrochemical Behavior of Zinc Composite Layers, Incorporating Polymer Nano-Micelles","Koleva, D.A.; Zhang, X.; Petrov, P.; Boshkov, N.","","2007","This study presents a comparative investigation of the corrosion behavior of zinc (Zn) and nano-composite zinc (ZnC) galvanic layers on a steel substrate in 5% NaCl solution as a corrosion medium.","","en","journal article","The Electrochemical Society","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:83e9f2ab-9fcb-4313-8888-21c2f8255df9","http://resolver.tudelft.nl/uuid:83e9f2ab-9fcb-4313-8888-21c2f8255df9","Vacuum ultraviolet-ultraviolet and x-ray excited luminescence properties of Ba3Gd(BO3)3:Ce3+","Han, B.; Liang, H.; Lin, H.; Zhong, J.; Su, Q.; Dorenbos, P.; Birowosuto, M.D.; Zhang, G.; Fu, Y.","","2007","The phosphors Ba3Gd(BO3)3:Ce3+ were prepared by a solid-state reaction technique at high temperature. The vacuum ultraviolet-ultraviolet and visible spectroscopic properties of the phosphors together with decay time curves are investigated and discussed. The spectroscopic properties are explained by occupancy of Ce3+ at two different Gd sites in the host lattice. The x-ray excited emission spectra of Ba3Gd(BO3)3:Ce3+ were studied and the number of photons emitted per unit of absorbed x-ray energy was calculated. The yield is rather poor and Ba3Gd(BO3)3:Ce3+ appears not a suitable x-ray phosphor.","cerium; barium compounds; gadolinium compounds; phosphors; high-temperature effects; ultraviolet spectra; visible spectra; X-ray spectra; photoluminescence; radiative lifetimes; photons","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:22fdb5d6-0cac-4ded-b0b3-eedcceb169fb","http://resolver.tudelft.nl/uuid:22fdb5d6-0cac-4ded-b0b3-eedcceb169fb","Distributed Task Allocation in Social Networks","De Weerdt, M.M.; Zhang, Y.; Klos, T.","","2007","This paper proposes a new variant of the task allocation problem, where the agents are connected in a social network and tasks arrive at the agents distributed over the network. We show that the complexity of this problem remains NPhard. Moreover, it is not approximable within some factor. We develop an algorithm based on the contract-net protocol. Our algorithm is completely distributed, and it assumes that agents have only local knowledge about tasks and resources. We conduct a set of experiments to evaluate the performance and scalability of the proposed algorithm in terms of solution quality and computation time. Three different types of networks, namely small-world, random and scale-free networks, are used to represent various social relationships among agents in realistic applications. The results demonstrate that our algorithm works well and that it scales well to large-scale applications.","task allocation; social networks; agents; resources; computational complexity","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:440a2a4d-4573-45d1-b0c0-837a9178e2e9","http://resolver.tudelft.nl/uuid:440a2a4d-4573-45d1-b0c0-837a9178e2e9","Modelling hydrological response at the catchment scale: Applications and extension of the Representative Elementary Watershed (REW) approach","Zhang, G.","Savenije, H.H.G. (promotor)","2007","","CT04.30; Veiligheid tegen Overstromingen; CT04.31.11; A1 Genese van afvoergolven; Delft Cluster","en","doctoral thesis","Eburon","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:abd01050-f2b4-4202-af1f-469f90f6d7e4","http://resolver.tudelft.nl/uuid:abd01050-f2b4-4202-af1f-469f90f6d7e4","Numerical simulation on the mechanical characteristics of double-stranded DNA under axial stretching and lateral unzipping","Yuan, C.A.; Zhang, G.Q.; Han, C.N.; Chiang, K.N.; Cui, Y.","","2007","The mechanical characteristics of the long-chain double-stranded DNA (dsDNA) molecule under the axial stretching and lateral unzipping are studied by the clustered atomistic-continuum method (CACM). The CACM consisted of the clustered atom method (CAM) and the atomistic-continuum method (ACM). The CAM treats the specific atomic group as the superatom, and the ACM describes the chemical binding energies between (super)atoms by virtual elements. The Newtonian based model of the dsDNA includes the superatoms of the backbones?base pairs and the virtual elements of the stacking energies?hydrogen bonds. The effective properties of the superatoms are numerically extracted from the single-stranded DNA experiments. Good agreements were achieved between the dsDNA numerical results and the single molecular experimental results. Via the simulation of stretching dsDNA, the mechanical responses, including the twisting of the backbone and variation of the elastic deformation energy and stacking energy, can be elucidated. Moreover, the predictive capability of the dsDNA CACM model is then examined. Furthermore, the dsDNA model with sequential information is subjected to the unzipping loading, and the in silico results reveal that the sliding of the backbones and the sequential dependent mechanical responses.","DNA; molecular biophysics; biomechanics","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:7937cc21-859e-4a98-8895-9957d81c7f53","http://resolver.tudelft.nl/uuid:7937cc21-859e-4a98-8895-9957d81c7f53","Steady analysis of viscous flow around ducted propellers: Validation and study on scale effects","Krasilnikov, V.I.; Zhang, Z.; Hong, F.; Ponkratov, D.V.; Sun, J.Y.","","2007","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:e5b29176-384e-4b8e-a535-c17ecffeadf0","http://resolver.tudelft.nl/uuid:e5b29176-384e-4b8e-a535-c17ecffeadf0","Formulation for ultimate shear strength of ship structure","Zhang, S.","","2007","","construction","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:244d6149-3862-4af5-a6d9-d67d27b25600","http://resolver.tudelft.nl/uuid:244d6149-3862-4af5-a6d9-d67d27b25600","Design, Simulation and Fabrication of a Dielectrophoretic Separation Device Using Topographic Channel Structure","Zhang, L.; Tatar, F.; Mollinger, J.R.; Bossche, A.","","2007","","","en","conference paper","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:c7e71b85-629c-4d1b-9fbf-1e9ba8761081","http://resolver.tudelft.nl/uuid:c7e71b85-629c-4d1b-9fbf-1e9ba8761081","Mechanical Characterization of III-V Nanowire Using Molecular Dynamics Simulation","Dawotola, A.W.; Yuan, C.A.; Van Driel, W.D.; Bakkers, E.P.A.M.; Zhang, G.Q.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:06ca1851-89b6-49cc-99ae-9c164409427d","http://resolver.tudelft.nl/uuid:06ca1851-89b6-49cc-99ae-9c164409427d","Luminescence and site occupancy of Ce3+ in Ba2Ca(BO3)2","Lin, H.; Liang, H.; Han, B.; Zhong, J.; Su, Q.; Dorenbos, P.; Birowosuto, M.D.; Zhang, G.; Fu, Y.; Wu, W.","","2007","","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","","","","",""
"uuid:76b2ee0d-7c39-4426-ad1c-7825965cb4e0","http://resolver.tudelft.nl/uuid:76b2ee0d-7c39-4426-ad1c-7825965cb4e0","The chemical-mechanical relationship of the SiOC(H) dielectric film","Yuan, C.; Van Der Sluis, O.; Zhang, G.Q.; Ernst, L.J.; Van Driel, W.D.; Van Silfhout, R.B.R.; Thijsse, B.J.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:46d752b1-a5c2-4a72-ae6b-2b8a1257cad5","http://resolver.tudelft.nl/uuid:46d752b1-a5c2-4a72-ae6b-2b8a1257cad5","Thermal Spin-Transfer Torque in Magnetoelectronic Devices","Hatami, M.; Bauer, G.E.W.; Zhang, Q.; Kelly, P.J.","","2007","","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","","","","",""
"uuid:cab2c4d4-912e-49ac-9d1f-ade5208c702f","http://resolver.tudelft.nl/uuid:cab2c4d4-912e-49ac-9d1f-ade5208c702f","Multi-scale energy-based failure modeling of bond pad structures","Van Der Sluis, O.; Van Silfhout, R.B.R.; Engelen, R.A.B.; Van Driel, W.D.; Zhang, G.Q.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:702fe089-d3fb-41e1-8751-bd28f4369af6","http://resolver.tudelft.nl/uuid:702fe089-d3fb-41e1-8751-bd28f4369af6","Thermomechanical Multiscale Modelling of Substrates","Ubachs, R.L.J.M.; Van Der Sluis, O.; Van Driel, W.D.; Zhang, G.Q.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:7f83aa82-f13c-4000-9689-5ce00ce10447","http://resolver.tudelft.nl/uuid:7f83aa82-f13c-4000-9689-5ce00ce10447","Combined Virtual Prototyping and Reliability Testing Based Design Rules for Stacked Die System in Packages","Van Driel, W.D.; Real, R.A.; Yang, D.G.; Zhang, G.Q.; Pasion, J.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:5942a8dc-7fe4-4379-947a-73a0643a800c","http://resolver.tudelft.nl/uuid:5942a8dc-7fe4-4379-947a-73a0643a800c","Thin Film Interface Fracture Properties at Scales Relevant to Microelectronics","Xiao, A.; Wang, L.G.; Van Driel, W.D.; Van Der Sluis, O.; Yang, D.G.; Ernst, L.J.; Zhang, G.Q.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:c9c35207-73dd-4804-a50a-f87f83eca851","http://resolver.tudelft.nl/uuid:c9c35207-73dd-4804-a50a-f87f83eca851","Drying Effects on Corrosion Properties of Cr(VI) and Cr(III) Treated Electrogalvanized Steel","Zhang, X.; Van den Bos, C.; Sloof, W.G.; Hovestad, A.; Terryn, H.; De Wit, J.H.W.","","2006","Drying effects on corrosion performance of Cr(VI)- and Cr(III)-treated electro-galvanized steel have been studied in NaCl solution using potentiodynamic measurements and electrochemical impedance spectroscopy (EIS). The Cr(VI) and the Cr(III) treated specimens were dried at three different temperatures: 60, 110 and 210°C. The surface layers were investigated using SEM, AES and XPS. The results show that the drying temperature not only affects the morphology of the surface layer, but also changes the chromium oxidation states in the layer. The corrosion protection given to the EG steel by Cr(VI) and Cr(III) pretreatments can be severely reduced if the pretreated surfaces are heated above 110°C. Both types of coating undergo some dehydration during heat treatments, which is undesirable for good corrosion protection. For Cr(VI) coatings, additional degradation mechanisms include widening of the cracks in the coating, and reduction of Cr(VI) to the Cr(III) oxidation state.","","en","journal article","The Electrochemical Society","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:ee6bcd8f-396f-40c0-8b38-70287d5f2a77","http://resolver.tudelft.nl/uuid:ee6bcd8f-396f-40c0-8b38-70287d5f2a77","Localized Corrosion of Chromium Coated Steel","Zhang, X.; Beentjes, P.; Mol, A.; Terryn, H.","","2006","In this paper, we report on the studies of the local corrosion behaviour of chromium-coated ultra low carbon steel in NaCl solution using polarization, electrochemical impedance spectroscopy (EIS) and SVET.","","en","journal article","The Electrochemical Society","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:7554431d-9549-467d-92dd-0cc4f606c8e6","http://resolver.tudelft.nl/uuid:7554431d-9549-467d-92dd-0cc4f606c8e6","Large eddy simulation of 3D turbulent flow around deep-sea marina structure","Zhang, J.; Guo, Y.","","2006","The purpose of this paper is to investigate the highly complex interaction between turbulent flow and deep-sea marina structure using a commercial CFD code (FLUENT 6.2) with Large Eddy Simulation (LES) approach. A series of three-dimensional LES of wake flows past overlapping cylinder of finite height are carried out with a range of Reynolds number 1.0×10^4 ~ 1.0×10^5. Three different heights of circular mud mat having a fixed diameter are simulated to study the effect of the height of mud mat on turbulent flow field around this kind of bluff body. The complex separated flow structures and wake properties are simulateed and discussed.","Large Eddy Simulation; overlapping circular cylinder; vortex shedding","en","conference paper","","","","","","","","","","","","","",""
"uuid:002c42cb-18f5-435c-8546-ac58f1ed55fe","http://resolver.tudelft.nl/uuid:002c42cb-18f5-435c-8546-ac58f1ed55fe","Numerical simulation of free overfall in a rough channel","Guo, Y.; Zhang, L.; Zhang, J.","","2006","This paper reports the results from a turbulent numerical modelling study on the free overfall in a rectangular channel. A wide range of model parameters (i.e. discrete square bar bed roughness, channel slope, and incoming upstream Froude number) is investigated. The water surface profiles, velocity fields and end-depth are simulated and compared with experimental results for various input conditions. The influence of the bed slope, separation of the bar roughness and upstream flow Froude number on the flow structure and free overfall is discussed. The computational results agree well with the experimental measurements.","turbulent model; volume of fluid technique; free overfall; rough channel","en","conference paper","","","","","","","","","","","","","",""
"uuid:c9cc99e2-6faf-47e6-b664-0276e4500435","http://resolver.tudelft.nl/uuid:c9cc99e2-6faf-47e6-b664-0276e4500435","Computation of Modal Radiation through an Engine Exhaust on Adaptively Refined Meshes","Huang, X.; Ma, Z.; Zhang, X.","","2006","This paper outlines the method of a block-structured adaptive mesh refinement (AMR) and its application to the computation of noise radiation from a realistic engine exhaust geometry with flow. The computational model described allows acoustic waves, propagating inside the bypass duct of a generic aircraft engine, to be admitted into a computational domain that includes the aft duct section, the exit plane of the duct, and the jet flow immediately downstream. Other than working on a uniformly fine mesh, the computational mesh is adaptively refined as the propagation and radiation of acoustic waves with the aim of reducing the number of computational cells and improving the computational efficiency. The method is mainly consisted of three parts: an AMR framework to manage adaptively refined meshes; a range of $4^{th}$-order schemes to compute the near-field acoustic propagation and radiation; and an integral surface for predicting far-field directivity. Propagation inside the duct, diffraction at the lip of the duct and propagation into the near field is firstly modeled by the linearised Euler equations. Hydrodynamic instabilities are discovered in the exhaust mean flow and are leading to overwhelm the acoustic solutions. In order to suppress this kind of non-physical instabilities, the original linearised Euler equations are replaced with the acoustic perturbation equations, which have been extended in the cylindrical coordinates for this case. The effect and the accuracy of the acoustic perturbation equations are validated by comparing to solutions of the linearised Euler equations through a case study of the radiation of single spinning mode from a generic engine bypass duct. The quality of the proposed AMR method is also illustrated. For this case the computational efficiency of AMR is compared with that computed on a uniformly fine mesh. The results demonstrate the efficiency of the current adaptive mesh refinement algorithm.","duct acoustics; aeroengine; ddaptive mesh refinement; computational aeroacoustics; linearised Euler equations; acoustic perturbation equations","en","conference paper","","","","","","","","","","","","","",""
"uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","http://resolver.tudelft.nl/uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","Enhancing the charge ordering temperature in thin films of Pr0.5Ca0.5MnO3 by strain","Yang, Z.Q.; Zhang, Y.Q.; Aarts, J.; Wu, M.Y.; Zandbergen, H.W.","","2006","We report the effects of biaxial strain on the charge ordering temperature Tco of the mixed-valent manganite perovskite oxide Pr0.5Ca0.5MnO3. Thin films were grown on SrTiO3, which has a 1.3% larger in-plane lattice parameter. Other substrates were used for comparison. Transport measurements combined with data from electron microscopy show that Tco is considerably enhanced. At thicknesses of the order of 10?nm, where the films are fully strained, Tco is above 320?K, more than 70?K above the bulk value of 250?K, while around 50?nm, where relaxation has set in, the enhancement is around 40?K. The bulk value is only reached at a thickness of about 150?nm.","praseodymium compounds; calcium compounds; magnetic thin films; internal stresses; lattice constants; electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:149d32d8-0a9e-48e6-8b8a-633c83257f88","http://resolver.tudelft.nl/uuid:149d32d8-0a9e-48e6-8b8a-633c83257f88","Comparison of two three-dimensional hydrodynamic modeling systems for coastal tidal motion","Zhang, Q.Y.","","2006","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:a1796936-1ed5-47df-8eb7-22b2cd29a195","http://resolver.tudelft.nl/uuid:a1796936-1ed5-47df-8eb7-22b2cd29a195","Fly's proprioception-inspired micromachined strain-sensing structure: Idea, design, modeling and simulation, and comparison with experimental results","Wicaksono, D.H.B.; Zhang, L.J.; Pandraud, G.; French, P.J.; Vincent, J.F.V.","","2006","","","en","journal article","IOP","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:0883941f-c35c-40ce-a0cd-cb7fef6f5ca9","http://resolver.tudelft.nl/uuid:0883941f-c35c-40ce-a0cd-cb7fef6f5ca9","Continuous Electrodeless Dielectrophoretic Separation in a Circular Channel","Zhang, L.; Tatar, F.; Turmezei, P.; Bastemeijer, J.; Mollinger, J.R.; Piciu, O.; Bossche, A.","","2006","","","en","journal article","IOP","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:ae81550d-cda5-417e-bcca-24dbb4c6f9a4","http://resolver.tudelft.nl/uuid:ae81550d-cda5-417e-bcca-24dbb4c6f9a4","Modelling subsurface storm flow with the Representative Elementary Watershed (REW) approach: Application to the Alzette River Basin","Zhang, G.P.; Savenije, H.H.G.; Fenicia, F.; Pfister, L.","","2006","","","en","journal article","Copernicus GmbH","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:23912bff-16f2-4903-a5c4-7df50e6d23c7","http://resolver.tudelft.nl/uuid:23912bff-16f2-4903-a5c4-7df50e6d23c7","A method for generating highly nonlinear periodic waves in physical wave basins","Zhang, H.","","2006","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:086210bf-acc2-4ff6-b204-5da74d5d6c3b","http://resolver.tudelft.nl/uuid:086210bf-acc2-4ff6-b204-5da74d5d6c3b","Luminescence of Ce3+ activated fluoro-apatites M5(PO4)3F (M = Ca, Sr, Ba) under VUV—UV and x-ray excitation","Zeng, Q.; Liang, H.; Zhang, G.; Birowosuto, M.D.; Tian, Z.; Lin, H.; Fu, Y.; Dorenbos, P.; Su, Q.","","2006","","","en","journal article","IOP","","","","","","","","Applied Sciences","","","","",""
"uuid:5852510d-45c6-49f7-844e-4f37c649c374","http://resolver.tudelft.nl/uuid:5852510d-45c6-49f7-844e-4f37c649c374","Multibeam Electron Source using MEMS Electron Optical Components","Van Someren, B.; Van Bruggen, M.J.; Zhang, Y.; Hagen, C.W.; Kruit, P.","","2006","","","en","journal article","IOP","","","","","","","","Applied Sciences","","","","",""
"uuid:78297b64-99a1-4658-9236-825d19738070","http://resolver.tudelft.nl/uuid:78297b64-99a1-4658-9236-825d19738070","Drying Effects on Corrosion Properties of Cr(VI) and Cr(III) Treated Electrogalvanized Steel","Zhang, X.; Van den Bos, C.; Sloof, W.G.; Hovestad, A.; Terryn, H.; De Wit, J.H.W.","","2005","","","en","journal article","The Electrochemical Society","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:c68a7e78-7c3f-465b-903e-e9644ff7ffb4","http://resolver.tudelft.nl/uuid:c68a7e78-7c3f-465b-903e-e9644ff7ffb4","Using artificial neural networks for the transformation of human body postures based on landmarks","Zhang, B.","Horvath, I. (promotor); Snijders, C.J. (promotor)","2005","Designers, engineers and ergonomists are seeking to exploit the opportunities offered by the 3D anthropometric technologies. These technologies make 3D measurements possible and provide us with a more detailed description of human body in comparison with the traditional 1D or 2D data processing. In many industrial design cases, there is a need to take into consideration various postures of the human body when the product is designed. This thesis presents an approach to transforming measured body data between various postures. In this research the measured human body data were substituted by a proper set of landmarks. This data set was used as a basis of transforming the specific body postures. Artificial neural networks have been used for the actual conversion of data. The input consisted of a set of demographic data and the set of coordinates of the landmarks characterizing a given posture. The output was another set of landmarks describing the transformed posture. The results have showed that the ANNs-based and landmark-based posture prediction technology is computationally effective. On the other hand, it needs to be further developed in order to properly consider the specialties of different user groups. This posture prediction technology is generally applicable and opens up new possibilities in studying, for instance, human motions and hand postures.","computer-aided ergonomics design; digital human modeling; artificial neural network; posture prediction","en","doctoral thesis","","","","","","","","","Design, Engineering and Production","","","","",""
"uuid:b9d85df0-2c60-4b5b-b447-60556e1da0c7","http://resolver.tudelft.nl/uuid:b9d85df0-2c60-4b5b-b447-60556e1da0c7","Cr(VI) and Cr(III)-Based Conversion Coatings on Zinc","Zhang, X.","de Wit, J.H.W. (promotor); Terryn, H. (promotor)","2005","With the aims of understanding the protective mechanism of chromate conversion coatings and developing alternatives to chromate treatments, the physical natures and corrosion properties of Cr(VI) and Cr(III) treated zinc have been investigated in this work. The Cr(VI) treatments were carried out in dichromate and sulfuric acid solution with different dipping times. The Cr(III) treatments were carried out in two commercial solutions (A and B). The thickness of the coatings was measured using ellipsometry. The morphologies and the compositions of the treated zinc have been studied by means of SEM, AFM, AES, FTIR and XPS. The drying temperature influence on the corrosion performance of the Cr(VI)âtreated zinc has been investigated. The Volta potential in treated and untreated areas has been measured using scanning Kelvin probe (SKP) and SKPFM. The corrosion behavior of the Cr(VI) and Cr(III) treated zinc has been investigated using polarization, electrochemical impedance measurements (EIS), and salt spray tests. Both Cr(VI) and Cr(III) species were detected by XPS in the outermost layer of the Cr(VI) coatings, while no Cr(VI) species was found in the Cr(III) coatings. AES depth profile results show that chromium oxides are the main components in the Cr(VI) coatings. Zinc oxide is mainly located at the chromium oxides / zinc interface. The Cr(III) coating is a mixture of chromium oxides and zinc oxide. Both the Cr(VI) and the Cr(III) treatments can supply corrosion protection to zinc. The corrosion resistance of the Cr(III)-B coating is greater than that of the Cr(III)-A coating. However, the inhibition of the corrosion of zinc by Cr(VI) coating is more effective than by the Cr(III) coatings. The inhibition of the corrosion of zinc by the Cr(VI) and the Cr(III) treatments is discussed, and future research topics are suggested.","chromium; conversion coating; corrosion; zinc","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","","","","",""
"uuid:ee718329-9426-4ad3-8cc6-45889970b6ef","http://resolver.tudelft.nl/uuid:ee718329-9426-4ad3-8cc6-45889970b6ef","Rainfall-runoff modelling in a catchment with a complex groundwater flow system: Application of the representative ElementaryWatershed (REW) approach","Zhang, G.P.; Savenije, H.H.G.","","2005","","","en","journal article","Copernicus GmbH","","","","","","","","","","","","",""
"uuid:4d9a2891-b934-4052-af46-e74a18912b33","http://resolver.tudelft.nl/uuid:4d9a2891-b934-4052-af46-e74a18912b33","An intergrated three-dimensional model for wave-induced seabed response in a porous seabed. I. A sloping seabed","Zhang, H.","","2005","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:59d19c17-bf51-4656-b31c-3c19ce92adee","http://resolver.tudelft.nl/uuid:59d19c17-bf51-4656-b31c-3c19ce92adee","Engineering the quantum point contact response to single-electron charging in a few-electron quantum-dot circuit","Zhang, L.X.; Leburton, J.P.; Hanson, R.; Kouwenhoven, L.P.","","2004","We show that the design of a quantum point contact adjacent to a quantum dot can be optimized to produce maximum sensitivity to single-electron charging in the quantum dot. Our analysis is based on the self-consistent solution of coupled three-dimensional Kohn-Sham and Poisson equations for the quantum circuit. We predict a detection sensitivity increase by at least 73% over the conventional design.","aluminium compounds; gallium arsenide; III-V semiconductors; quantum point contacts; semiconductor quantum dots; single electron devices; semiconductor device models; Poisson equation","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:9f5520ca-9fbf-492b-86cd-54e1a8239dbc","http://resolver.tudelft.nl/uuid:9f5520ca-9fbf-492b-86cd-54e1a8239dbc","Single-electron charging and detection in a laterally coupled quantum-dot circuit in the few-electron regime","Zhang, L.X.; Matagne, P.; Leburton, J.P.; Hanson, R.; Kouwenhoven, L.P.","","2004","","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","","","","",""
"uuid:e5616d30-459c-4b71-a1f0-909093986b95","http://resolver.tudelft.nl/uuid:e5616d30-459c-4b71-a1f0-909093986b95","Micromechanical modeling of stress evolution induced during cure in a particle-filled electronic packaging polymer","Jansen, K.M.B.; Wang, L.G.; Ernst, L.J.; Zhang, G.Q.; Bressers, H.J.L.","","2004","","","en","journal article","IEEE","","","","","","","","Mechanical, Maritime and Materials Engineering","","","","",""
"uuid:e9c878d4-88ce-4c4e-9c58-2572c08c7b40","http://resolver.tudelft.nl/uuid:e9c878d4-88ce-4c4e-9c58-2572c08c7b40","Analysis of directional wave fields with strong current","Zhang, S.","","2004","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ff8d9def-8479-4548-b136-c2fa80b8dd8c","http://resolver.tudelft.nl/uuid:ff8d9def-8479-4548-b136-c2fa80b8dd8c","Finite element analysis of suction penetration seepage field of bucket foundation platform with application to offshore oilfield development","Zhang, A.","","2004","","offshore","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:99943327-4858-44b2-9cbc-045c2f154c46","http://resolver.tudelft.nl/uuid:99943327-4858-44b2-9cbc-045c2f154c46","Investigation on flip chip solder joint fatigue with cure-dependent underfill properties","Yang, D.G.; Zhang, G.Q.; Ernst, L.J.; van 't Hof, C.; Caers, J.F.J.M.; Bressers, H.J.L.; Janssen, J.H.J.","","2003","","","en","journal article","IEEE","","","","","","","","","","","","",""
"uuid:b8c41646-2dd3-4459-948a-a2b589da9bd3","http://resolver.tudelft.nl/uuid:b8c41646-2dd3-4459-948a-a2b589da9bd3","Study on mechanism for water pricing","Wang, X.W.; Gao, F.L.; Zhang, X.M.; Ruan, B.Q.","Van Beek, E. (contributor); Huisman, P. (contributor); Verhaeghe, R. (contributor); Van Duivendijk, J. (contributor)","2002","Water resources contribute greatly to human well being, both directly and indirectly. Water resources are irreplaceable natural resources, and are limited. However, due to a growing population and related economic development, the water demand from urban, industry and agriculture has increased dramatically. Water shortage has become one of the most severe problems of the Yellow River basin, and has become a major constraint for the social and economic development of the region. It is well known that water demand management methods aim at applying economic incentives to reduce the consumption of water and to encourage conservation and protection of water resources. Water pricing, an important part of demand management, has been identified as a major solution to deal with water scarcity. Water is widely recognized to be, an economic good. But, in many cases the existing price for consumers is much less than the cost of production. Because these subsidies have traditionally been in place, the water price has never been connected to the actual cost by the government or local authorities. Consequently Water pricing reforms are strongly recommended in the Yellow River basin. One of the most important issues of water pricing reform is to set a rational price. Alot of discussion is going on about how to calculate the water price and which factors should be taken into account. There are many factors playing an important role in the economic valuation of water. Besides water supply cost and operation and maintenance cost, it has to take into account such factors as the intrinsic value of water, environment effects, sustainabihty, affordability of consumers, and so on. Based on above principles, the following topics were analyzed systemically in this study. These topics included: (1) water demand management and the role of water pricing, (2) the present situation of water price system and existing problems in Yellow River basin, (3) the value of water - theory and applications in other countries, (4) full cost recovery and analysis of factors effecting the water price, (5) WRM principles and consequences for water pricing, (6) a case study on a water supply project in the Lower Yellow River, (7) legal and institutional procedures for water pricing, and finally, providing a conclusion and recommendations. This study has set up the concept of Full Cost Recovery for the pricing of water supply. Putting forward Full Cost Water Pricing should include three parts: resource cost, engineering cost and environmental cost. A theoretical framework was developed to solve the problems of the calculation of full cost water price. This theoretical framework has been applied to a case study for irrigation district on the lower Yellow River. Due to limitations of data availability, different assumptions have been made during the case study, which should be further improved and refined. With detailed field studies and data analysis, this study could be helpful for the development of the water pricing reform for the Yellow River and support YRCC in this aim to come to a more integrated management of the water resources in the basin.","water pricing; China; Yellow River","en","report","Delft Cluster","","","","","","","","Civil Engineering and Geosciences","Watermanagement","","","",""
"uuid:749fda25-ff0b-48bd-a41d-158d4d2093ec","http://resolver.tudelft.nl/uuid:749fda25-ff0b-48bd-a41d-158d4d2093ec","Analysis of steel and steel/glass tensegrity roof structures","Zhang, L.; Wardenier, J.; Eekhout, M.","","2001","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:f3076b2a-dc67-4bf0-98ce-d3539b7e8002","http://resolver.tudelft.nl/uuid:f3076b2a-dc67-4bf0-98ce-d3539b7e8002","Method of magnetically separating particulate materials","Rem, P.C.; Zhang, S.","","2000","The present invention relates to a method of separating non-ferro metal particles using a rotating magnetic field. According to the invention the particles are surrounded by a fluid restricting the fall-velocity significantly, suitably a fluid having a density of at least 0.1 kg/l. The presence of such a medium causes the particle, if it is a non-ferro metal particle, to experience a hydrodynamic lifting force allowing for an excellent separation","","en","patent","European Patent Office","","","","","","","","","Civil Engineering and Geosciences","","","",""
"uuid:018889a9-7f68-496d-9bfe-3aa80b067657","http://resolver.tudelft.nl/uuid:018889a9-7f68-496d-9bfe-3aa80b067657","The Investigation of Separability of Particles Smaller Than 5mm by Eddy-Current Separation Technology - Part II: Novel Design Concepts","Rem, P.C.; Zhang, S.; Forssberg, E.; De Jong, T.P.R.","","2000","Separability and separation mechanisms of small particles in modern rotating type eddycurrent separators (ECSs) were discussed in Part I. In order to address problems associated with this design concept, a number of novel ECSs, each with a unique design, have been developed. Part II reports on investigation into the separability and separation principles for various materials smaller than 5 mm, including fine copper wires, in three new devices: the redesigned Delft vortical ECS (VECS), prototype TNO ECS and a laboratory wet ECS (WECS). It is found that TNO ECS and WECS are more practical tools for separation of small metal particles. In particular, WECS is able to recover approximately 80% of very fine copper wires, with 0.5mm diameter and 2mm length. Separation principles for WECS are discussed in greater detail than other design concepts in the present study. It appears that the fledgling WECS will be most promising in the future for proccssing small particles. Further, aluminum separation from the 10 mm fraction of electronic scrap has been carried out using TNO ECS. It transpires that both the recovery and the grade of the aluminum concentrate are superior to those obtained with the rotary bolted-drum ECS of any type.","vertical eddy-current separator; wet eddy-current separator; eddy-current force; electromagnetic torque; electronic scrap","en","journal article","Hindawi Publishing Corporation","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:5ccbe0b2-e82a-4fc1-85a6-ab03c0e62cfe","http://resolver.tudelft.nl/uuid:5ccbe0b2-e82a-4fc1-85a6-ab03c0e62cfe","Embedded trapped modes for arrays of cylinders","Zhang, Jianhwa","","2000","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:3cf29fc2-c660-47f3-8824-0750d2d7a2f0","http://resolver.tudelft.nl/uuid:3cf29fc2-c660-47f3-8824-0750d2d7a2f0","The Investigation of Separability of Particles Smaller Than 5 mm by Eddy Current Separation Technology. Part I: Rotating Type Eddy Current Separators","Zhang, S.; Rem, P.C.; Forssberg, E.","","1999","Owing to the growing emergence of the end-of-life electrical and electronic products with complex material structures and an ever-diminishing particle size of the valuable metals involved, development of eddy current separators (ECS) has been targeting selective separation of small non-ferrous metal particles smaller than 5 mm. Separability of various materials smaller than 5 mm, including fine copper wires, has been investigated using ECS with various design concepts. The present research work is divided into two parts, with Part focusing on the rotating type ECS which are today common in practice, and with Part II dedicated to the ECS with novel concepts such as wet ECS technology. In Part I, three rotating belted-drum ECS were employed, which are manufactured by Bakker Magnetics, the Netherlands, Huron Valley Steel Co., US, and Eriez Magnetics, UK respectively. It is found that the belted-drum ECS are effective for separating materials below 5 mm if the magnetic drum rotates in opposite direction to the conveyor belt. The separation principle, particularly the ""backward phenomenon"" of the rotating type ECS for small particles has been unravelled in the present study. Moreover, separation of AI from the 010 mm fraction of electronic scrap has been conducted. The results obtained demonstrate that the belted-drum ECS with appropriate design may be applicable for separation of small aluminum particles from electronic scrap.","rotating eddy current separator; separability; eddy current force; backward phenomenon; electronic scrap","en","journal article","Hindawi Publishing Corporation","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:79e33cca-2713-4a03-bdc1-c23dcdeb9c11","http://resolver.tudelft.nl/uuid:79e33cca-2713-4a03-bdc1-c23dcdeb9c11","A Eulerain-Lagrangian method for the simulation of wave propagation","Zhang, M.Y.","","1999","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:de2c7b2a-cdfb-4a3b-96d9-773970f36139","http://resolver.tudelft.nl/uuid:de2c7b2a-cdfb-4a3b-96d9-773970f36139","Coal fires in Northwest China; detection, monitoring, and prediction using remote sensing data","Zhang, X.","Kroonenberg, S.B. (promotor)","1998","","","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:0f9c4d31-4826-429a-9a36-3161c930a5db","http://resolver.tudelft.nl/uuid:0f9c4d31-4826-429a-9a36-3161c930a5db","Computational Specification of Building Requirements in theEarly Stages of Design","Akin, O.; Aygen, Z.; Cumming, M.; Donia, M.; Sen, R.; Zhang, Y.","","1998","","","en","conference paper","","","","","","","","","Architecture","","","","",""
"uuid:a4bef412-3a83-4308-bb26-1f9b0d49b6a0","http://resolver.tudelft.nl/uuid:a4bef412-3a83-4308-bb26-1f9b0d49b6a0","Manoeuvrability performance of a trimaran ship","Zhang, Jun-Wu","","1998","","hydrodynamics","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:8fee44c8-4562-474f-b8ce-5bab87558ea9","http://resolver.tudelft.nl/uuid:8fee44c8-4562-474f-b8ce-5bab87558ea9","Development of high-performance gas engine operating at a stoichiometric condition - Effect of Miller cycle and EGR -","Okamoto, K.; Zhang, F.-R.; Morimoto, S.; Shoji, F.","","1998","","ship design production and operation","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:66ab99cd-ca9f-4acd-85e1-93d874eba27e","http://resolver.tudelft.nl/uuid:66ab99cd-ca9f-4acd-85e1-93d874eba27e","Data assimilation in air pollution modelling","Zhang, X.F.","Heemink, A.W. (promotor)","1996","","data assimilation; air pollution modelling; kalman filter; kriging","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:9681b9fc-7b7b-44f9-b34f-1c6c21b86436","http://resolver.tudelft.nl/uuid:9681b9fc-7b7b-44f9-b34f-1c6c21b86436","Detailed bow-flow data and CFD for a series 60 CB=0.6 ship model for Froude Number 0.316","Stern, F.; Longo, J.; Zhang, Z.J.; Subramani, A.K.","","1996","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:26166369-162a-419c-98fb-45b6da2c795a","http://resolver.tudelft.nl/uuid:26166369-162a-419c-98fb-45b6da2c795a","Time-Dependent Behaviour of Polymers and Unidirectional Polymeric Composites","Zhang, L.","Ernst, L.J. (promotor); Brouwer, H.R. (promotor)","1995","","polyester; viscoelasticity; creep; unidirectional composite; micromechanics","en","doctoral thesis","","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:aa7dd6f7-43ef-4889-aa3a-9a1bf76b69c4","http://resolver.tudelft.nl/uuid:aa7dd6f7-43ef-4889-aa3a-9a1bf76b69c4","An integrated environment for CAD/CAM of mechanical systems","Zhang, W.","Crone, H.A. (promotor); Van der Werff, K. (promotor)","1994","","CAD; CAM; environment; mechanical system; design automation","en","doctoral thesis","","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:9812a074-284a-4e73-beb5-b4d0ce2025de","http://resolver.tudelft.nl/uuid:9812a074-284a-4e73-beb5-b4d0ce2025de","Integration of Segmentation and Stereo Matching","Zhang, Y.","Backer, E. (promotor); Ligterink, G.H. (promotor)","1994","","computer vision; stereo matching","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:4c00f467-0997-4361-a724-cab28d4ac01d","http://resolver.tudelft.nl/uuid:4c00f467-0997-4361-a724-cab28d4ac01d","Technical note for sediment transport rate: Analysis of delta flume data and calculations","Zhang, C.K.","","1994","Data analysis of Delta flume observations and calculationsThe objective of the present study is to analyse the measurement data of profiles and velocity moments, to derive the measured cross-shore sediment transport rates from the profile records and to compare the observed transport rates with the results from several existing prediction models of cross-shore sediment transport. This report is a summary of preliminary analysis and calculations of 2 tests with test number 2A and 2B. The report includes profile analysis, the derivation of measured transport rates, the computations of cross-shore transport rate by Bailard's formula and t h e comparison between the measured and the computed results.","sediment transport; waves; cross-shore transport","en","report","","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:52da5065-10a7-4d5a-ad77-15f1ed462c1d","http://resolver.tudelft.nl/uuid:52da5065-10a7-4d5a-ad77-15f1ed462c1d","Cross-shore sediment transport; analysis of Delta Flume data and mathematical modelling","Zhang, C.","","1994","In the last decade, several mathematical models for cross-shore sediment transport have been developed under the assumption that the instantaneous sediment transport is directly related to the instantaneous horizontal velocity just above the boundary layer. Although some models took beach slopes into account, most of the calibrated data were from flat-bed experiments, and most experiments were carried out on a rather small scale. Under the framework of the European Large Installation Plan, to achieve high quality and high resolution data on hydrodynamics and sediment transport dynamics on a natural 2DV beach under equilibrium, erosive and accretive conditions, a programme of detailed measurements of hydrodynamics and sediment transport in the surf zone has been carried out in DELFT HYDRAULICS' Delta Flume in the period from April to June 1993 (DELFT HYDRAULICS 1994). Hence a set of new data about beach evolution and acting velocity moments has become available. The objectives of the present study are: (1) to derive the measured crossshore sediment transport rates from the profile measurements, (2) to predict cross-shore sediment transport rates from measured velocity moments by using several existing mathematical models, (3) to compare the results between measured and computed data and [ if (3) yields a poor comparison ] (4) to propose a modified model. This report is a summary of the work. The report includes profile analysis, the derivation of measured transport rates, the computations of cross-shore transport rates by three selected prediction models and the comparison between measured data and computed results as well as the calibration and verification of the proposed model.","sediment transport; dune erosion","en","report","TU Delft","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:09d51527-5454-4c3f-9df9-cd970e1ad926","http://resolver.tudelft.nl/uuid:09d51527-5454-4c3f-9df9-cd970e1ad926","Stability analysis of anisotropic conical shells","Zhang, G.Q.","Arbocz, J. (promotor)","1993","","","en","doctoral thesis","","","","","","","","","Aerospace Engineering","","","","",""
"uuid:cd6f2af8-7670-44b7-9069-ec188145abce","http://resolver.tudelft.nl/uuid:cd6f2af8-7670-44b7-9069-ec188145abce","On the orthotropicity assumption and discontinuity conditions of conical shells","Zhang, G.Q.; Baruch, M.; Arbocz, J.","","1992","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:fd2ef265-fbe8-43ac-8200-a35200e6931e","http://resolver.tudelft.nl/uuid:fd2ef265-fbe8-43ac-8200-a35200e6931e","Imperfection sensitivity of the Brazier effect for orthotropic cylindrical shells","Baruch, M.; Arbocz, J.; Zhang, G.Q.","","1992","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:470a87b8-8726-412c-a6cb-a369db6f7912","http://resolver.tudelft.nl/uuid:470a87b8-8726-412c-a6cb-a369db6f7912","On the seminar of partial differential equations and group theory","Zhang, G.Q.","","1992","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:4b326f62-09d0-4419-9c13-f28b7f86852c","http://resolver.tudelft.nl/uuid:4b326f62-09d0-4419-9c13-f28b7f86852c","Laminated conical shells- Considerations for the variations of the stiffness coefficients","Baruch, M.; Arbocz, J.; Zhang, G.Q.","","1992","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:bb52f41c-7dcc-4983-b449-b831b29d8971","http://resolver.tudelft.nl/uuid:bb52f41c-7dcc-4983-b449-b831b29d8971","Numerical Computation of Ship Stern/Propeller Flow by Daohua Zhang, Chalmers University of Technology, Goteborg, Sweden","Zhang, Daohua-H.","","1990","","resistance & propulsion","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:7ecae71c-6cd7-4d73-8253-65a4fee8ff07","http://resolver.tudelft.nl/uuid:7ecae71c-6cd7-4d73-8253-65a4fee8ff07","Derivation of the governing equations of anisotropic conical shells","Zhang, G.Q.","","1989","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:58e91b6e-0761-4d5c-af4d-8917232d9fd5","http://resolver.tudelft.nl/uuid:58e91b6e-0761-4d5c-af4d-8917232d9fd5","Some kinematic relations of thin elastic shells","Zhang, G.Q.","","1989","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:201b52ff-2514-436d-a4c7-d4cdeeeab530","http://resolver.tudelft.nl/uuid:201b52ff-2514-436d-a4c7-d4cdeeeab530","From Lie group transformation to the generalized Koiter initial postbuckling theory of perfect shells","Zhang, G.Q.","","1989","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:ccba396e-f003-4b04-8af0-7a1cdd7e2599","http://resolver.tudelft.nl/uuid:ccba396e-f003-4b04-8af0-7a1cdd7e2599","Nonlinear degenerate diffusion problems","Zhang, H.","Clement, P.P.J.E. (promotor)","1988","","","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:a864aeae-da43-4695-ae18-08843ebdae5a","http://resolver.tudelft.nl/uuid:a864aeae-da43-4695-ae18-08843ebdae5a","An interferometric investigation of the diffraction of planar shock waves over a half-diamond cylinder in air","Zhang, D.L.; Glass, I.I.","","1988","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:868535c0-c908-4987-b10e-041760aa6746","http://resolver.tudelft.nl/uuid:868535c0-c908-4987-b10e-041760aa6746","Simulation of a blast wave in a shock tube by using perforated plates in the driver","Zhang, K.Y.; Gottlieb, J.J.","","1986","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:b3108a91-56c8-4eb9-9662-2b7694018a55","http://resolver.tudelft.nl/uuid:b3108a91-56c8-4eb9-9662-2b7694018a55","Numerical prediction of blast-wave flows outside and inside a power house of a nuclear-power generating station","Gottlieb, J.J.; Saito, T.; Zhang, K.Y.","","1985","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:2a7a1482-d7b7-42e9-a504-510ee92b269b","http://resolver.tudelft.nl/uuid:2a7a1482-d7b7-42e9-a504-510ee92b269b","Preliminary study on performance characteristics of propeller and fixed guide vanes","Zhang, Jianhwa","","1985","","resistance & propulsion","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:c3912259-6757-4c62-917f-1a981ed73e85","http://resolver.tudelft.nl/uuid:c3912259-6757-4c62-917f-1a981ed73e85","Effects of Bow Flare on Parametric Roll Characteristics of Surface Combatant","Lin, Woei-Min; Weems, Kenneth M.; Zhang, Sheguang","","","","hydrodynamics; Bowe flare; roll characteristics","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:c3b59f5a-5b17-4c32-870a-ad081c9a82fc","http://resolver.tudelft.nl/uuid:c3b59f5a-5b17-4c32-870a-ad081c9a82fc","Characterization and detection of building patterns in cartographic data: Two algorithms","Zhang, X.; Ai, T.; Stoter, J.E.","","","Building patterns are important features in applications like automated generalization and spatial data mining. Many previous work has however focused on a few specific patterns (i.e. collinear pattern), while many others are less discussed. This paper proposes a comprehensive typology of available building patterns through the study of existing maps, and discusses their characteristics. This typology includes collinear, curvilinear, align-along-road, grid-like and unstructured patterns. Two algorithms are presented to detect align-along-road and unstructured building patterns, which are tested against a topographic dataset of the Netherlands.","pattern recognition; building pattern; map generalization; Delaunay triangulation; minimum spanning tree; algorithm; graph theory","en","conference paper","International Society of Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:e1dce2ec-820d-4b34-8648-53f576b0a2a5","http://resolver.tudelft.nl/uuid:e1dce2ec-820d-4b34-8648-53f576b0a2a5","Criteria of Grain Refinement Induced by Ultrasonic Melt Treatment of Aluminum Alloys Containing Zr and Ti","Atamanenko, T.V.; Eskin, D.G.; Zhang, L.; Katgerman, L.","","","It is well known that ultrasonic melt treatment (UST) promotes grain refinement in aluminum alloys. Cavitation-aided grain refinement has been studied for many years; however, it is still not being applied commercially. The current article summarizes the results of experimental work performed on various alloying systems at different stages of solidification. The influence of UST parameters and solidification conditions on the final grain structure is analyzed. It was found that small additions of zirconium and titanium can significantly increase the efficiency of UST, under the stipulation that grain refinement is performed in the temperature range of primary solidification of Al3Zr. The possible mechanisms for this effect are discussed.","","en","journal article","Springer","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:3fa62e54-0469-4c31-b9a5-72f12410b2c2","http://resolver.tudelft.nl/uuid:3fa62e54-0469-4c31-b9a5-72f12410b2c2","Computations of large amplitude two-dimensional body motions","Zhang, Xinshu; Beck, Robert F.","","","","hydrodynamics","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""