"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:32c3ddff-fe90-4351-aef6-f0866dc361b6","http://resolver.tudelft.nl/uuid:32c3ddff-fe90-4351-aef6-f0866dc361b6","Assessment of ionospheric corrections for PPP-RTK using regional ionosphere modelling","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, X. (Fugro); Memarzadeh, Y. (Fugro); Visser, H. (Fugro); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University of Technology)","","2018","","","en","abstract","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:2f2802ad-6071-414b-bb49-bdc5cc171f53","http://resolver.tudelft.nl/uuid:2f2802ad-6071-414b-bb49-bdc5cc171f53","Preliminary analysis of the ionosphere-corrected PPP-RTK user performance.","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, Xianglin (Fugro Intersite B.V.)","","2019","","","en","abstract","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:f980f23b-8083-47eb-99e2-8b4a0c571aff","http://resolver.tudelft.nl/uuid:f980f23b-8083-47eb-99e2-8b4a0c571aff","Effects of vegetation on gravel-bed river channel formation","Munir, Yasir (IHE Delft Institute for Water Education; Punjab Irrigation Department); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Bregolia, Francesco (IHE Delft Institute for Water Education; Radboud Universiteit Nijmegen); Paudel, Sandesh (Ministry of Agriculture, Energy and Water resources Gandaki Province); Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Environmental Fluid Mechanics","","",""
"uuid:c9c93b5e-64aa-4889-b5b3-ebe012e2b8f1","http://resolver.tudelft.nl/uuid:c9c93b5e-64aa-4889-b5b3-ebe012e2b8f1","Comparison of Levelized Cost of Energy of a 10 MW superconducting and magnetic pseudo direct drive generator targeted for the INNWIND.EU reference turbine","Abrahamsen, Asger Bech (Technical University of Denmark); Liu, D. (TU Delft DC systems, Energy conversion & Storage); Magnusson, Niklas (SINTEF); Thomas, A (Siemens Wind Power); Z., Azar (Siemens Wind Power); Stehouwer, Ewoud (DNV-GL); Hendriks, E (Knowledge Centre WMC); Penzkofer, A. (University of Sheffield); Atallah, K (University of Sheffield); Dragan, R.R. (Magnomatics); .Clark, R.E. (Magnomatics); Deng, F. (Aalborg University); Chen, Z (Aalborg University); Karwatzki, D. (Leibniz Universität); Mertens, A. (Leibniz Universität); Parker, M. (University of Strathclyde); Finney, SJ (University of Strathclyde); Polinder, H.","","2017","Innovative drive trains targeted at 10-20 MW offshore turbines are investigated in the INNWIND.EU project in order to determine the impact on the Levelized Cost of Energy (LCoE) resulting when installed in the ,North sea at 50 m of water [1]. The two main technologies studied are superconducting direct drive (SCDD)[2] and the magnetic pseudo direct drive (PDD) [3] generators, which are both capable to providing compact drive trains with low weight and a small number of moving parts compared to a gearbox based drive train (see figure 1a). Superconducting field coils are used to provide the torque in the direct drive generators, where the armature windings are based on conventional copper wire and magnetic steel laminates operated at ambient temperature. Magnetic pseudo direct drive generators consist of a magnetic gearbox made of an inner free rotor (rotating at a geared up speed to the blade input) and an intermediate drive rotor inserted into an outer static armature winding, where the electricity is harvested.","","en","abstract","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:94557689-f579-40a9-8f43-c2cb89df01e6","http://resolver.tudelft.nl/uuid:94557689-f579-40a9-8f43-c2cb89df01e6","Tunable polaritons from plasmon-phonon coupling in hyperbolic media","Dai, S. (University of California); Ma, Q. (Massachusetts Institute of Technology); Zhu, S. (TU Delft Micro and Nano Engineering); Liu, Mengkun (University of California); Andersen, T. (Massachusetts Institute of Technology); Fei, Z. (University of California); Goldflam, M.D. (University of California); Wagner, M. (University of California); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, T. (National Institute for Materials Science); Thiemens, M. (University of California); Keilmann, F. (Ludwig Maximilians University); Janssen, G.C.A.M. (TU Delft Micro and Nano Engineering); Jarillo-Herrero, P. (Massachusetts Institute of Technology); Fogler, M. (University of California); Basov, D.N. (University of California)","","2015","","","en","abstract","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:5cb0750c-9946-4bdc-acd4-6f3d8f7e240d","http://resolver.tudelft.nl/uuid:5cb0750c-9946-4bdc-acd4-6f3d8f7e240d","Approaches reproducing suspended sediment transport through vegetation","Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Bregoli, Francesco (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Calvani, Giulio (École Polytechnique Fédérale de Lausanne)","","2024","Working as natural filter, well-designed vegetation schemes have been widely applied to improve the quality of water (Aiona, 2013; Stefanakis, 2015). Proper design, however, requires appropriate physics-based modelling of their filtering capacity. Several theoretical models predicting sediment transport in vegetated flow have been proposed: Baptist (2005); Yang and Nepf (2018); Wu et. al. (2021); Tseng and Tinoco (2021); Yagci and Strom (2022); Wang et. al. (2023). Some of them have been implemented in numerical tools (e.g. Caponi et al., 2022; Li et al., 2022) and in particular in Delft 3D (Deltares, 2014). However, they have been mostly designed and verified based on bedload processes, and their performance for suspended load should be further investigated.
This work compares different approaches on their ability to reproduce the effects of vegetation on suspended solids concentration in two-dimensional models built in Delft3D. The work focuses on emerging vegetation, represented as rigid cylinders, and sediment deposition. Comparisons are based on the ability to reproduce flume experiments available in the literature by analysing both flow field and sediment deposition results.","suspended sediment transport; Delft 3D","en","abstract","","","","","","","","","","","Water Resources","","",""
"uuid:3af123ee-a3cd-4adb-9f79-583e61b7e4ab","http://resolver.tudelft.nl/uuid:3af123ee-a3cd-4adb-9f79-583e61b7e4ab","Strength of ships and ocean structures","Mansour, Alaa E.; Liu, Donald","","2008","","construction","","book","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:006ba8ca-de3c-48dd-93cc-84422969565e","http://resolver.tudelft.nl/uuid:006ba8ca-de3c-48dd-93cc-84422969565e","Wave transformation, The Sea, Part 1","Liu, Philip L.-F.","","1990","","hydrodynamics","","book","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:653b09f1-c5c5-4a7c-aeeb-d845dd650302","http://resolver.tudelft.nl/uuid:653b09f1-c5c5-4a7c-aeeb-d845dd650302","Mapping Atlas of Shenzhen - Urban Villages","van Oostrum, Matthijs; Liu, Jiayao; Li, Yishiqin; Hoek, Ruben; Yang, Yidong; He, Zhujun; Buysschaert, Axel; Xiao, Yazhi; Peng, Jiping; van Eijk, Saskia; Yang, Qiao","Qu, L. (editor)","2017","This publication is in response to the call of 2017 Bi-City Biennale of Urbanism\Architecture (Shenzhen) (UABB) “Cities, Grow in Difference”, from the perspective of urbanism: the synthesis of factors that collectively determine the spatial use of the city.
This atlas is based on master graduation theses of Delft University of Technology (TU Delft) from 2013 to 2017. Since 2012, TU Delft collaborated with INTI (International New Town Institute in the Netherlands) for research and education programmes on the development of Shenzhen. In the past 4 years, more than 10 students did their master theses on urban villages in Shenzhen. Materials shown in this atlas are chosen mapping work from these master theses, which visualize the socio-economic and spatial conditions of urban villages in Shenzhen, covering areas from the central urban districts (such as Baishizhou) to the peripheral districts (such as Dalang) and new towns (such as Guangming New Town).","Mapping Atlas; Shenzhen; Urban Village","mul","book","Delft University of Technology","978-94-6186-872-5","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:bd09eb78-b763-4f07-a12d-08bf5e0fd881","http://resolver.tudelft.nl/uuid:bd09eb78-b763-4f07-a12d-08bf5e0fd881","Exploitative learning in inter-organizational projects: Evidence from Dutch infrastructure practices","Liu, Y. (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Houwing, E.J. (TU Delft Integral Design & Management; Rijkswaterstaat)","","2019","Purpose - How learning is facilitated in inter-organizational projects remains underdeveloped in the literature. The aim of this study is to focus on viewing the relationship between the multiple organizations in a project, from a perspective of the learning aspect. Design/Methodology/Approach - This research analyses the learning trajectory that occurred in the largest tunnel project in the Netherlands. Data were collected through archival documents, in-depth interviews, and site visits. Answering the research question will be done through inductive research. Findings - The results indicate that the most significant change that exploitative learning has led to is the change in mind-set. The learning paradox of projects does not play a factor in the learning trajectory present at the GSP project. Research Limitations/Implications - While the research was conducted in a Dutch context, it is suggested that the findings presented would align with the experiences of construction organizations in other parts of the world. Practical Implications - The findings have implications for understanding learning in practical project management. The organizations need to focus on learning initiatives on people, and not on the collection of data. Originality/Value - This research responds to the debate over the learning in projects. Learning stimulates openness and that this has positive impact omcollaboration.","Exploitative learning; Inductive research; Infrastructure construction projects; Inter-organizational projects; Mind-set change; Organizational culture","en","book chapter","Emerald Publishing","","","","","","","","","","Integral Design & Management","","",""
"uuid:b0621f3c-22d2-4852-bec1-3507f697142f","http://resolver.tudelft.nl/uuid:b0621f3c-22d2-4852-bec1-3507f697142f","Study on the Asphalt Pavement Response in the Accelerated Pavement Testing Facility","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Erkens, S. (TU Delft Pavement Engineering)","Raab, C. (editor)","2020","Accelerated pavement testing (APT) is an effective method in evaluating pavement performance by applying wheel loading and speed under controlled conditions. This study aims to investigate the effects of wheel loading, speed and ambient temperature on the pavement responses at different directions and depths of pavement structure. A two-layer asphalt pavement structure was constructed on a base layer constructed 10 years ago. Strain gauges were installed both in the transversal and longitudinal directions of motion on the bottom of both layers. The response of the asphalt layers was monitored and the developed strains were recorded. The results show that maximum compressive strain increases with wheel load. In contrast, the maximum tensile strain decreases as load increases; this is probably due to the high confining pressure that occurs within the pavement structure when higher wheel load is applied. The maximum compressive and tensile strains decrease with wheel speed, because the asphalt mixture becomes stiffer at high wheel speed (frequency). The maximum compressive and tensile strains in the transversal direction increase with ambient temperature, because of the low stiffness of asphalt materials at high temperature, which appears to be the cause of rutting.","Accelerated pavement test; Asphalt; Cyclic Indirect Tensile Test; Mechanical response","en","book chapter","SpringerOpen","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-12-20","","","Pavement Engineering","","",""
"uuid:444d976e-670b-4635-a111-8e4665991f97","http://resolver.tudelft.nl/uuid:444d976e-670b-4635-a111-8e4665991f97","Recovery from the pandemic: Planning the reterritorialisation of agricultural activities","Liu, Tianzhu (University of Bern); Korthals Altes, W.K. (TU Delft Urban Development Management); Wallet, Frédéric (INRAE center Occitanie-Toulouse); Melot, Romain (INRAE - Campus Agro Paris-Saclay)","Andres, Lauren (editor); Bryson, John R. (editor); Ersoy, Aksel (editor); Reardon, Louise (editor)","2024","This chapter discusses planning the reterritorialisation of agricultural activities as an avenue of the Covid-19 pandemic recovery. Reterritorialisation indicates local food being targeted to local inhabitants instead of the global market. We argue that the pandemic has accelerated the reterritorialisation process. Supply chain actors actively responded to the local market, local agrifood sector labour was revalued, the rural-urban linkage was rebuilt along with the lifestyle change, and public political awareness was raised in engaging local agrifood issues. We propose planning the reterritorialisation of agriculture as a solution to perpetuating local agrifood activities and recovering from the pandemic. We discuss planning strategies from perspectives of access to land, the transition of farming practices, and structuring local supply chains. We conclude with research agenda drawn from the challenges faced by the coexistence of local and global food systems, the policy coherence and the juxtaposed complex issues like climate change and geopolitical conflicts.","access to land; covid-19; food planning; local food system; short food supply chain; spatial planning","en","book chapter","Edward Elgar Publishing","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Urban Development Management","","",""
"uuid:33b5a5a9-4302-48f9-b804-a6f5a365af77","http://resolver.tudelft.nl/uuid:33b5a5a9-4302-48f9-b804-a6f5a365af77","The Effect of Psychological Scarcity on Health Decisions of Rural Residents in China: Preliminary Results","Zhu, H. (TU Delft Applied Ergonomics and Design; Loughborough University); Liu, E. (Southwest Forestry University); You, Fangzhou (Loughborough University); de Bont, Cees (Loughborough University); Gruber, Thorsten (Loughborough University); Dong, Hua (Brunel University); Melles, M. (TU Delft Applied Ergonomics and Design)","Melles, Marijke (editor)","2024","Economic studies have shown that living in poverty may produce a subjective feeling of scarcity, which affects people's cognitive functions and decision-making. Understanding this mechanism could inform healthcare designers on designing inclusive health interventions by considering the psychological scarcity and limited cognitive resources of impoverished individuals. We conducted a psychological experiment to test the impact of psychological scarcity on cognitive function and health decisions of rural residents in China. We randomly assign participants to two financial scenarios (hard vs. easy) with the technique of priming to induce their immediate financial worries. Then we measure cognitive function using Raven’s Progressive Matrices and uncover their decision-making priorities with a budget allocation task. 301 participants finished the study and 264 were included in the main analysis. The results show that both immediate financial worries and cumulative poverty have negative effects on participants’ cognitive performance. Responses to scarcity could lead to attentional focus on limited resources, thereby neglecting long-term health consequences, particularly for the lower income group. Based on the findings, we suggest a number of human factors design considerations that are critical to successful healthcare design.","Cognitive function; Health decision-making; Health intervention; Psychological scarcity","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:2742026a-58af-4d87-831d-04674f9b054b","http://resolver.tudelft.nl/uuid:2742026a-58af-4d87-831d-04674f9b054b","Artificial intelligence application to the nexus of renewable energy, water, and the environment","Liu, Zhengxuan (TU Delft Design & Construction Management); Qiu, Yangzi (University Glasgow); Jafarinejad, Shahryar (Tuskagee University)","Jafarinejad, Shahryar (editor); Beckingham, Bryan S. (editor)","2023","Energy, water, and the environment have remarkably complex interrelationships, with these linkages being both direct and indirect. The innovative development of artificial intelligence (AI) technologies brings significant opportunities for investigating renewable energy (RE), water, and the environment and presents a multitude of challenges. Using AI to promote sustainable production and consumption of RE and water and protection of the environment has become a hot research area that enables AI to empower sustainable human development and promote its own sustainable development. However, there are few summaries and analyses of studies on the application of AI to RE, water, and environment (REWE) nexus. The objective of this chapter is to discuss the application of AI to the REWE nexus. First, this chapter presents and analyzes the AI techniques that are applicable to RE, water, and the environment fields, respectively, and categorizes and integrates them. Also, the chapter summarizes AI application in the REWE nexus. Furthermore, the chapter analyzes the application feasibility of AI for establishing city-level REWE nexus studies and identifies challenges and barriers to their implementation. Finally, the chapter presents the future perspectives for the application of AI to urban-level REWE nexus.","Artificial intelligence; environment; renewable energy; sustainable development; water","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-13","","","Design & Construction Management","","",""
"uuid:34d51c5a-7a36-4165-aa16-0b9e955bb11c","http://resolver.tudelft.nl/uuid:34d51c5a-7a36-4165-aa16-0b9e955bb11c","Application of abandoned wells integrated with renewables","Zhou, Yuekuan (The Hong Kong University of Science and Technology); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Xing, Chaojie (Hunan University)","","2022","The large thermal potentials with geothermal gradient of abandoned wells provide the possibility and opportunity for carbon-neutrality transition of district heating systems, whereas energy harvesting from abandoned geothermal wells is full of challenges, due to the considerable initial investment in economic cost, system performance degradation, and so on. In this chapter, a systematic and comprehensive review on the application techniques of abandoned wells is presented, in terms of advanced thermal/power conversions, renewable integrations for district heating, and strategies for performance enhancement. Discussions on real applications have been conducted and future prospects presented, from perspectives of lifetime system performance, techno-economic feasibility analysis, and potential assessment of abandoned wells for carbon-neutrality transition. The results of this chapter can provide preliminary knowledge and cutting-edge technologies on renewable integrations with abandoned wells, so as to demonstrate techno-economic-environmental potentials of abandoned wells and contributions toward carbon-neutrality transition.","Abandoned wells; Geothermal energy; Renewable energy; Smart controllers; Techno-economic feasibility","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:40920e0d-ef7f-49f8-b979-73fed64baf68","http://resolver.tudelft.nl/uuid:40920e0d-ef7f-49f8-b979-73fed64baf68","The main utilization forms and current developmental status of geothermal energy for building cooling/heating in developing countries","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Xing, Chaojie (Hunan University); Zeng, Chao (Southwest Jiaotong University); Zhou, Yuekuan (The Hong Kong University of Science and Technology)","","2022","Geothermal energy (GE), as an ideal renewable resource for building cooling/heating with stability and abundance in energy supply, has been widely exploited in developing countries. The common utilization forms of GE mainly include the ground source heat pump (GSHP), underground duct system (UDS), and abandoned wells energy (AWE) system. However, there is still a lack of comprehensive overview of the current developmental status of the GSHP, UDS, and AWE systems for building cooling/heating in developing countries. This chapter will be conducted from the following aspects: (1) The literature review and categories of GE utilization in the developing countries, mainly including the latest literature review on GE development and categories of utilization for building cooling/heating. (2) The common utilization of the GSHP system and its current application and development in the developing countries, mainly including the ground-coupled heat pump (GCHP) system and groundwater heat pump (GWHP) system. (3) The common utilization of the UDS system and its current application and development in the developing countries, mainly including the horizontal UDS system, vertical UDS system, and the corresponding coupled system with phase change energy storage and other advanced technologies. (4) The common utilization of the AWE system and its current application and development in the developing countries, mainly including the abandoned oil and gas wells. (5) The existing issues and in-depth analysis on the practical application of GE for building cooling/heating in the developing countries. This chapter can provide some effective guidelines on the various GE utilization forms for building cooling/heating in developing countries.","Abandoned wells energy; Building cooling/heating; Developing countries; Geothermal energy; Ground source heat pump; Underground duct system","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:f2f260e5-e00f-4e27-a53c-f9a4125368d2","http://resolver.tudelft.nl/uuid:f2f260e5-e00f-4e27-a53c-f9a4125368d2","Social and economic analysis of integrated building transportation energy system","Liu, Zhengxuan (TU Delft Design & Construction Management); Sun, Ying (Qingdao University of Technology); Huang, Ruopeng (Chongqing University)","Zhou, Yuekuan (editor); Yang, Jinglei (editor); Zhang, Guoqiang (editor); Lund, Peter D. (editor)","2024","The increasing greenhouse gas (CO2) emissions constitute one of the most significant global environmental issues. CO2 emissions from buildings and transportation are responsible for the largest proportion of total global carbon emissions from various sectors. Therefore it is necessary to utilize clean energy sources (e.g., renewable energy, energy storage systems, and electric vehicles) to decarbonize the building and transportation sectors. The integrated building transportation energy system (IBTES) is a system that combines the energy demands of buildings and transportation in an integrated manner. However, this integrated system has many issues in its practical applications, especially considering the social and economic aspects. A social and economic analysis of IBTES will consider the impacts on various stakeholders, including building owners and users, transportation users, energy suppliers, etc. This study will systematically summarize the current application and development status of IBTES from both social and economic perspectives. In terms of the social perspective, IBTES can improve energy efficiency and reduce CO2 emissions, which will have a positive impact on the environment and public health. From an economic perspective, IBTES has the potential to decrease the energy costs of buildings and transportation users. In addition, it has the potential to create new jobs in the energy and transportation sectors, and potentially attract new businesses and investments to a region. This study also summarizes several issues and challenges of IBTES, including the cost of implementing and maintaining the system, social acceptance, and inadequate related regulations. Based on this, the study proposes recommendations to effectively promote the implementation of IBTES. This study can provide some theoretical guidelines and suggestions for policymakers.","building transportation energy system; carbon neutrality; economic analysis; electric vehicles; energy storage system; renewable energy; social impact","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","Design & Construction Management","","",""
"uuid:6218902e-795c-4514-b490-a8d5502369f5","http://resolver.tudelft.nl/uuid:6218902e-795c-4514-b490-a8d5502369f5","Ageing Behavior of Porous and Dense Asphalt Mixtures in the Field","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University); Erkens, S. (TU Delft Pavement Engineering)","Di Benedetto, H. (editor); Baaj, H. (editor); Chailleux, E. (editor); Tebaldi, G. (editor); Sauzéat, C. (editor); Mangiafico, S. (editor)","2021","Bitumen ageing is one of the principal factors causing the deterioration of asphalt pavements. As bitumen ages, the pavement loses its ability to relax stresses during loading/unloading and thermal cooling process, thus the risk of cracking increases. Oxidation and ultraviolet (UV) radiation are believed to be the main factors that can cause bitumen ageing during pavement service life. The aim of this study is to evaluate the mechanical behavior of porous and dense asphalt pavements during field ageing. Pavement test sections were constructed in 2014 and are being exposed to actual environmental conditions since then. To investigate the effect of UV radiation on ageing, UV reflective glass-plates were utilized to cover part of the pavement surface. To study the evolution of the pavements’ mechanical properties, asphalt cores were collected from the test sections periodically (at one-year intervals). The changes in the stiffness modulus of the mixtures were determined via cyclic indirect tensile tests. The results show that the effect of mineral aggregate packing, and hence of air-void distribution and connectivity, on the ageing sensitivity (both thermal and UV ageing sensitivity) of the pavements with time was found to be significant, as the changes of the stiffness of the porous mixtures were greater than that of dense mixtures.","Dense mixture; Field ageing; Porous mixture; Stiffness; UV radiation","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-26","","","Pavement Engineering","","",""
"uuid:95b54001-d99c-4bf2-9ba2-495bd8ca020a","http://resolver.tudelft.nl/uuid:95b54001-d99c-4bf2-9ba2-495bd8ca020a","Introduction to Energy-Efficient Train Operation","Tian, Zhongbei (University of Birmingham); Liu, Xiao (University of Liverpool); Su, Shuai (Beijing Jiaotong University); Goverde, R.M.P. (TU Delft Transport and Planning)","Su, Shuai (editor); Tian, Zhongbei (editor); Goverde, Rob M. P. (editor)","2023","Railway, as one of the most energy-efficient transport, plays an essential role in improving the world’s energy and environmental sustainability. Statistics about rail share of transport activities and the corresponding energy consumption will demonstrate the energy efficiency of railway and indicate the potential of developing railway transport. Therefore, this chapter will provide an overview of the railway's energy consumption and traffic volume shares. Statistics presented in this chapter show that railway energy consumption decreased in these decades while its transport volume kept stable, and the traffic volume share of the railway is extremely large in urban transport. To achieve the goal of carbon neutralization, the European Union and many countries have conducted research projects on railway energy conservation. The technologies developed in these projects include energy-efficient train driving, integrated timetabling, using regenerative braking energy, etc. A summary of these technologies is also given, along with their potential energy savings, which range from 1 to 25%. This book will analyse and illustrate the whole systems processes of train operation with optimisation solutions. The structure of the following chapters will be presented at the end.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Transport and Planning","","",""
"uuid:e9f665eb-36fd-4044-ad6b-d1d9011e2bab","http://resolver.tudelft.nl/uuid:e9f665eb-36fd-4044-ad6b-d1d9011e2bab","Ageing effect on chemo-mechanics of bitumen","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","Poulikakos, Lily D. (editor); Falchetto, Augusto Cannone (editor); Wistuba, Michael P. (editor); Hofko, Bernhard (editor); Porot, Laurent (editor); Di Benedetto, Hervé (editor)","2019","Ageing has a significant impact on the chemical and mechanical behavior of bituminous materials. In this study, Fourier Transform Infrared (FTIR) spectrometer and Dynamic Shear Rheometer (DSR) tests were utilized to investigate the effect of ageing on the chemical and mechanical properties of bitumen. Bitumen films with thickness of 2 mm were exposed to laboratory ageing at various conditions. Specifically, different combinations of ageing time, temperature and pressure were applied on the materials. The FTIR results were used to quantify the changes in the chemical functional groups and to calculate the combined ageing index (summation of carbonyl and sulfoxide indices) of bitumen. In addition, the DSR test results were analyzed to determine the evolution of the crossover frequency and crossover modulus with ageing. A linear relationship was found between the combined ageing index and the distance in the crossover map, providing thus a chemo-mechanics framework to describe bitumen ageing.","Ageing; Bitumen; Chemo-mechanics; FTIR spectroscopy; Rheology","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-13","","","Pavement Engineering","","",""
"uuid:ba10b1b8-8956-4a85-82fd-a1b8d59138dd","http://resolver.tudelft.nl/uuid:ba10b1b8-8956-4a85-82fd-a1b8d59138dd","A 2 × 2 array receiver at 1.4 THz based on HEB mixers and a Fourier phase grating local oscillator","Liu, X.X.; Hayton, D.J.; Luo, Y.C.; Wei, L.; Vercruyssen, N.; Gao, J.R.; Groppi, C.","","2015","We report on realization of a 2 × 2 NbN hot electron bolometer (HEB) array receiver using multiple local oscillator (LO) beams that are obtained from a Fourier phase grating mirror. The grating splits a 1.4 THz single beam into four uniform sub-beams. Two 50mm lenses are applied to collimate each beam in order to achieve a spacing of 12mm between them. The LO power in each beam is shown to be enough to fully pump the HEB mixer elements. The IV curves, noise temperature and IF bandwidth for each pixel is then characterized.","","en","conference paper","International Symposium on Space Terahertz Technology (ISSTT)","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:6bce32b4-a74b-4b13-8cdd-ad998b9ca268","http://resolver.tudelft.nl/uuid:6bce32b4-a74b-4b13-8cdd-ad998b9ca268","Influence of different corrosion solutions on reinforced concrete by DIC and traditional strain test","Wang, XiaoX (Southeast University, China); Liu, JiaP (Southeast University, China - State Key laboratory of High Performance Civil Engineering Materials, China); Zhong, PeiH (Southeast University, China)","","2021","When the corrosion expansion stress of the steel reinforcement exceeds the ultimate tensile strength of the concrete, the concrete will crack and eventually cause structural damage. Therefore, direct characterization methods of steel corrosion expansion stress can help predict the concrete cracking time, prevent corrosion expansion and repair the reinforcement concrete in advance. In this paper, by using the digital image correlation technology (DIC) to monitor the surface strain of concrete, and using the hollow steel bar to catch the strain of the steel bar under the condition of constant potential acceleration, the experiment and theory are established to characterized the relationship between the corrosion expansion stress and the concrete surface deformation. Besides, different corrosion solutions were applied to the specimens to investigate the effect of the corrosion solution on the corrosion rate of the steel bars in the concrete. The results show that the corrosion rate is seawater > 3% NaCl solution > 3% NaCl + 5% Na2SO4 composite solution. Among them, sulfate ions inhibited the promotion of chloride ions corroding on steel bars to a certain extent.","DIC; Reinforced concrete; Corrosion; Corrosion expansion stress","en","conference paper","","","","","","","","","","","","","",""
"uuid:5a2b8627-ba8d-4d81-9f4a-7a60ef397b0d","http://resolver.tudelft.nl/uuid:5a2b8627-ba8d-4d81-9f4a-7a60ef397b0d","Evaluation of the longitudinal ultimate strength of various ship hull configurations","Kutt, Lembit M.; Plaszczyk, Christopher M.; Chen, Yung-Kuang; Liu, Donald","","1985","","construction","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:de84a1c2-6596-4888-a0dd-898886e1f48f","http://resolver.tudelft.nl/uuid:de84a1c2-6596-4888-a0dd-898886e1f48f","A ""door-to-door"" path-finding approach for indoor navigation","Liu, L.; Zlatanova, S.","","2011","Indoor navigation is gaining an increasing interest among researchers in many domains. In many cases users need to orient quickly in complex environments, which is not always the target of current routing algorithms. The paper reviews current indoor path-finding approaches and discusses some of the limitations. In order to support a natural movement in buildings, typically for emergencies, we purpose a new indoor path-finding approach, that is, the “door-to-door” approach. We present an algorithm, which is applied to 2D floor plan of buildings with complex indoor structure. The algorithm consists of two-level routing: one is to get coarse route between rooms, and the other one is applied to single rooms to acquire the detailed route. Ultimately, several instances are given to illustrate advantages and feasibility of the door-to-door approach. From the test results it is evident this algorithm runs well even on quite complex floor plans. The paper concludes with a discussion of future work, which is to extend the routing approach into 3D, i.e. considering the vertical direction, indoor obstacles and path-finding in 3D scenarios.","indoor navigation; door-to-door navigation; path-finding; 2D floor plan; semantic building model","en","conference paper","International Society for Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:28f7ef2e-d919-4e06-8c50-5f1fe133a44c","http://resolver.tudelft.nl/uuid:28f7ef2e-d919-4e06-8c50-5f1fe133a44c","Highway A58: The first engineered self healing asphalt road (abstract)","Schlangen, H.E.J.G.; Garica, A.; Van de Ven, M.F.C.; Van Bochove, G.; Van Montfort, J.; Liu, Q.","","2011","","self-healing; asphalt; road; application; induction; steelwool","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:f9b8ba0d-c83d-4b1c-9450-ca1cc2ae8bcb","http://resolver.tudelft.nl/uuid:f9b8ba0d-c83d-4b1c-9450-ca1cc2ae8bcb","Turning subsurface noise sources into virtual receivers by multi-dimensional deconvolution","Liu, Y.; Wapenaar, C.P.A.; Arntsen, B.","","2014","The retrieval of the Green's functions between receiver pairs by multi-dimensional deconvolution can be extended to extract the impulse response between source pairs through source-receiver reciprocity. However in general, the procedure requires the separation of the outgoing and incoming wavefields at the sources, which reduces to the separation of the direct waves and the reflected waves in the absence of free-surface and inter-layer multiples. We show that in theory, for non-transient noise sources where the separation may not be obvious in the data domain, the separation can be achieved by time-windowing in an intermediate crosscorrelation step, which can be readily included in the MDD scheme. We illustrate the method with a synthetic model.","","en","conference paper","EAGE","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:c276d17d-34d1-4362-9052-99217bf08599","http://resolver.tudelft.nl/uuid:c276d17d-34d1-4362-9052-99217bf08599","Test method to assess membrane layers fatigue response on orthotropic steel bridge decks","Liu, X.; Tzimiris, G.; Li, J.; Scarpas, T.; Hofman, R.; Voskuilen, J.","","2015","In order to adequately characterize the fatigue response of the various membranes with surrounding multilayer surfacing layers on orthotropic steel decks and collect the necessary parameters for FE modeling, the details of the cyclic Membrane Adhesion Tester (MAT) are introduced. The fatigue damage in membrane interface is related to the amount of dissipated work computed by using the measurement of actuator load and piston deformation during the loading cycle. The dissipated work, which is equivalent to the lost part of the total potential energy of the membrane, has been utilized to explain the incremental damage during the testing. Furthermore, using the experimental data obtained from MAT, ranking of the bonding characteristics of various membrane products is demonstrated as well as the role of other influencing factors, such as the types of substrate and test temperatures.","membrane; orthotropic steel deck bridge; fatigue; dissipated energy; adhesive bonding 54 strength","en","conference paper","TRB","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:04a1ddb6-f1b3-4342-b297-5edf8aa0ddd1","http://resolver.tudelft.nl/uuid:04a1ddb6-f1b3-4342-b297-5edf8aa0ddd1","Updated Delft mass transport model DMT-2: Computation and validation","Hashemifarahani, H.; Ditmar, P.G.; Inacio, P.M.G.; Klees, R.; Guo, J.; Guo, X.; Liu, X.; Zhao, Q.; Didova, O.; Ran, J.; Sun, Y.; Tangdamrongsub, N.; Gunter, B.C.; Riva, R.E.M.; Steele-Dunne, S.C.","","2014","","","en","conference paper","EGU","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:5da73ca8-33ad-4848-affc-e05f8292fa73","http://resolver.tudelft.nl/uuid:5da73ca8-33ad-4848-affc-e05f8292fa73","Reliability of API and ISO Guidelines for Bearing Capacity of Offshore Shallow Foundations","Liu, Z.; Lacasse, S.; Nadim, F.; Gilbert, R.","","2015","The safe bearing capacity for offshore shallow foundations has been traditionally assessed using working stress design (WSD) methods (e.g. the API RP 2GEO guideline). Other codes of practice such as the ISO standard strive to provide designs achieving a desired target reliability level in the form of the Load and Resistance Factor Design (LRFD) approach. This study compares the levels of safety achieved for offshore shallow foundations. Calculations are made for one foundation on soft clay and one on medium dense sand, using the API RP 2GEO, API RP 2GEO-LRFD and ISO 19901-4 design guidelines. Three probabilistic models were used, the first-order, second moment (FOSM) approximation, the first order reliability method (FORM) and the Monte Carlo simulation (MC) approach, to do the reliability assessment. The results showed that the reliability level achieved with current practice varies with the design methods. The FORM and MC models yielded consistent results, while the FOSM model yielded inconsistent results when the performance function was non-linear.","reliability; shallow foundation; offshore design codes; bearing capacity; LRFD","en","conference paper","","","","","","","","","","","","","",""
"uuid:30fc013d-0eac-41c9-b2ad-058f09c45169","http://resolver.tudelft.nl/uuid:30fc013d-0eac-41c9-b2ad-058f09c45169","CFD modeling of chemical reactors: Single-Phase Complex Reactions and Fine-Particle Production","Liu, Y.; Tang, Q.; Fox, R.O.","","2006","Computational fluid dynamics (CFD) is a useful tool for modeling chemical reactors. However, because the design goals and expected outcomes are different than in ""traditional'' CFD applications, chemical reactors require special attention to the treatment of chemical reactions, and heat and mass transfer. Here we provide an overview of the modeling components needed to describe single-phase reactors with complex reactions and possible fine-particle production, and show some successful examples from our laboratory. The models are described in the context of the Reynolds-average transport equations, but can be easily modified for use with large-eddy simulations. The examples range in complexity from turbulent mixing of a single scalar to turbulent reacting flow with the formation of fine particles. For the latter, we illustrate how the number density function describing the particle population can be efficiently integrated with a CFD code by using the quadrature method of moments.","Computational Fluid Dynamics; chemical reactors; turbulent reacting flow; quadrature method of moments","en","conference paper","","","","","","","","","","","","","",""
"uuid:d98e5742-2778-4f66-b6f3-c45dd1019595","http://resolver.tudelft.nl/uuid:d98e5742-2778-4f66-b6f3-c45dd1019595","Routes to durability and sustainability: Recycling of PmB containing RAP","Liu, Xueyan (Delft University of Technology, The Netherlands)","","2021","Recycling of base asphalt pavement with rejuvenators or soft binders has been applied for many decades and a lot of experience has been gained. However, the high-quality recycling technique of polymer modified asphalt (PMA) has still not been sufficiently studied. The aging mechanism of PMA is complex, including the combined effects of the oxidation of bitumen and the degradation of the polymer. The current commercially available rejuvenators are designed mostly for base bitumen and not suitable for the recycling of PMA. For this reason, this research aims at designing an innovative rejuvenator specifically for the recycling of PMA. Firstly, a series of performance-based test methods, including viscoelastic properties, rutting resistance, fatigue resistance, cracking resistance, relaxation ability and aging ability, has been performed to select the appropriate source materials and to determine the optimum ratio between different components. After that, a specific SBS-based rejuvenator was found to be most effective in PMA rejuvenation. To reveal the rejuvenation mechanism, an environment scanning electron microscope (E-SEM) was utilized in investigating the microstructure of the rejuvenated binder. The results illustrated that E-SEM method can distinguish the influence of rejuvenator dosage, rejuvenator types, and addition of fresh bitumen on the morphology of aged PMB, which can help us to have a better understanding of the rejuvenation mechanism.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:42184015-8cac-4be2-8084-a221744d0452","http://resolver.tudelft.nl/uuid:42184015-8cac-4be2-8084-a221744d0452","Feasibility Study of a 10 MW MgB2 Fully Superconducting Generator for Offshore Wind Turbines","Kostopoulos, D.; Liu, D.; Genani, G.; Polinder, H.","","2013","Offshore wind is considered a vital component of the future large scale renewable generation portfolio. Intense R&D effort is occurring in both the technology and the supply chain aiming at cost reduction. The drivetrain of wind turbines is an area of continuous evolution with currently no one standard configuration in the industry. It is anticipated that in order to upscale offshore wind turbines in the 10+ MW power range innovation is necessary in this subsystem. A possible solution could be the adoption of superconductivity technology. The potential benefits are multiple comprising weight, dimension and cost reduction in both capital and operating costs. In this paper a rough analytical design is presented of an MgB2 fully superconducting wind turbine generator (WTG). An analytical current sheet distribution is adopted to calculate the magnetic field of the generator and a finite element method (FEM) analysis is used to verify the field calculation. The objective of this work is to assess the technical feasibility of this generator topology and attempt to extract more general conclusions regarding the potential application of superconducting drivetrains in offshore wind turbines.","","en","conference paper","European Wind Energy Association","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:88d00ed0-8b3a-4320-9634-7a1864e14dab","http://resolver.tudelft.nl/uuid:88d00ed0-8b3a-4320-9634-7a1864e14dab","Transient Hydroelastic Responses of Acoustic Structure to Underwater Explosion Loads","Liu, J.H.","","2006","","construction","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:1dd1bd48-6c4f-4389-9a4b-36730c4d2610","http://resolver.tudelft.nl/uuid:1dd1bd48-6c4f-4389-9a4b-36730c4d2610","State-of-art of asphalt surfacings on long-spanned orthotropic steel deck in China","Chen, X.; Liu, X.; Qian, Z.; Lei, Z.","","2012","Reliable and durable asphalt surfacing systems still remain to be desired for the long-spanned orthotropic steel bridges as the nationally and internationally reports on distresses in deck pavement. Based on ten-year research works, this paper has presented a brief review and discussion of the Chinese practices and experiences of deck pavement on long-spanned steel bridges, including issues of typical surfacing materials and their properties, main distresses in asphalt surfacing, and the basic characteristics of asphalt surfacing on orthotropic steel bridge decks. It is concluded that the behaviours of deck pavement on oithotropic steel bridge deck under truck load are complex contributed by geometric and material-dependent nonlinearity, coupling the global dynamic effects of the whole bridge systems. More efficient computational techniques are still desirable to couple global effects into local responses, to count the interfacial effects and interaction, and to evaluate the effect of predominant distress of fatigue cracking and de-bonding on the service life of this type of structure.","long-spanned steel bridge; orthotropic steel decks; asphalt surfacing system; local deflection behaviour; composite action; fatigue cracking","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:ab3e11ea-1409-444e-9b1c-634392328b90","http://resolver.tudelft.nl/uuid:ab3e11ea-1409-444e-9b1c-634392328b90","Trust and money: 20 years of (no) progress?","Fellows, R.; Liu, A.","","2011","In almost 20 Years since Latham published the interim report Trust and Money in which he asserted that there was too little of either in the UK construction industry, has anything changed? This paper addresses issues of what trust is, how it is created and its fragility, and progresses to examine how trust operates in the construction industry. The second major thread, money, is examined in the context of the economics and financing of construction projects and organisations in an era in which globalisation of the finance industry has occurred as well as global and local crises in that industry and many countries economies. Market emphases and process changes have occurred at several levels, continuing existing trends but also spawning new ones. A key question, which is examined as the underpinning, central theme of this paper, is that although structural changes and procedural changes are highly evident, what has changed in behaviour within the industry, why, and with what consequences regarding trust and money?","behaviour; construction; finance; globalisation; projects; trust","en","conference paper","","","","","","","","","","","","","",""
"uuid:37e6eb09-e9ef-4a5f-9d79-d1299bbd7af2","http://resolver.tudelft.nl/uuid:37e6eb09-e9ef-4a5f-9d79-d1299bbd7af2","Performance study of a double crossover for facing and trailing directions","Liu, X.; Markine, V.L.; Shevtsov, I.","","2015","This paper presented the performance study of two frogs in a double crossover in the railway network in the Netherlands. These frogs are located on the same track line. Each train passes through Frog 1 in the facing direction and Frog 2 in the trailing direction. Both frogs are monitored with ESAH-M crossing dynamic behaviour measurement tool and remote displacement measurement system Video Gauge. Results indicate that Frog 1 experiences high wheel/rail contact force (acceleration) and wear in Frog 2 develops fast. Frog 2 suffers from lack support of ballast, while the potential damage in Frog 1 is mainly related to the rail part.","","en","conference paper","CRC Press","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:06abf5c2-f5f4-4d32-a941-0e015bf03d8b","http://resolver.tudelft.nl/uuid:06abf5c2-f5f4-4d32-a941-0e015bf03d8b","Metagenomic insights into the bio-functionality of 21 anaerobic biogas reactors (abstract)","Tao, Y.; Gao, D.W.; Wang, H.Y.; Zhang, X.; Ghasimi, S.M.D.; Ozgun, H.; Ersahin, M.E.; Zhou, Z.B.; Liu, G.; Temudo, M.F.; Kloek, J.; Spanjers, H.; De Kreuk, M.K.; Van Lier, J.B.","","2014","","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:36e10d28-f773-44e1-a489-d6a23693fd32","http://resolver.tudelft.nl/uuid:36e10d28-f773-44e1-a489-d6a23693fd32","Wall-shear stress measurements of turbulent flow over ribbed surfaces using the micro-pillar shear stress sensor MPS3","Liu, Y.; Li, W.; Jessen, W.; Klaas, M.; Schröder, W.","Liu, Y. (author); Li, W. (author); Jessen, W. (author); Klaas, M. (author); Schröder, W. (author)","2015","The drag reduction effect of a semi-circular riblet-structured surface in a turbulent boundary layer is experimentally investigated using the micro-pillar shear stress sensor MPS3. The MPS3 sensor is a novel tool for the quantitative measurement of the wall-shear stress distribution and possesses a high spatial and temporal resolution. The effectiveness and mechanisms of a ribbed surface in skin friction reduction are to be examined in comparison with the flow case of a flat surface.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:03ed744e-d656-4f7c-b2bc-7bc8567f35de","http://resolver.tudelft.nl/uuid:03ed744e-d656-4f7c-b2bc-7bc8567f35de","InSAR based validation of MERIS IWV cloud gap filling using GPS IWV","Lindenbergh, R.C.; Liu, S.; Hanssen, R.; De Haan, S.; Van der Marel, H.","","2009","High spatial resolution integrated water vapor (IWV) estimates are available from the MERIS spectrometer on board of Envisat. Unfortunately cloud cover results in loss of a large amount of MERIS IWV pixels. Here methods are presented for filling cloud gaps in MERIS IWV scenes, first, by directly interpolating remaining pixels not affected by clouds, and second, by fusion with cloud insensitive IWV observations from ground stations of the GPS network. Results are validated by independent IWV estimates obtained from suited SAR interferograms. The results indicate that the procedure works mathematically in a correct way, but that the physical application of the results is strongly hampered by MERIS pixels affected by not automatically detected clouds.","","en","conference paper","","","","","","","","","Aerospace Engineering","Remote Sensing","","","",""
"uuid:e9306f9e-8a81-4021-8cbb-72602cf7b2a8","http://resolver.tudelft.nl/uuid:e9306f9e-8a81-4021-8cbb-72602cf7b2a8","Nonlinear nearshore wave environment for ship motion","Xiao, Wenting; Liu, Yuming; Yue, Dick K.P.","","2011","","hydrodynamics","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:a4da6b47-e27d-4584-86d9-0ca329de0785","http://resolver.tudelft.nl/uuid:a4da6b47-e27d-4584-86d9-0ca329de0785","Experimental investigation of multilayer surfacing system on orthotropic steel bridge with the five-point bending test","Tzimiris, G.; Liu, X.; Scarpas, A.; Li, J.; Hofman, R.; Voskuilen, J.","","2013","Due to lightweight and flexibility, orthotropic steel deck bridges become popular the last decades but several problems were reported in relation to asphalt surfacing materials such as rutting, cracking, loss of bond between the surfacing system and steel deck. In the Netherlands a surfacing structure for orthotropic steel bridge decks mostly consists of five structural layers: top porous asphalt layer, guss asphalt layer, steel deck and two membrane layers. The five-point bending fatigue test is the standard device in France for asphalt concrete used on steel orthotropic deck bridges to perform the best asphalt mixture having a strong resistance to cracking. For the needs of this paper the 5 PBT was employed in order to evaluate the performance of the whole multilayer structure on steel deck and also to evaluate the mechanical properties of both top and bottom membrane and the influence they have on the structure. For the completion of the experimental investigation two types of specimen have been tested using the 5PBT set up. Displacement sensors have been used in order to detect the initiation of cracks where they are most likely to appear. Strain gauges have been used in order to monitor significant changes in strain on the Guss asphalt layer during the fatigue tests. Shear displacements between the asphalt layers are monitored and presented. It shows that the stiffness and the bonding characteristics of the intermediate membrane sheet as well as the wearing course behaviour seem to have great influence on the mechanical response of multilayer bridge surfacing system.","five-point bending test; orthotropic steel deck bridge; multilayer surfacing system","en","conference paper","Transportation Research Board","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:a75a50ec-961b-4a36-97b5-284fa0bf92be","http://resolver.tudelft.nl/uuid:a75a50ec-961b-4a36-97b5-284fa0bf92be","Numerical study on the surface stability of an encapsulated microbubble in the ultrasound field","Liu, Yunqiao; Sugiyama, K.; Takagi, S.; Matsumoto, Y.","","2009","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:4f64f6fb-010f-4c63-86d2-e3cf51843a9a","http://resolver.tudelft.nl/uuid:4f64f6fb-010f-4c63-86d2-e3cf51843a9a","Research on Visual Sense of the Officer On Watch","Cai, Y.; Liu, Z.","","2013","According to the COLREGs, every Officer On Watch (OOW) shall at all times maintain a proper look-out. Among all the available means of look-out, sight is the most basic and commonly used one. OOWs always need to make a full appraisal of the situation and of the risk of collision by sight, including estimating the range and bearing. Unlike the ranging equipment (such as Radar), OOWs, who are keeping a look-out by sight, cannot estimate the distance precisely according to their visual sense. In this paper, an experiment is carried out for finding the difference between the actual distance and the estimating one by OOWs with different onboard experience. The data are analyzed by using methods of statistics, Anomaly Detection, and Two-Step clustering for researching the human element of the visual sense during look-out. The factors, which can affect the visual sense, are revealed. Conclusions are drawn for improving the look-out and enhancing the safety of the navigation.","visual sense; officer on watch; anomaly detection; two-step clustering; human element","en","conference paper","","","","","","","","","","","","","",""
"uuid:6faf146e-fc15-4d00-a287-bba31442d9ca","http://resolver.tudelft.nl/uuid:6faf146e-fc15-4d00-a287-bba31442d9ca","Experimental study of key parameters investigation in turnout crossing degradation process","Liu, X.; Markine, V.L.; Shevtsov, I.; Dollevoet, R.P.B.J.","","2015","The continuous increasing demand of public transportation capacity requires the railway network operating in tight schedule. The high transporting volumes not only aggravate the degradation of railway infrastructure but also shorten the time for maintenance. Well-arranged infrastructure maintenance contributes to the budget reduction and reliability improvement. With the purpose of key parameters investigation in the turnout crossing degradation process, a series of subsequent measurements using instrumented crossing system (ESAH-M) on a 1:15 railway turnout at various stages were performed. The results indicate that wheel/rail impact area narrowed with deepened rail wear. This narrowing is a signal of rail damage. Frequency band pass filtered results describe the condition development of different structures in the turnout crossing section in the test period. Series of more systematic crossing measurements are in progress in a test section in the Netherlands. The ultimate purpose of this study is to form the crossing degradation function to be implemented in the structural health monitoring system (SHMS) for railway turnouts developed at the TU Delft.","railway turnout crossing; degradation process; field measurements; dynamic frequency response function; condition assessment","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:1eb5e8b0-2460-4235-adf4-8d6a24cbe735","http://resolver.tudelft.nl/uuid:1eb5e8b0-2460-4235-adf4-8d6a24cbe735","Interactive Pong: Exploring Ways of User Inputs through Prototyping with Sensors","Liu, W.; Stappers, P.J.; Pasman, G.J.; Van der Helm, A.J.C.; Aprile, W.A.; Keller, I.","","2012","This study aimed to explore ways of user inputs through designing interactive game controllers with different type of sensor. From building four experiential prototypes on Pong, we learned to drive design by focusing on interaction qualities, which determine the use of sensors. We found that the interaction qualities together as a set offer a way to design aesthetics of behavior in interaction.","interactive pong; interaction qualities","en","conference paper","ACM","","","","","","","","Industrial Design Engineering","Industrial Design","","","",""
"uuid:7932f0fa-6c2b-4783-8966-01f02ceafc34","http://resolver.tudelft.nl/uuid:7932f0fa-6c2b-4783-8966-01f02ceafc34","Quantification of the pressure distribution on a loaded conveyor belt using a tactile pressure sensor","Liu, X.","Pang, Y. (advisor)","2015","","pressure; conveyor belt; bulk material; tactile pressure sensor","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:493d1089-c862-4cea-96b2-e4345cb41fe5","http://resolver.tudelft.nl/uuid:493d1089-c862-4cea-96b2-e4345cb41fe5","Inter-source seismic interferometry by multidimensional deconvolution (MDD) for borehole sources","Liu, Y.; Wapenaar, C.P.A.; Romdhane, A.","","2014","Seismic interferometry (SI) is usually implemented by crosscorrelation (CC) to retrieve the impulse response between pairs of receiver positions. An alternative approach by multidimensional deconvolution (MDD) has been developed and shown in various studies the potential to suppress artifacts due to irregular source distribution and intrinsic loss. Following previous theories on SI by MDD, we extend it to retrieve the impulse response between pairs of source positions by invoking source and receiver reciprocity. We verify the theory using a simple two-layered model and show that the retrieved response by MDD is more accurate than that by CC, and furthermore, it is free of free-surface multiples. We discuss the necessary pre-processing required for this method. This inter-source SI approach creates a virtual acquisition geometry with both borehole sources and receivers without the need to deploy receivers in the borehole, which might be of interest to applications such as seismic while drilling (SWD).","","en","conference paper","Chinese Petroleum Society / Society of Exploration Geophysicists","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:bb12200e-b7c1-42f5-b4a4-7a7f13b2a159","http://resolver.tudelft.nl/uuid:bb12200e-b7c1-42f5-b4a4-7a7f13b2a159","Researching interaction guidelines - Mapping playful quality to design interactive products","Chen, Y.C.; Liu, W.","","2012","The interactions in IT supported activities in a Generation Y work context were studied and discussed, which general design guidelines have een revealed. However, further research about practical design guidelines hasn’t been performed. This study aims to serve as supplement of this part based on previous research. By applying contextual interviews of mapping interaction quality and Aesthetic Experience, some phenomena of interaction in work context has been revealed. By using sensitizing toolkits, we extract participants’ latent needs and expectation of future working types. In the end, practical design guidelines for designing playful interactions in work context are drawn. Designers could benefit from the result and utilize the guidelines, prototyping new interactive products. Meanwhile, researchers could apply or modify the sensitizing toolkits or sessions in order to come up with new insights for designing different interaction qualities","aesthetics of interaction, generation Y, interaction; quality, design guidelines","en","conference paper","Chalmers University of Technology","","","","","","","","Industrial Design Engineering","Industrial Design","","","",""
"uuid:d1b62cb8-5ffe-4459-9b18-1efc1f781ab8","http://resolver.tudelft.nl/uuid:d1b62cb8-5ffe-4459-9b18-1efc1f781ab8","Analytical study on the vibration response of curved track subjected to moving load","Li, K.; Liu, W.; Markine, V.L.; Ma, L.","","2012","","analytical solution, vibration response, curved track, moving load, transfer function","en","conference paper","China Railway Publishing House","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:7a57993d-a5c1-422a-a7ae-cf23a8e10856","http://resolver.tudelft.nl/uuid:7a57993d-a5c1-422a-a7ae-cf23a8e10856","Fourier phase grating for THz multi-beam local oscillators","Luo, Y.C.; Liu, X.X.; Hayton, D.J.; Wei, L.; Gao, J.R.; Groppi, C.","","2015","A Fourier phase grating mirror will be applied to split a single, coherent terahertz source beam into multi-beams, which are spatially distributed as required for the local oscillator in an array receiver. We report on the simulation, design and fabrication of a Fourier phase grating at 1.25 THz for generating 2×2 beams, and the measurements at 1.39 THz as a proof of concept study. We find that the characteristics of the measured diffraction beams are in good agreement with the model. In addition, we present the simulation and design of a grating for 4×4 beams as required for the GUSSTO’s 4.7 THz local oscillator.","","en","conference paper","International Symposium on Space Terahertz Technology (ISSTT)","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:2b4c89b0-dc3d-482c-b5c4-c45ca475a82b","http://resolver.tudelft.nl/uuid:2b4c89b0-dc3d-482c-b5c4-c45ca475a82b","Influence of ambient temperature on the mechanical properties of macro fibre reinforced concrete","Deng, Feilian (Harbin Engineering University, China); Zuo, Chenjia (Harbin Engineering University, China); Liu, Yanbo (Harbin Engineering University, China); Liu, Xianpeng (Tianjin Research Institute for Water Transport Engineering, China)","","2021","The incorporation of macro fibers have been an effective method to improve the mechanical and durability properties of concrete. As the mechanical properties of Macro fiber reinforced concrete are mostly tested at room temperatures, the effect of ambient temperature on the mechanical properties have been rarely reported. In this investigation, concrete specimens were prepared with three types of Macro fibers including steel fiber (SF), modified polypropylene (PP) fiber and polyvinyl alcohol (PVA) fiber. Compressive strength and flexural strength tests were performed on specimens with temperature ranging from -30°C and 30°C. Test results show that both the compressive strength increase with decreasing ambient temperature. The toughness of SF concrete was found to increase with increasing ambient temperature, while the toughness of PP and PVA fiber concrete was found to decrease with increasing ambient temperature.","Ambient Temperature; Compressive strength; Flexural Strength; Macro Fibers","en","conference paper","","","","","","","","","","","","","",""
"uuid:4095d737-9316-49d0-a949-e28d597fe9f1","http://resolver.tudelft.nl/uuid:4095d737-9316-49d0-a949-e28d597fe9f1","Optical coherence tomography complemented by hyperspectral imaging for the study of protective wood coatings","Dingemans, L.M.; Papadakis, V.; Liu, P.; Adam, A.J.L.; Groves, R.M.","","2015","Optical coherence tomography (OCT) is a contactless and non-destructive testing (NDT) technique based on lowcoherence interferometry. It has recently become a popular NDT-tool for evaluating cultural heritage. In this study, protective coatings on wood and their penetration into the wood structure were measured with a customized infrared fiber optic OCT instrument. In order to enhance the understanding of the OCT measurements of coatings on real wooden samples, an optimization of the measuring and analyzing methodology was performed by developing an averaging approach and by post-processing the data. The collected information was complemented by data obtained with hyperspectral imaging to allow data from local OCT A-scans to be used in mapping the coating thicknesses over larger areas.","optical coherence tomography; on-destructive testing; hyperspectral imaging; wood coatings; averaging; optimization; thickness mapping","en","conference paper","SPIE","","","","","","","","Aerospace Engineering","Aerospace Structures & Materials","","","",""
"uuid:05d49179-e66d-464b-9140-9248660c0ebc","http://resolver.tudelft.nl/uuid:05d49179-e66d-464b-9140-9248660c0ebc","Hydrodynamic Characteristics of Twin-Rudders at Small Attack Angles","Liu, J.","Hekkenberg, R.G. (advisor)","2015","","2D rudder simulations; Flat plate rudders; NACA rudders; Wedge-tail rudders","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:aa66d0a5-75e0-48e5-ae80-f438a5ad91de","http://resolver.tudelft.nl/uuid:aa66d0a5-75e0-48e5-ae80-f438a5ad91de","Deterioration of organic coatings on concrete under artificial aging","Wang, Haochuan (Southeast University, China); Feng, Pan (Southeast University, China - State Key Laboratory of High Performance Civil Engineering Materials, China); Geng, Zifan (Southeast University, China); Liu, Qi (Southeast University, China)","","2021","The deterioration of organic coatings on concrete is closely linked to the efficiency in the protection process of reinforced structures. In the present work, polyurea resin and epoxy resin, which are widely used engineering coatings, were selected to measure and compare the performance under artificial aging. Fourier Transform infrared spectroscopy, water contact angle and scanning electron microscope were used to determine the deterioration of coatings. X-ray fluorescence method was used to measure chloride content passing through the coatings, which represent the efficiency of protection. Test results demonstrate that both deterioration and resistance to corrosion are important in assessment of the organic coatings under aging.","efficiency; organic coating; concrete; artificial ageing","en","conference paper","","","","","","","","","","","","","",""
"uuid:5d9468d2-a745-426c-9db9-58cee8ae4f2e","http://resolver.tudelft.nl/uuid:5d9468d2-a745-426c-9db9-58cee8ae4f2e","Finite element of multilayer surfacing systems on orthotropic steel bridges","Li, J.; Liu, X.; Scarpas, A.; Tzimiris, G.","","2013","Light weight orthotropic steel bridge decks have been widely utilized for bridges in seismic zones, movable bridges and long span bridges. In the last three decades, severe problems were reported in relation to asphaltic surfacing materials on orthotropic steel deck bridges. Earlier investigations have shown that the bonding strength of membrane layers to the surrounding materials has a strong influence on the structural response of orthotropic steel bridge decks. The most important requirement for the application of membrane materials on orthotropic steel bridge decks is that the membrane adhesive layer shall be able to provide sufficient bond to the surrounding materials. The research aims on developing a FE tool to simulate and understand the performance of asphaltic surfacing structures, so as to improve the design of surfacings and increase their service life. In this paper, Finite Element (FE) simulations of Merwedebrug bridge with two membrane layers system are presented. The finite element system CAPA-3D developed at the Section of Structural Mechanics of TU Delft has been utilized as the numerical platform for this study. Due to the multilayer of the surfacing materials and geometrical complexity of the steel bridge, the FE model shows the in time development of strains and stresses inside the surfacing materials depends highly on the wheel loading frequency, wheel position, membrane bonding strength as well as thicknesses and characteristics of the surfacing layers. Emphasis is placed on the distribution of strains and the evolution of damage in surfacing layers of different cases. Recommendations of surfacing structures design on orthotropic steel bridges are given.","orthotropic steel deck bridges; FE simulation; multilayer sufacing systems; membranes","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:9edc3b74-3cc7-42c6-8bb2-3bc5c4d582e9","http://resolver.tudelft.nl/uuid:9edc3b74-3cc7-42c6-8bb2-3bc5c4d582e9","Self-healing supramolecular polymer nanocomposites","Liu, Z.; Besseling, N.A.M.; Mendes, E.; Picken, S.J.","","2013","Polyborosiloxanes (PBSs) are viscoelastic, transparent, colourless, self-healable polymer matrices, synthesized by reacting linear polydimethylsiloxanes (PDMSs) with boric acid (BA) above 150°C. BA takes part not only in cleaving the PDMS chains, but also contributes boronic-acid like moieties to the cleaved chain ends, which provide supramolecular self-healing capabilities. PBSs can be regarded as supramolecular elastomers, owing to the reversible cross links formed by the abundant hydroxyl groups. Therefore they are able to recover both the microscopic and macroscopic fractures intrinsically via hydrogen-bonds. Additionally, a glass transition temperature (Tg) as low as -90°C ensures chain mobility to PBSs molecules to heal cracks autonomously without extra heat supply. Compared to traditional carbon-based polymeric materials, PBSs provide a higher resistance to heat and light owing to the siloxane backbone. Upon increasing the degree of modification, PBSs get stronger on the one hand. However, PBSs also become less adhesive and start suffering from brittle fracture. Interestingly, the addition of nano-clay (Cloisite 30B) solves this problem. It does not make PBSs more brittle as it does in traditional thermal plastics. Instead, it renders PBSs ductile but strong. Comparing to PBSs, the PBSs/Cloisite 30B nanocomposites even exhibit an additional filler-induced mechanical relaxation at high frequency. This phenomenon implies that PBSs/Cloisite 30B nanocomposites can be applicable as self-healing shock absorber. Further investigations will be focusing on characterization of the selfhealing capacity, on strengthening the composites and on tuning the position of the filler-induced rheological response. Upon applying other (nano-sized) reinforcements, PBSs can be endowed with wide variety of targeted functionalities, for instance, stiffness by nano-clay; thermal conductivity by graphite or boron nitride; piezoelectric properties by lead zirconate titanate (PZT), etc. The presence of particles is also advantageous for the adhesive properties of the composites, because particles lower the number of hydrogen-bonds by, either isolating molecules or forming particle-molecule interaction.","polyborosiloxane (PBS); hydrogen-bonding; self-healing","en","conference paper","","","","","","","","","","","","","",""
"uuid:b79a916d-2019-4d96-b18a-21e93d7fe323","http://resolver.tudelft.nl/uuid:b79a916d-2019-4d96-b18a-21e93d7fe323","No-Reference image quality assessment based on localized gradient statistics: Application to JPEG and JPEG2000","Liu, H.; Redi, J.; Alers, H.; Zunino, R.; Heyndrinckx, I.","","2010","","image quality assessment; objective metric; JPEG; JPEG 2000; neural network","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Man-Machine Interaction","","","",""
"uuid:405660d7-4c8d-4ee5-ab4c-a8d5f864d384","http://resolver.tudelft.nl/uuid:405660d7-4c8d-4ee5-ab4c-a8d5f864d384","Gravity field modeling on the basis of GRACE range-rate combinations: Current results and challenge","Ditmar, P.G.; Liu, X.L.","","2007","","","en","conference paper","Harita Dergisi","","","","","","","","Civil Engineering and Geosciences","Geoscience and Remote Sensing","","","",""
"uuid:7e0d3746-42c3-4a32-aacd-003251609acb","http://resolver.tudelft.nl/uuid:7e0d3746-42c3-4a32-aacd-003251609acb","Development of test method for assessing the bonding characteristics of membrane layers in wearing course laid on orthotropic steel bridge decks","Liu, X.; Scarpas, A.; Li, J.; Tzimiris, G.; Hofman, R.; Voskuilen, J.","","2013","In order to adequately characterize the adhesive bonding strength of the various membranes with surrounding materials on orthotropic steel decks and collect the necessary parameters for FE modeling, details of the Membrane Adhesion Test (MAT) are introduced. Analytical constitutive relations of the MAT device have been derived using the same methodology as Williams (1997). Furthermore, using the experimental data obtained from MAT, ranking of the bonding characteristics of various membrane products is demonstrated as well as the role of other influencing factors, such as the types of substrate and test temperatures.","membrane; orthotropic steel deck bridge; adhesive bonding strength; finite element; strain energy release rate","en","conference paper","Transportation Research Board","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:96b96769-aee8-4259-96f7-d6df95805853","http://resolver.tudelft.nl/uuid:96b96769-aee8-4259-96f7-d6df95805853","Service life prediction of concrete structures under chloride environment based on Monte Carlo method","Zuo, Chenjia (Harbin Engineering University, China); Liu, Yu (Harbin Engineering University, China); Liu, Yanbo (Harbin Engineering University, China)","","2021","Transport of chlorides is one of the most critical factors determining the service life of concrete structures under ocean environments, and diffusion is usually regarded as the major mechanism for the transport of chloride ions into concrete. As chloride diffusivity is affected by temperature, most existing service life prediction models use the Arrhenius equation to describe the relationship between temperature and chloride diffusivity; however, the variability of activation energy values have rarely been considered. In this investigation, a statistical model using Monte Carlo method is established for the prediction of concrete’s service life considering the temperature effect on chloride diffusivity, in which the values of activation energy for diffusivity was determined based on an empirical correlation between diffusivity and activation energy value. The proposed model could theoretically provide a more suitable method for the prediction of concrete’s service life under various temperatures.","Service life; Chloride diffusivity; Activation energy; Monte Carlo method","en","conference paper","","","","","","","","","","","","","",""
"uuid:102b76a8-c9bf-40ff-bf3b-31191d107ae9","http://resolver.tudelft.nl/uuid:102b76a8-c9bf-40ff-bf3b-31191d107ae9","Application of MAT device to characterize the adhesive bonding strength of membrane in orthotropic steel deck bridges","Liu, X.; Scarpas, A.; Li, J.; Tzimiris, G.","","2012","In order to characterize adequately the adhesive bonding strength of the various membranes with surrounding materials on orthotropic steel decks and collect the necessary parameters for FE modeling, details of the Membrane Adhesion Test (MAT) have been introduced. Analytical constitutive relations of MAT device have been derived on the basis of Williams (1997). Furthermore, on the basis of experimental data obtained from MAT, ranking of the bonding characteristics of various membrane products is demonstrated as well as the role of other influencing factors, such as the types of substrate and test temperatures.","membrane; orthotropic steel deck bridge; adhesive bonding strength; finite element; strain energy release rate","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:26d5e16d-b45e-4a0d-b61c-55bf23750ea1","http://resolver.tudelft.nl/uuid:26d5e16d-b45e-4a0d-b61c-55bf23750ea1","The integration of transportation with the energy system in China","Lund, H.; Liu, W.; Beella, S.K.; Brezet, J.C.","","2011","","","en","conference paper","","","","","","","","","Industrial Design Engineering","Design Engineering","","","",""
"uuid:b91ed20e-60ff-43f6-9533-aebace6c78fa","http://resolver.tudelft.nl/uuid:b91ed20e-60ff-43f6-9533-aebace6c78fa","?PIV study of droplet fission in a bifurcating microchannel","Sun, C.; Liu, S.L.","","2013","In this study, we exploit the ?PIV (microscale particle image velocimetry) analysis to investigate the internal flow field of a dividing droplet in a bifurcating microchannel. Herein, droplets are generated by a flow focusing scheme and sent downstream toward an asymmetric bifurcation. In order to control the proportion of split droplets, we design the lengths and widths of the two arms such that the ratio of the flow resistances conforms to the designate values and pressure drops are equalized across the two daughter channels. During the experiments, three bifurcation angles (? = 30°, 60°, 180°) and four flow resistance ratios (R1/R2 = 0.01, 0.13, 0.38, 0.62) are considered. Similar to the results reported by previous literatures, a pair of counter-rotating recirculation is formed inside the droplet that travels along the mother channel in order to roll against the walls. When the droplet approaches the bifurcation of ? = 30° or 60°, however, the presence of the pinnacle break up the two vortices and a saddle point emerges. Once the interface of the droplet touches the vertex of the bifurcation, fluid tends to be pulled into the wider branch because of smaller capillary pressure needed. As a result, the saddle point shifts toward the wider branch and eventually the sharp edge of the bifurcation snap off the end cap of the droplet. Once the fission is complete, flows inside the two daughter droplets gradually retrieve back to the normal rolling configuration as they travel downstream. For the bifurcation of ? = 180°, however, the droplet monotonically slows down when it approaches the T branch. The opposite two arms pull the droplet apart more evenly without the aid of a sharp edge and the saddle point remains close to the stagnation point during the fission process. We find that the size ratio of the two daughter droplets is less extreme for ? = 180° and they nearly move in the same speed after the fission.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:1f58457d-799f-4d76-9269-416ae2556385","http://resolver.tudelft.nl/uuid:1f58457d-799f-4d76-9269-416ae2556385","Effect of hysteresis on the stability of an embankment under transient seepage","Liu, K.; Vardon, P.J.; Arnold, P.; Hicks, M.A.","","2015","Hysteresis is a well-known phenomenon that exists in the soil water retention behaviour of unsaturated soils. However, there is little research on the effects of hysteresis on slope stability. If included in slope stability analyses, commonly the suction in the unsaturated zone is taken as non-hysteretic. In this paper, the authors investigate the effect of hysteresis on the stability of an embankment under transient seepage. A scenario of water level fluctuation has been assessed, in which a cyclic external water level fluctuates between a low and high level. It was found that the factor of safety (FOS), the volumetric water content and the suction in the unsaturated zone are significantly affected by hysteresis. It was also found that, when the period of water level fluctuation in one cycle is relatively small, there is little difference in the FOS between the hysteretic case and non-hysteretic case. However, when the period exceeds a certain threshold value, significant differences between these two cases can be observed. Compared to the case in which hysteresis is considered, the FOS is higher in the case which does not consider hysteresis. This suggests that the non-hysteretic case may overestimate slope stability, leading to a potentially dangerous situation. Moreover, the period under which there emerge large differences between the hysteretic and non-hysteretic case is strongly related to the magnitude of hydraulic conductivity and the period of the cyclic water level fluctuation.","","en","conference paper","IOP Publishing","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:4ed4d6cf-a11f-47a1-a714-1e35dd4e8d96","http://resolver.tudelft.nl/uuid:4ed4d6cf-a11f-47a1-a714-1e35dd4e8d96","Probabilistic Analysis of Velocity Distribution under Earth Embankments for Piping Investigation","Liu, K.; Hicks, M.A.; Vardon, P.J.; Jommi, C.","","2015","Piping has been attributed as a major cause of dam and embankment failures. Current national prediction models to predict piping use the hydraulic gradient between the upstream and downstream water levels as an indicator. They are deterministic and take no account of preferential pathways. However, piping usually initiates from a discrete downstream location and recent research indicates that piping happens when the water velocity reaches a critical value. The local velocity close to the downstream ground surface is strongly related to the inherent heterogeneity of the soil. This paper takes account of the spatial variability of hydraulic conductivity. Based on stochastic seepage analysis, local velocities in and under an embankment are computed. The results show that, when the coefficient of variation of hydraulic conductivity is small, the locations of the maximum local velocity from 500 realizations aggregate into a small area which is near the downstream toe of the embankment. In contrast, increasing the coefficient of variation scatters the possible locations of the maximum local velocity. Two typical situations are identified: one situation where the maximum local velocity is close to the downstream ground surface, and the other where the maximum local velocity is far from the downstream ground surface and located near the center of the foundation. In the first situation it is easier to reach the critical value to initiate piping because the maximum local velocity is near the ground surface, but it is also relatively easy to protect against. In contrast, in the second situation it is easier to form a passage for piping development once piping is initiated. This is due to the velocity towards the center of the foundation increasing.","piping investigation; velocity distribution; hydraulic conductivity; spatial variability; stochastic seepage","en","conference paper","","","","","","","","","","","","","",""
"uuid:0d51b79a-e476-4597-a864-5826171d95bf","http://resolver.tudelft.nl/uuid:0d51b79a-e476-4597-a864-5826171d95bf","Towards an Experimental Platform for Inland Waterway Ship Navigation","Liu, C.","Lodewijks, G. (advisor)","2014","","Inland waterway intelligence; autonomous navigation platform; simulation systems","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:cc67e759-adf7-4949-9ff2-33f441872c79","http://resolver.tudelft.nl/uuid:cc67e759-adf7-4949-9ff2-33f441872c79","The application model of 3D cadastre in practical registration for real estate in China","Zhang, Jiyi; Li, Gang; Liu, Youzhi; Yin, Pengcheng; Yu, Jinyu; Shi, Zhifeng","","2018","Since the introduction of the concept of 3D cadastre, a great many of significant research results have been done. To promote the development of 3D cadatre, 3D cadastre working group sponsored by International Federation Surveyors (FIG) commissions 3 and 7 was organized by Delft University of Technology in 2001. This working group provides a research and communication platform for the 3D cadastral researchers in the world. With the development of 3D cadastre in recent decades, a great many of technologies including 3D cadastral data acquisition, data validation, data visualization and so on have been developed, which met the basic needs of 3D cadastre. Furthermore, 3D cadastre management systems had been carried out in service in several countries and regions include Queensland in Australia, the Netherland, Sweden, and so on. Compared with the above countries and regions, 3D cadastral development in China is relatively backward. Lots of theoretical researches include the data modeling and the register frameworks of 3D cadastre were made by Chinese researchers. However, most of the existing studies are carried out from the perspective of theoretical and with few associated practical applications in cadastral registration and management. The purpose of developing 3D cadastre is to better serve the needs of cadastral management. With the development of nowadays socio-economic, demands for 3D cadastral visualization, multi-purpose real estate registration and other 3D cadastral data services are increasing. This paper mainly introduces the ideas and parts of research results of a joint research project launched by Nanjing Normal University and Bureau of Land and Resources of Xinyi recently. The project is also supported by the Department of Land and Resources of Jiangsu and Bureau of Land and Resources of Xuzhou. The aim of the project is to establish a 3D cadastral management, data visualization and registration model for cadastral management and apply them in regional registration. Firstly, we review the real estate registration development history as the background of this project. Secondly, problems and public complaints refer to current cadastral registration are analyzed as the demands for 3D cadastral management and registration. A 3D cadastral modeling method adapted to practical demands is briefly introduced at last.","social public services; 3D cadaster; real estate; 3D cadastral application; data integration","en","conference paper","","","","","","","","","","","","","",""
"uuid:4125c809-f46f-4f2f-9b27-934dca20f353","http://resolver.tudelft.nl/uuid:4125c809-f46f-4f2f-9b27-934dca20f353","Studying the effect of optimizing the image quality in saliency regions at the expense of background content","Alers, H.; Liu, H.; Redi, J.; Heynderickx, I.","","2010","Manufacturers of commercial display devices continuously try to improve the perceived image quality of their products. By applying some post processing techniques on the incoming image signal, they aim to enhance the quality level perceived by the viewer. Applying such techniques may cause side effects on different portions of the processed image. In order to apply these techniques effectively to improve the overall quality, it is vital to understand how important quality is for different parts of the image. To study this effect, a three-phase experiment was conducted where observers were asked to score images which had different levels of quality in their saliency regions than in the background areas. The results show that the saliency area has a greater effect on the overall quality of the image than the background. This effect increases with the increasing quality difference between the two regions. It is, therefore, important to take this effect into consideration when trying to enhance the appearance of specific image regions.","image quality; saliency; region of interest; eye tracking","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Man-Machine Interaction","","","",""
"uuid:64e7724c-a053-4ba1-b926-aab9e0ea6ba2","http://resolver.tudelft.nl/uuid:64e7724c-a053-4ba1-b926-aab9e0ea6ba2","Design guidelines and empirical evaluation tools for inland ships","Rotteveel, E.; Hekkenberg, R.G.; Liu, J.","","2014","For inland ships, state-of-the-art hull form design is to a large extent based on experience, common sense, or adjusting previous designs. The idea therefore arises that further optimization is possible if the right knowledge is available. This knowledge is insufficiently available due to two main reasons. First, research into inland ship optimization is usually omitted from the design process due to the high cost compared to the design budget. This has led to a lack of fundamental knowledge about the complex hydrodynamics around the hull of an inland ship. Second, as a consequence of omitting optimization research, adequate empirical power prediction methods have not been developed for inland ships due to the lack of data. In the Top Ships project, effort is put in the development of an empirical method for inland ship power estimation as well as the derivation of design guidelines. Both should aid designers in the process of a new ship's design. The present paper gives an overview of available information from literature that aids to design of inland ships. Design guidelines and power prediction methods that relate to the design of a new inland ship are presented and discussed. The conclusions emerging from this review lead to the choice of focus in the Top Ships project. Therefore an introduction, the approach and intended results of this project are briefly presented as well.","inland ships; literature review; shallow water; ship resistance; inland ship improvements","en","conference paper","Budapest University of Technology and Economics","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:6053ec13-66e6-4fe7-9514-0cce7ba03d56","http://resolver.tudelft.nl/uuid:6053ec13-66e6-4fe7-9514-0cce7ba03d56","Experimental investigation of the hydrodynamic characteristics of heave plates using forced oscillation","Li, Jinxuan; Liu, Shuxue; Zhao, Min; Teng, Bin","","2013","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:d1579a9e-85e2-455c-8587-c531500922e9","http://resolver.tudelft.nl/uuid:d1579a9e-85e2-455c-8587-c531500922e9","Issues in the design of a no-reference metric for perceived blur","Liu, H.; Heynderickx, I.","","2010","Developing an objective metric, which automatically quantifies perceived image quality degradation induced by blur, is highly beneficial for current digital imaging systems. In many applications, these objective metrics need to be of the noreference (NR) type, which implies that quality prediction is based on the distorted image only. Recent progress in the development of a NR blur metric is evident from many promising methods reported in the literature. However, there is still room for improvement in the design of a NR metric that reliably predicts the extent to which humans perceive blur. In this paper, we address some important issues relevant to the design as well as the application of a NR blur metric. Its purpose is not to describe a particular metric, but rather to explain current concerns and difficulties in this field, and to outline how these issues may be accounted for in the design of future metrics.","image quality assessment, objective metric, perceived blur, edge, visual attention","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Mediamatics","","","",""
"uuid:af222a25-331f-4cd9-98be-8ed09c2d7cb4","http://resolver.tudelft.nl/uuid:af222a25-331f-4cd9-98be-8ed09c2d7cb4","Impacts of rudder profiles on ship manoeuvrability","Liu, J.","Hekkenberg, R.G. (advisor)","2015","","","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:6041c0f1-df13-4ee7-8e0f-8297efe7df55","http://resolver.tudelft.nl/uuid:6041c0f1-df13-4ee7-8e0f-8297efe7df55","Mechanical and chloride permeability properties of coarse fibre reinforced concrete","Zuo, Chenjia (Harbin Engineering University, China); Zhang, Yixiang (Harbin Engineering University, China); Tong, Yudong (Harbin Engineering University, China); Liu, Yanbo (Harbin Engineering University, China)","","2021","Synthetic fibers have been widely studied as reinforcing materials to increase the mechanical and durability properties of concrete. According to its diameter, fibers can be categorized as micro and macro (or coarse) fibers. As most research focuses on the mechanical properties of fiber reinforced concrete, its chloride permeability properties have been rarely studied. In this investigation, the compressive strength, flexural strength and chloride permeability of concrete with steel fibers (SF) and two types of coarse synthetic fibers, polypropylene (PP) fibers and polyvinyl alcohol (PVA) fibers were studied. It was found that steel fibers are able to improve both the compressive strength and the flexural strength of concrete. Addition of PP and PVA fibers could improve the flexible strength of concrete, with little effect on the compressive strength. Furthermore, test results show that addition of PP fibers slightly increases the chloride permeability of concrete while PVA fibers slightly reduce the chloride permeability.","Flexural strength; Coarse fibers; Mechanical properties; Chloride permeability","en","conference paper","","","","","","","","","","","","","",""
"uuid:24edf1b1-8da7-4a96-b2b2-1d7f41756798","http://resolver.tudelft.nl/uuid:24edf1b1-8da7-4a96-b2b2-1d7f41756798","""Improved geometric network model"" (IGNM): A novel approach for deriving connectivity graphs for indoor navigation","Mortari, F.; Zlatanova, S.; Liu, L.; Clementini, E.","","2014","Over the past few years Personal Navigation Systems have become an established tool for route planning, but they are mainly designed for outdoor environments. Indoor navigation is still a challenging research area for several reasons: positioning is not very accurate, users can freely move between the interior boundaries of buildings, path network construction process may not be easy and straightforward due to complexity of indoor space configurations. Therefore the creation of a good network is essential for deriving overall connectivity of a building and for representing position of objects within the environment. This paper reviews current approaches to automatic derivation of route graphs for indoor navigation and discusses some of their limitations. Then, it introduces a novel algorithmic strategy for extracting a 3D connectivity graph for indoor navigation based on 2D floor plans.","indoor navigation; route graphs; Geometric Network Model; semantic building; path finding; 2D floor plan","en","conference paper","International Society for Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","Architecture and The Built Environment","OTB","","","",""
"uuid:bd1cbe43-3abe-4865-bccf-e249340c5547","http://resolver.tudelft.nl/uuid:bd1cbe43-3abe-4865-bccf-e249340c5547","Comparing subjective image quality measurement methods for the creation of public databases","Redi, J.; Liu, H.; Alers, H.; Zunino, R.; Heynderickx, I.","","2010","","image quality; subjective quality assessment; psychometrics; single stimulus; quality ruler","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Man-Machine Interaction","","","",""
"uuid:43151407-c489-4c91-83bb-20a64cba0e53","http://resolver.tudelft.nl/uuid:43151407-c489-4c91-83bb-20a64cba0e53","Near-infrared optical coherence tomography for the inspection of fiber composites","Liu, P.; Yao, L.; Groves, R.M.","","2015","Optical coherence tomography (OCT) is a non-invasive imaging method, which allows the econstruction of three dimensional depth-resolved images with microscale resolution. Originally developed for biomedical diagnostics, nowadays it also shows a high potential for applications in the field of non-destructive testing (NDT). This work demonstrates how OCT could help to investigate the delamination growth in fiber composites. A customized OCT system was built with a near-infrared light source with center wavelength at 1550 nm. It was used as an inline NDT tool to monitor the crack tips and image the crack surfaces within a glass fiber composite under static loading. For carbon fiber composites, although it is difficult to acquire the internal structures due to their opaque property, OCT can still work as a surface profilometer to reconstruct 3D crack surface profiles, providing substantial information for the study of crack growth in the composites.","","en","conference paper","DGZfP","","","","","","","","Aerospace Engineering","Aerospace Structures and Materials","","","",""
"uuid:3483a725-f01f-4dcb-8bed-633ea5b23aa9","http://resolver.tudelft.nl/uuid:3483a725-f01f-4dcb-8bed-633ea5b23aa9","In situ observation of tricalcium aluminate dissolution in water","Ye, Shaoxiong (Southeast University, China); Feng, Pan (Southeast University, China - State Key laboratory of High Performance Civil Engineering Materials, China); Liu, Yao (Southeast University, China); Liu, Jiaping (Southeast University, China - State Key laboratory of High Performance Civil Engineering Materials, China)","","2021","The nanoscale dissolution flux of tricalcium aluminate (C3A) in flowing water is characterized in situ by Digital Holographic Microscopy (DHM). The pure dissolution of C3A in flowing water with a flow rate of 34 ml·min-1 before the precipitation of hydrated phases only lasted about one quarter of a second, and the pure dissolution rate mostly falls in the range of 500 ~ 1500 μmol·m-2·s-1. Subsequently, foil shape hydration product formed and rapidly covered the surface of C3A, leading to the dramatic decrease of the overall dissolution rate to 55 ± 10 μmol·m-2·s-1.","DHM; C3A; rapid dissolution","en","conference paper","","","","","","","","","","","","","",""
"uuid:c6ab174b-f60e-4323-a255-537f1920c115","http://resolver.tudelft.nl/uuid:c6ab174b-f60e-4323-a255-537f1920c115","An effective strategy for porting C++ applications on cell","Varbanescu, A.L.; Sips, H.; Ross, K.A.; Liu, Q.; Liu, L.K.; Natsev, A.; Smith, J.R.","","2007","In this paper we present a solution for efficient porting of sequential C++ applications on the Cell B.E. processor. We present our step-by-step approach, focusing on its generality, we provide a set of code templates and optimization guidelines to support the porting, and we include a set of equations to estimate the performance gain of the new application. As a case-study, we show the use of our solution on a multimedia content analysis application, named MARVEL. The results of our experiments with MARVEL prove the significant performance increase in favor of the application running on Cell when compared with the reference implementation.","cell BE processor; multi-core; MPSoC; parallelization; porting technique; C++ applications","en","conference paper","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:0aa6364a-0e27-40a8-b186-1721c7de4124","http://resolver.tudelft.nl/uuid:0aa6364a-0e27-40a8-b186-1721c7de4124","Multi-phase modelling of multi-species transport in concrete: In case of electrochemical protection for both ASR and chloride attack","Liu, Qing-feng (Shanghai Jiao Tong University, China); Mao, Li-xuan (University of Plymouth, UK); Hu, Zhi (Loughborough University, UK)","","2021","Existing reinforced concrete structures experience severe durability degradation when subjected to alkali–silica reaction (ASR) and chloride attack. A special electrochemical rehabilitation treatment, containing lithium compound anolyte, has been developed to drive lithium ions into concrete as well as remove chlorides simultaneously, for mitigating both the ASR-induced cracks and the chloride-induced corrosion. Good performance of introduced lithiums in controlling ASR-induced expansion has already been proved. Unfortunately, the migration mechanism of lithium in concrete under an external electric field is seldom investigated in existing literature. In this study, with help of the “double-multi” model, the efficiency of impregnation of lithium ions and simultaneously the removal of chloride ions through a specific electrochemical treatment are numerically evaluated, which results into the distribution profiles of all typical ionic species. The heterogeneous concrete model examines the aggregate effect, especially on the interaction with lithiums which are supposed to mitigate ASR. The ionic interaction between different species and the electrochemical reaction at electrodes are also considered. Through a relative thorough modelling of multiphase and multi-species, a systemic parametric analysis based on a series of significant factors during electrochemical treatment (e.g., current density, treatment time, temperature, cathode position and concentration of lithium solution) reveals some important tendencies of ionic electromigration in concrete, which are supposed to guide the field application.","Multispecies; Electrochemical Rehabilitation; Chloride; Alkali–Silica Reaction; Lithium","en","conference paper","","","","","","","","","","","","","",""
"uuid:377e7d9c-4cb2-4167-bc6c-3b076f2c39a9","http://resolver.tudelft.nl/uuid:377e7d9c-4cb2-4167-bc6c-3b076f2c39a9","Time-domain hybrid method for simulating large amplitude motions of ships advancing in waves","Liu, Shukui","","2010","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:e760a00c-77ea-470e-b0f5-905d061e7be9","http://resolver.tudelft.nl/uuid:e760a00c-77ea-470e-b0f5-905d061e7be9","Characterizing the generation and flows of construction and demolition waste in China","Zheng, Lina; Duan, Huabo; Liu, Gang","","2017","Abstract only. Associated with the continuing increase of construction activities such as infrastructure projects, commercial buildings, and housing programs, China has been experiencing a rapid increase of construction and demolition (C&D) waste. Till now, the generation and flows of China’s C&D waste has not been well understood. This paper aims to provide an explicit analysis of this based on a weight-per-construction- area method. Our results show that approximately 2.36 billion tonnes of C&D waste were generated in China annually during the period of 2003–2013, of which demolition waste and construction waste contributed to 97% and 3%, respectively, in 2013. East China contributed over half of the total C&D waste in China due to their rapid economic development and expansion of cities, followed by Middle China (21%) and South China (11%). Potential economic values from the recycling of C&D waste were found to vary from 201 billion (the worst scenario, i.e., the current practice of C&D waste management) to 401 billion US dollars in 2013 (the most optimistic scenario, i.e., C&D waste is assumed to be well recycled); and the landfill space demands were estimated to range from 7504 millionm3 (the worst scenario) to 706 mil- lionm3 (the most optimistic scenario) accordingly. Consequently, increasing the recycling rate and reducing landfill rate of C&D waste could not only improve the potential recycling economic values, but also dramatically reduce land use and potential environmental impacts.","C&D waste; Waste characterization; Economic values; Land space; China","en","conference paper","","","","","","","","","","","","","",""
"uuid:bd53a9b0-f0c7-4b5a-941a-55834b63750c","http://resolver.tudelft.nl/uuid:bd53a9b0-f0c7-4b5a-941a-55834b63750c","A proposal for standard manoeuvres and parameters for the evaluation of inland ship manoeuvrability","Liu, J.","Hekkenberg, R.G. (advisor)","2014","","","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:40b0381f-684a-4a3a-ac3e-02de9aa99990","http://resolver.tudelft.nl/uuid:40b0381f-684a-4a3a-ac3e-02de9aa99990","Potential of semiconductor nanowires for single photon sources","Harmand, J.C.; Liu, L.; Patriarche, G.; Tchernycheva, M.; Akopian, N.; Perinetti, U.; Zwiller, V.","","2009","The catalyst-assisted growth of semiconductor nanowires heterostructures offers a very flexible way to design and fabricate single photon emitters. The nanowires can be positioned by organizing the catalyst prior to growth. Single quantum dots can be formed in the core of single nanowires which can then be easily isolated and addressed to generate single photons. Diameter and height of the dots can be controlled and their emission wavelength can be tuned at the optical telecommunication wavelengths by the material composition. The final morphology of a wire can be shaped by the radial/axial growth ratio, offering the possibility to form single mode optical waveguides with a tapered end for efficient photon collection.","semiconductor nanowires; quantum dots; single photon source; photonic wire","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:15757723-3ac7-4188-a489-4218436e2aa0","http://resolver.tudelft.nl/uuid:15757723-3ac7-4188-a489-4218436e2aa0","Multi-scale characterization and modelling of damage evolution in nuclear Gilsocarbon graphite","Liu, D.; Heard, P.; Savija, B.; Smith, G.; Schlangen, E.; Flewitt, P.","","2015","In the present work, the microstructure and mechanical properties of Gilsocarbon graphite have been characterized over a range of length-scales. Optical imaging, combined with 3D X-ray computed tomography and 3D high-resolution tomography based on focus ion beam milling has been adopted for microstructural characterization. A range of small-scale mechanical testing approaches are applied including an in situ micro-cantilever technique based in a Dualbeam workstation. It was found that pores ranging in size from nanometers to tens of micrometers in diameter are present which modify the deformation and fracture characteristics of the material. This multi-scale mechanical testing approach revealed the significant change of mechanical properties, for example flexural strength, of this graphite over the length-scale from a micrometer to tens of centimeters. Such differences emphasize why input parameters to numerical models have to be undertaken at the appropriate length-scale to allow predictions of the deformation, fracture and the stochastic features of the strength of the graphite with the required confidence. Finally, the results from a multi-scale model demonstrated that these data derived from the micro-scale tests can be extrapolated, with high confidence, to large components with realistic dimensions.","x-ray tomography; fracture; nuclear materials","en","conference paper","Materials Research Society","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:e054ee01-ac1a-45f6-ad08-ed49be009ef1","http://resolver.tudelft.nl/uuid:e054ee01-ac1a-45f6-ad08-ed49be009ef1","Identifying priority areas based on a thirteen years evolution of satisfaction with public transport and its determinants","Cats, O.; Abenoza, R.F.; Liu, C.; Susilo, Y.O.","","2015","","","en","conference paper","TRB","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:dec73624-fb7f-4795-8d1d-a136d599356e","http://resolver.tudelft.nl/uuid:dec73624-fb7f-4795-8d1d-a136d599356e","The first engineered self-healing asphalt road: How is it performing?","Liu, Q.; Schlangen, H.E.J.G.; Van Bochove, G.","","2013","Porous asphalt shows excellent performance in both noise reduction and water drainage. Although porous asphalt has these great qualities, its service life is much shorter (sometimes only half) compared to dense graded asphalt roads. Ravelling, which is the loss of aggregate particles from the surface layer, is the main damage mechanism of porous asphalt surface wearing courses. In this research, an induction healing approach (namely, activating the healing process of asphalt concrete through induction heating) was developed to enhance the durability of the porous asphalt roads. Steel fibres are added to a porous asphalt mixture to make it electrically conductive and suitable for induction heating. When micro cracks are expected to occur in the asphalt mastic of the pavement, the temperature of the mastic can be increased locally by induction heating of the steel fibres so that porous asphalt concrete can repair itself and close the cracks through the high temperature healing of the bitumen (diffusion and flow). The closure of micro cracks will prevent the formation of macro cracks. In such a way, ravelling can be avoided or delayed in the end. The healing potential of porous asphalt concrete with steel wool fibre was also evaluated in this research with both cylinder and beam samples. Damaged porous asphalt concrete with steel wool fibre can greatly restore its stiffness, strength and fatigue life with induction heating, which proves that the healing capacity of porous asphalt concrete with steel wool fibre is enhanced by induction heating. The optimal induction heating temperature is 85 ºC for porous asphalt concrete to obtain the best healing rate. Reheating does not decrease the healing rate of porous asphalt concrete, which means that heating can be repeated when cracks appear again. To apply the induction healing technology in real porous asphalt road, a trial section was constructed on Dutch motorway A58 in December 2010. This trial section survived the past three winters perfectly. Experiments were done on the cores drilled from the trial section and the results coincided with those on the laboratory made samples. The field cores showed good particle loss resistance, high strength, good fatigue resistance and high induction healing capacity. Based on the laboratory experiments and field experiences, induction healing can be a very good approach to enhance the durability of porous asphalt pavement.","asphalt concrete; application; induction heating; steel-wool fibres","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:5ceba8c6-2222-4081-8758-76bbd0740f70","http://resolver.tudelft.nl/uuid:5ceba8c6-2222-4081-8758-76bbd0740f70","Hydrologic and cryospheric processes observed from space","Menenti, M.; Li, X.; Wang, J.; Vereecken, H.; Li, J.; Mancini, M.; Liu, Q.; Jia, L.; Li, J.; Kuenzer, C.; Huang, S.; Yesou, H.; Wen, J.; Kerr, Y.; Cheng, X.; Gourmelen, N.; Ke, C.; Ludwig, R.; Lin, H.; Eineder, M.; Ma, Y.; Su, Z.B.","","2015","Ten Dragon 3 projects deal with hydrologic and cryosphere processes, with a focus on the Himalayas and Qinghai – Tibet Plateau, but not limited to that. At the 1st Dragon 3 Progress Symposium in 2013 a significant potential for a better and deeper integration appeared very clearly and we worked out an overview of the ten projects identifying specific issues and objectives shared by at least two projects. At the Mid Term Symposium in 2014 a joint session was held over two days. As regards cryospheric processes science highlights covered: Glacier flow velocity by optical and SAR features tracking and InSAR; Patterns in space and time of glacier flow velocity; Mass change estimated with DTM-s and altimetry; Reflectance and LST used to classify glacier surface and understand surface processes, Inventory and changes in the number and area of lakes in the Qinghai – Tibet Plateau 1970, 1990, 2000 and 2010; Deformation of permafrost along the Qinghai – Tibet railway. Highlights on hydrologic processes included: Global comparison of SMOS, ASCAT and ERA soil moisture data products; Relative deviations evaluated by climate zone; Soil moisture data products improved with ancillary data; Assimilation of FY - , TRMM and GPM precipitation data products in WRF; Improved algorithm and data products on fractional snow cover; Improvement of MODIS ET with assimilation of LST; TRMM data products evaluated in the Yangtze; Calibration of river basin models using LST; System to calibrate, correct and normalize (spatial, spectral) data collected by imaging spectral radiometers; Integration of data acquired by different sensors, e.g. ET Monitor with optical and microwave (SMOS, FY – 3) data; Hydrological data products used both for forcing and evaluation of Qinghai – Tibet Plateau hydrological model; Wetlands vulnerability assessed through changes in land cover 1987 – 2013; Multi incidence angle and multi – temporal SAR to monitor water extent. In the general session a proposal for a Dragon Water Cycle Initiative was presented.","terrestrial water cycle; multi sensor data products; glaciers; water resources; high elevation climate","en","conference paper","ISPRS","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:95854074-fe4a-4c32-85af-82068a92a0f8","http://resolver.tudelft.nl/uuid:95854074-fe4a-4c32-85af-82068a92a0f8","Self-healing supramolecular polymer and polymer nanocomposites (poster abstract)","Liu, Z.; Besseling, N.A.M.; Mendes, E.; Picken, S.J.","","2011","","self-healing; supramolecular polymers; nanocomposite; mechanical testing","en","conference paper","","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:1d5000f2-7958-4369-90b2-cf6b91b03645","http://resolver.tudelft.nl/uuid:1d5000f2-7958-4369-90b2-cf6b91b03645","Dynamics of correlated random pulse trains","Liu, Shih-Chi","","1970","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:742d34f8-f9a1-4296-bd96-93b81e2ffc9c","http://resolver.tudelft.nl/uuid:742d34f8-f9a1-4296-bd96-93b81e2ffc9c","Impacts of rudder profiles on ship manoeuvrability","Liu, J.; Quadvlieg, F.; Hekkenberg, R.G.","","2015","The performance of a ship’s rudder largely determines its manoeuvrability, which includes turning ability, initial turning ability, yaw-checking ability and course-keeping ability. However, existing empirical formulas for rudder forces do not concern the rudder profile. This paper discusses the impacts of various rudder profiles on ship manoeuvrability. Instead of empirical formulas for rudder characteristics, Computational Fluid Dynamic methods (CFD) are applied to obtain lift and drag coefficients of five profiles. Then, the normal force coefficient of each profile is calculated and corrected for the aspect ratio. Commercial packages Pointwise and ANSYS ICEM generate the unstructured and structured mesh, respectively. ANSYS Fluent solves the Navier-Stokes equations. 2D steady-state viscous simulations of rudders in incompressible water are carried out with the k-w SST turbulence model. To test the impacts on manoeuvrability, a manoeuvring model is built in Python for the KVLCC2 tanker in deep water. Turning circle manoeuvres and zigzag manoeuvres are performed to compare the manoeuvring parameters. This paper concludes with insights into the impacts of rudder profiles on ship manoeuvrability.","ship rudders; RANS simulations; rudder profiles; ship manoeuvrability","en","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine & Transport Technology","","","",""
"uuid:de9388f3-7642-42a1-a8c2-018ae0aec796","http://resolver.tudelft.nl/uuid:de9388f3-7642-42a1-a8c2-018ae0aec796","DNS of natural convection in liquid metal with srtong magnetic field in retangular box","Liu, W.; Krasnov, D.; Thess, A.","Liu, W. (author); Krasnov, D. (author); Thess, A. (author)","2015","Direct numerical simulations of natural convection in liquid metal within rectangular box heated uniformly from below with uniform strong vertical magnetic field are conducted. The main aim is to explore the possibilities and mechanisms of convection instabilities in such flows. The effects of parameter range on the flow structure, i.e. variations in Hartmann number, Rayleigh number and aspect ratio, are analyzed. It is shown that the magnetic field can completely change the structure and orientation of convection rolls by leading a new flow structure lined magnetic field. And if the magnetic field is strong enough, convection in the system can be fully suppressed.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:05f618c7-d29c-4198-a136-eea5776785ba","http://resolver.tudelft.nl/uuid:05f618c7-d29c-4198-a136-eea5776785ba","Thermodynamic modelling of the reactions of self-healing agents and the chemical binding of aggressive ions in seawater","Wu, Xintong (South China University of Technology, China); Huang, Haoliang (South China University of Technology, China); Liu, Hao (South China University of Technology, China); Hu, Jie (South China University of Technology, China); Wei, Jiangxiong (South China University of Technology, China); Yu, Qijun (South China University of Technology, China)","","2021","In this study, a new concept on self healing of cracks that the ingressive ions in the crack is chemically bound to improve the self-healing efficiency was proposed for cracked cementitious materials in a marine environment. Thermodynamic modeling was performed to determine the appropriate masses and ratio of Ca2+ and Al3+ provided by chemical agents for a good efficiency of self-healing and chemical binding of Cl-, SO4 2-, and Mg2+ ions from sea water. It was found that when CaO-NaAlO2 and CaO-metakaolin are used as self-healing agents, Friedel’s salt, kuzel’s salt, ettringite and hydrotalcite can be formed and, thus, Cl-, SO4 2- and Mg2+ from sea water are chemically bound. Moreover, the volume of phase assemblages becomes larger, which facilitates the self-healing of cracks. For a ratio of 0.3 between the mass of self-healing agent to the mass of sea water, the removal efficiency of Clions for CaO-NaAlO2 agent with a Ca/Al of 5 reaches the maximum value, i.e. 87%, while for CaO-metakaolin agent the removal efficiency of Cl- ions reaches the maximum when the Ca/Al is 3. The chemical binding of Mg2+ and SO4 2- ions is hardly influenced by Ca/Al when it is larger than 2 and the removal efficiency approximates 100%.","self-healing; thermodynamic modeling; ion binding; marine environment","en","conference paper","","","","","","","","","","","","","",""
"uuid:673e9249-50de-45cd-a524-1217925af557","http://resolver.tudelft.nl/uuid:673e9249-50de-45cd-a524-1217925af557","Dynamic load approach in tanker design","Liu, Donald","","1992","","construction","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:64f2fc04-f957-410d-bc10-a7b285ef4f06","http://resolver.tudelft.nl/uuid:64f2fc04-f957-410d-bc10-a7b285ef4f06","Creating virtual receivers from drill-bit noise","Liu, Y.; Draganov, D.S.; Wapenaar, C.P.A.; Arntsen, B.","","2015","In the field of seismic interferometry using noise, surface waves and body waves between receivers have been retrieved by crosscorrelating recordings of uncorrelated noise sources to extract useful subsurface information. When the positions of the noise sources are known, inter-source interferometry can be applied to retrieve the wavefileds between sources, thus turning sources into virtual receivers. Previous applications of this form of interferometry assume impulsive point sources or transient sources with similar signatures. We investigate the requirements of applying inter-source seismic interferometry using drill-bit noise to retrieve the reflection responses at those positions. We show that an accurate estimate of the source function is essential for such application. The preprocessing involves using standard seismicwhile-drilling procedures, such as pilot crosscorrelation and pilot deconvolution to remove the drill-bit signatures in the data, and then applying crosscorrelation interferometry. Provided that pilot signals are reliable, drill-bit data can be redatumed from surface to the depth of boreholes using this inter-source interferometry approach without any velocity information of the medium. We show that a well-positioned image below the borehole can be obtained with just a simple velocity model using these reflection responses. We also discuss some of the practical hurdles that restrict its application offshore.","","en","conference paper","EAGE","","","","","","","","Civil Engineering and Geosciences","Geoscience & Engineering","","","",""
"uuid:807c63a4-dc79-48d9-8b4d-4561f7c3a8d9","http://resolver.tudelft.nl/uuid:807c63a4-dc79-48d9-8b4d-4561f7c3a8d9","Scale of Fluctuation for Geotechnical Probabilistic Analysis","Nie, X.; Zhang, J.; Huang, H.; Liu, Z.; Lacasse, S.","","2015","In the past few years, random field theory has been increasingly used to model the inherent soil variability. The scale of fluctuation is one of the important parameters describing a stationary random field. In this study, the factors affecting an accurate estimation of the scale of fluctuation were studied with numerical experiments to show how a proper sampling strategy can help improve the estimate of scale of fluctuation. Hypothetical data sets were generated from random field theory. Data were then sampled for different sampling strategies. The scale of fluctuation estimated from the sampling programs were compared with the predefined scale of fluctuation. The accuracy with which one can estimate scale of fluctuation depends on both the sampling intensity and extent of the sampling range. For the numerical example in this study, the sampling interval should be close enough such that 10 samples are measured within one scale of fluctuation, and the distance covered by the sampling should cover at least 100 scales of fluctuation.","random field; scale of fluctuation; spatial variability; parameter estimation; sampling strategy","en","conference paper","","","","","","","","","","","","","",""
"uuid:6f2fe376-15f1-423b-b8a1-9699e41814a4","http://resolver.tudelft.nl/uuid:6f2fe376-15f1-423b-b8a1-9699e41814a4","Viscoelastic stress modeling in cementitious materials using constant viscoelastic hydration modulus","Hansen, W.; Liu, Z.; Koenders, E.A.B.","","2014","Viscoelastic stress modeling in ageing cementitious materials is of major importance in high performance concrete of low water cement ratio (e.g. w/c ~0.35) where crack resistance due to deformation restraint needs to be determined. Total stress analysis is complicated by the occurrence of internal stresses due to shrinkage, which requires estimating the stress relaxation effect from tensile creep. This study presents a new and direct methodology for viscoelastic stress analysis based on measurement of the viscoelastic hydration modulus. Autogenous shrinkage, if restrained, creates an internal tensile stress condition which is uniform within a cross section. Autogenous shrinkage stresses develop within the porous hydration products. They are compressive stresses and if restrained by reinforcement a net tensile stress develops. Results show that the viscoelastic hydration modulus is approximately 8000-9000 MPa and is a constant material property. Total stress analysis can now be separated into two components, an elastic stress based on the Young’s modulus (typically in the range of 28000-34000 MPa) and a viscoelastic (time-dependent) stress based on measurement of timedependent strains (creep and shrinkage). The importance of reducing paste content for shrinkage stress control is demonstrated using the Pickett’s model.","autogenous shrinkage; High Performance Concrete (HPC); shrinkage stresses; modeling viscoelastic effects","en","conference paper","DCMat Ageing Centre, Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:01387120-a39a-477f-acea-e5b902b018fa","http://resolver.tudelft.nl/uuid:01387120-a39a-477f-acea-e5b902b018fa","Design study of a 10 MW MgB2 superconductor direct drive wind turbine generator","Abrahamsen, A.B.; Magnusson, N.; Liu, D.; Stehouwer, E.; Hendriks, B.; Polinder, H.","","2014","A superconducting direct drive generator based on field windings of MgB2 superconducting tape is proposed as a solution by mounting the generator in front of the blades using a king-pin nacelle design for offshore turbines with power ratings larger than 10 MW as investigated in the INNWIND.EU project.","superconducting generator; direct drive generator; offshore wind turbine; nacelle integration","en","conference paper","EWEA","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:5761199c-d383-4252-b06b-9248fadebccf","http://resolver.tudelft.nl/uuid:5761199c-d383-4252-b06b-9248fadebccf","Leveraging spatial model to improve indoor tracking","Liu, L.; Xu, W.; Penard, W.; Zlatanova, S.","","2015","In this paper, we leverage spatial model to process indoor localization results and then improve the track consisting of measured locations. We elaborate different parts of spatial model such as geometry, topology and semantics, and then present how they contribute to the processing of indoor tracks. The initial results of our experiment reveal that spatial model can support us to overcome problems such as tracks intersecting with obstacles and unstable shifts between two location measurements. In the future, we will investigate more exceptions of indoor tracking results and then develop additional spatial methods to reduce errors of indoor tracks.","spatial model; indoor tracking; semantics; topology","en","conference paper","International Society for Photogrammetry and Remote Sensing (ISPRS)","","","","","","","","Architecture and The Built Environment","Urbanism","","","",""
"uuid:ba358001-d778-4d9f-81ec-9a6009fede55","http://resolver.tudelft.nl/uuid:ba358001-d778-4d9f-81ec-9a6009fede55","Progress in multiscale computational electromagnetics in time domain","Tobón, L.; Chen, J.; Lee, J.; Yuan, M.; Zhao, B.; Liu, Q.H.","","2013","Many system-level electromagnetic design problems are multiscale and very challenging to solve. They remain a significant barrier to system design optimization for a foreseeable future. Such multiscale problems often contain three electrical scales, i.e., the fine scale (geometrical feature size much smaller than a wavelength), the coarse scale (geometrical feature size greater than a wavelength), and the intermediate scale between the two extremes. Existing computational tools are based on single methodologies (such as finite element method or finite-difference time-domain method), and are unable to solve large multiscale problems. We will present our recent progress in solving realistic multiscale system-level EM design simulation problems in time domain. The discontinuous Galerkin time domain method is used as the fundamental framework for interfacing multiple scales with finite-element method, spectral element method, and finite difference method. Numerical results demonstrate significant advantages of our multiscale method. A more detail discussion of the method is given in [1].","","en","conference paper","","","","","","","","","","","","","",""
"uuid:fabc0cb6-e868-4bf4-84c2-f45cfc7d6642","http://resolver.tudelft.nl/uuid:fabc0cb6-e868-4bf4-84c2-f45cfc7d6642","Design of an MgB2 race track coil for a wind generator pole demonstration","Abrahamsen, A.B.; Magnusson, N.; Jensen, B.B.; Liu, D.; Polinder, H.","","2014","An MgB2 race track coil intended for demonstrating a down scaled pole of a 10 MW direct drive wind turbine generator has been designed. The coil consists of 10 double pancake coils stacked into a race track coil with a cross section of 84 mm x 80 mm. The length of the straight section is 0.5 m and the diameter of the end sections is 0.3 m. Expanded to a straight section of 3.1 m it will produce about 1.5 T magnetic flux density in the air gap of the 10 MW 32 pole generator and about 3.0 T at the edge of the superconducting coil with an operation current density of the coil of 70 A/mm2.","","en","conference paper","IOP","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:ef511dec-c68d-4104-9861-b3ddc25b14d3","http://resolver.tudelft.nl/uuid:ef511dec-c68d-4104-9861-b3ddc25b14d3","A CMOS 128-APS linear array integrated with a LVOF for highsensitivity and high-resolution micro-spectrophotometry","Liu, C.; Emadi, A.; Wu, H.; De Graaf, G.; Wolffenbuttel, R.F.","","2010","A linear array of 128 Active Pixel Sensors has been developed in standard CMOS technology and a Linear Variable Optical Filter (LVOF) is added using CMOS-compatible post-process, resulting in a single chip highly-integrated highresolution microspectrometer. The optical requirements imposed by the LVOF result in photodetectors with small pitch and large length in the direction normal to the dispersed spectrum (7.2?m×300?m). The specific characteristics of the readout are the small pitch, low optical signals (typically a photocurrent of 100fA~1pA) and a much longer integration time as compared to regular video (typically 100?s~63s). These characteristics enable a very different trade-off between SNR and integration time and IC-compatibility. The system discussed in this paper operates in the visible part of the spectrum. The prototype is fabricated in the AMIS 0.35?m A/D CMOS technology.","Capacitive transimpedance amplifier; correlated double sampling; active pixel sensor; IC-compatible microspectrometers; LVOF; variable integration time","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Department of ME/EI","","","",""
"uuid:41d55ad7-b6d1-45db-a6af-64f12efa045d","http://resolver.tudelft.nl/uuid:41d55ad7-b6d1-45db-a6af-64f12efa045d","A proposal for standard manoeuvres and parameters for the evaluation of inland ship manoeuvrability","Liu, J.; Hekkenberg, R.G.; Rotteveel, E.","","2014","Inland ship manoeuvrability has more complex features than that of sea-going ships due to constrains of inland waterways and complicated ship configurations. In order to clarify the complexity of manoeuvring, impact factors of navigation environment and ship particulars are first analysed to point out the determinants for evaluation. After reviewing existing standards of testing manoeuvres and criteria, it is concluded that there is a lack of knowledge to develop design guidance for manoeuvring in shallow/restricted water, which is the common sailing condition for inland vessels, making a proper evaluation more difficult. For the purpose of achieving more realistic judgement on manoeuvrability, benchmark manoeuvres are proposed for discussion. Conclusions are drawn on the need of validation for the effectiveness of testing manoeuvres and elaborate manoeuvring criteria for inland vessels.","inland vessel manoeuvrability criteria; standard ship manoeuvres; manoeuvring capacity evaluation","en","conference paper","Budapest University of Technology and Economics","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:f2992578-745d-4558-a181-fe61dca590d9","http://resolver.tudelft.nl/uuid:f2992578-745d-4558-a181-fe61dca590d9","3D RANS simulations of shallow water effects on rudder hydrodynamic characteristics","Liu, Jialun","Hekkenberg, R.G. (advisor)","2014","","","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:ebc6e80e-3c9e-46a5-80be-c729524dd4f4","http://resolver.tudelft.nl/uuid:ebc6e80e-3c9e-46a5-80be-c729524dd4f4","Cryogenic suction pump mechanism for combined salt-and frost exposure","Borgnakke, C.; Hansen, W.; Kang, Y.; Liu, Z.; Koenders, E.A.B.","","2012","Freezing of water can be explained by the thermodynamic properties of the water due to the influence of temperature, pressure and special effects such as surface tension and salt solution. Gibbs free energy curves for ice and pore liquid containing different concentrations of NaCl (0-6%) are presented. These curves explain the onset of freezing in pores when the energy level for solid ice and pore liquid containing salt are equal. Upon further cooling a nonequilibrium condition develops since the Gibbs function for pure ice is less than the Gibbs function for the liquid. The theory also explains why ice nucleation is developing in the largest pores containing liquid, including air-voids. Below the nucleation temperature, a vacuum with low partial pressure of the water develops around the ice which starts a cryogenic suction pump. For a closed system (i.e. no external liquid) partial pore-drying develops leading to specimen shrinkage. In air-entrained concrete the ice growth may be accommodated within the pore system without structural damage. In the case external liquid is present, such as when the surface contains a salt solution, pore suction attracts surface liquid, and additional ice growth may not be accommodated within the pore system. Thus, a net expansion occurs. Frost expansion due to crystal growth is time-dependent and progresses as long as liquid is available and until thermodynamic equilibrium is re-established at a given temperature.","cryogenic suction; frost expansion; Gibbs-free energy; salt frost attack","","conference paper","RILEM Publications","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:1ffd6a66-b9b7-46b6-8a19-55ec4cde14d3","http://resolver.tudelft.nl/uuid:1ffd6a66-b9b7-46b6-8a19-55ec4cde14d3","Analyzing Spatial Variability of Geologic Profiles for Four Sites in Hong Kong","Liu, W.F.; Leung, Y.F.","","2015","This paper investigates the spatial variability characteristics of geologic profiles, including variations in thickness of marine clay deposits and rockhead levels, based on borehole data obtained from four sites in Hong Kong. The numbers of boreholes are approximately 100 in two cases, while the other two cases comprise more than 300 boreholes each. The large volume of data allows comprehensive statistical analyses to identify the spatial correlation/variability in subsurface profiles using the Restricted Maximum Likelihood (REML) method. The Matérn Autocorrelation model is adopted for its flexible functional form, with the parameters optimized using the Differential Evolution algorithm, in order to maximize the log likelihood value in REML. This technique is used to evaluate the spatial variability characteristics of geologic profiles, including parameters such as the spatial dependence and scale of fluctuation at the four sites. The effects of irregular sampling pattern, sample domain scale and sampling density on these parameters are also discussed based on the analyses. In addition, the existence of faults in two of the sites is found to significantly affect the spatial variability of rockhead level, as indicated by the reduced scales of fluctuation and spatial dependence in areas intersected by faults.","geological faults; spatial variability; geologic profiles; irregular sampling pattern","en","conference paper","","","","","","","","","","","","","",""
"uuid:924f8c26-5f52-44cd-84eb-ca0b339d0e87","http://resolver.tudelft.nl/uuid:924f8c26-5f52-44cd-84eb-ca0b339d0e87","A Research on the Transformation of Urban Form And Dynamics of Xiguan Area, Guangzhou","Tai, Y.; Liu, Y.","","2010","This paper mainly uses the method of Urban Morphology to study the transformation process of urban form of Xiguan historical district in Guangzhou, P.R.China. The paper tries to analyze the transformation process through studying the background of politics, economy, society, culture and natural condition of Xiguan area. It further discusses the structural feature and transformation rule, as well as analyzes the hidden dynamics. Considering time as the main factor, the paper mainly focuses on two aspects—form elements and dynamics, trying to study the relation between each other. The paper aims at concluding the overall transformation feature and rule of Xiguan area and bringing up some perspectives on the protection and continuity of traditional urban fabric and culture in the process of urban renewal. The body of this paper is organized through tracing the transformation of urban form in different periods of time. First, to study the foundation of form transformation through demonstrating the construction background of Xiguan area before Qing Dynasty; Second, to discuss separately the form feature of Qing Dynasty, the period of the Republic of China and the period after the foundation of New China. The form feature is mainly analyzed in several aspects as the street feature, property plot, building type, land use and street space; Finally, to summarize the transformation rule on the basis of overall analysis of transformation feature of Xiguan area, and then to conclude the main dynamics of form transformation, as well as to discuss the practical significance for the protection of Xiguan historical district and urban renewal.","Guangzhou Xiguan; urban form; transformation; dynamics","en","conference paper","ISUF","","","","","","","","Architecture and The Built Environment","Urbanism","","","",""
"uuid:1e09f575-f92d-4472-96b9-6cd8b5c523fa","http://resolver.tudelft.nl/uuid:1e09f575-f92d-4472-96b9-6cd8b5c523fa","Experimental study of membrane fatigue response for asphalt multisurfacing systems on orthotropic steel deck bridges","Liu, X.; Tzimiris, G.; Scarpas, T.; Hofman, R.; Voskuilen, J.","","2014","In order to adequately characterize the fatigue response of the various membranes with surrounding multilayer surfacing layers on orthotropic steel decks and collect the necessary parameters for FE modeling, the details of the cyclic Membrane Adhesion Tester (MAT) are introduced. The fatigue damage in membrane interface is related to the amount of dissipated work computed by using the measurement of actuator load and piston deformation during the loading cycle. The dissipated work, which is equivalent to the lost part of the total potential energy of the membrane, has been utilized to explain the incremental damage during the testing. Furthermore, using the experimental data obtained from MAT, ranking of the bonding characteristics of various membrane products is demonstrated as well as the role of other influencing factors, such as the types of substrate and test temperatures.","membrane; orthotropic steel deck bridge; fatigue; dissipated energy; adhesive bonding strength","en","conference paper","TRB","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:eae2517c-277f-4f63-b161-9e847f02b88b","http://resolver.tudelft.nl/uuid:eae2517c-277f-4f63-b161-9e847f02b88b","Self-healing supramolecular polymer nanocomposites","Liu, Z.; Besseling, N.A.M.; Mendes, E.; Picken, S.J.","","2013","Polyborosiloxanes (PBSs) are viscoelastic, transparent, colourless, self-healable polymer matrices, synthesized by reacting linear polydimethylsiloxanes (PDMSs) with boric acid (BA) above 150°C. BA takes part not only in cleaving the PDMS chains, but also contributes boronic-acid like moieties to the cleaved chain ends, which provide supramolecular self-healing capabilities. PBSs can be regarded as supramolecular elastomers, owing to the reversible cross links formed by the abundant hydroxyl groups. Therefore they are able to recover both the microscopic and macroscopic fractures intrinsically via hydrogen-bonds. Additionally, a glass transition temperature (Tg) as low as -90°C ensures chain mobility to PBSs molecules to heal cracks autonomously without extra heat supply. Compared to traditional carbon-based polymeric materials, PBSs provide a higher resistance to heat and light owing to the siloxane backbone. Upon increasing the degree of modification, PBSs get stronger on the one hand. However, PBSs also become less adhesive and start suffering from brittle fracture. Interestingly, the addition of nano-clay (Cloisite 30B) solves this problem. It does not make PBSs more brittle as it does in traditional thermal plastics. Instead, it renders PBSs ductile but strong. Comparing to PBSs, the PBSs/Cloisite 30B nanocomposites even exhibit an additional filler-induced mechanical relaxation at high frequency. This phenomenon implies that PBSs/Cloisite 30B nanocomposites can be applicable as self-healing shock absorber. Further investigations will be focusing on characterization of the selfhealing capacity, on strengthening the composites and on tuning the position of the filler-induced rheological response. Upon applying other (nano-sized) reinforcements, PBSs can be endowed with wide variety of targeted functionalities, for instance, stiffness by nano-clay; thermal conductivity by graphite or boron nitride; piezoelectric properties by lead zirconate titanate (PZT), etc. The presence of particles is also advantageous for the adhesive properties of the composites, because particles lower the number of hydrogen-bonds by, either isolating molecules or forming particle-molecule interaction.","polyborosiloxane (PBS); hydrogen-bonding; self-healing","en","conference paper","Magnel Laboratory for Concrete Research","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:b8dd2611-72f8-49c4-af00-a785c9dbab71","http://resolver.tudelft.nl/uuid:b8dd2611-72f8-49c4-af00-a785c9dbab71","BQC: A new multi-GNSS data quality checking toolkit","Liu, H.; Tang, G.; Imparato, D.; Cui, H.; Song, B.; Rizos, C.","","2014","Multi-GNSS networks, for example M-GEX and iGMAS, are set up and grow quickly with the development of new navigation systems and multi-GNSS receivers. It is unavoidable that GNSS signals are deteriorated by several error sources. Thus GNSS network operators, data users and receiver designers all require data quality checking (QC). However, few GNSS data QC software can process data of new navigation systems even modernized GPS signals. This paper introduces BQC, a multi-GNSS data QC toolkit which can process data of GPS/GLONASS/Galileo/Beidou recorded in RINEX version 2 or 3. Various QC outputs are written in QC report files as well as graphically displayed. Also in this paper, the cycle slip detection processing of BQC is emphasized as a key algorithm and compared with that of TEQC, the most widely-used GNSS data QC toolkit. The numerical results show that BQC reduces miss probability in cycle slip detection and repairs cycle slip effects on multipath sequences more reliable compared to TEQC.","","en","conference paper","Nederlands Instituut voor Navigatie","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:d4f799db-2cb1-4643-8b82-2a490a8c1a90","http://resolver.tudelft.nl/uuid:d4f799db-2cb1-4643-8b82-2a490a8c1a90","Generating navigation models from existing building data","Liu, L.; Zlatanova, S.","","2013","Research on indoor navigation models mainly focuses on geometric and logical models .The models are enriched with specific semantic information which supports localisation, navigation and guidance. Geometric models provide information about the structural (physical) distribution of spaces in a building, while logical models indicate relationships (connectivity and adjacency) between the spaces. In many cases geometric models contain virtual subdivisions to identify smaller spaces which are of interest for navigation (e.g. reception area) or make use of different semantics. The geometric models are used as basis to automatically derive logical models. However, there is seldom reported research on how to automatically realize such geometric models from existing building data (as floor plans) or indoor standards (CityGML LOD4 or IFC). In this paper, we present our experiments on automatic creation of logical models from floor plans and CityGML LOD4. For the creation we adopt the Indoor Spatial Navigation Model (INSM) which is specifically designed to support indoor navigation. The semantic concepts in INSM differ from daily used notations of indoor spaces such as rooms and corridors but they facilitate automatic creation of logical models.","indoor navigation; Navigation Model Generation; building data; indoor modelling","en","conference paper","ISPRS","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:84b18a44-2736-4e6d-8e9f-53338ccb0259","http://resolver.tudelft.nl/uuid:84b18a44-2736-4e6d-8e9f-53338ccb0259","The agent-construction system for procuring mega projects in China","Lu, W.; Liu, A.; Wang, H.; Wu, Z.","","2011","While heated discussions have been directed towards various innovative procurement systems such as PPP, PFI, and BOT that carry the great expectation to help materialise the projects and deliver value to the society, a procurement system named agent-construction system (ACS or in Chinese Dai Jian Zhi) is being prevailing in Chinas public procurement. This research aims to examine ACS by relating them to Chinas particular Political, Economics, Social, Technological, Environmental, and Legal (PESTEL) background. It is found that the ACS is promising in procuring public projects owing to two merits: (a) clearly defined right and responsibilities; and (b) appropriate allocation of resources and risks amongst parties involved. The research is particularly useful when governments worldwide are searching for innovative procurement approaches to help deliver public projects and services. It also sheds light on how to devise an innovative procurement system. A word of caution, nevertheless, is that readers should not follow this good practice slavishly. One ought to truly understand the essence of procurement innovation and devise suitable innovative procurement systems in a given PESTEL setting.","procurement innovation; Agent-Construction-System; construction procurement; China","en","conference paper","","","","","","","","","","","","","",""
"uuid:27a7b1a8-d6be-4730-83a2-b9011d7faa47","http://resolver.tudelft.nl/uuid:27a7b1a8-d6be-4730-83a2-b9011d7faa47","Impacts of rudder profiles on ship manoeuvrability","Liu, Jianlun","Hekkenberg, R.G. (advisor)","2015","","","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:b8962c1d-cdd9-4b64-9155-4376f96d4067","http://resolver.tudelft.nl/uuid:b8962c1d-cdd9-4b64-9155-4376f96d4067","Time-dependent mechanical and transport behaviors of Callovo-Oxfordian argillite","Liu, Z. (University of Lille, France); Shao, J. (University of Lille, France); Tang, Y. (University of Lille, France)","","2016","An experimental study was carried out on the time-dependent mechanical and transport behaviors of the Callovo-Oxfordian (Cox) argillite, the geological barriers for high-level radioactive waste disposal in France. Six coupled one-step creep tests under the same confining pressure 6MPa and different deviatoric stresses were proposed to investigate the effect of deviatoric stress on the evolution of creep deformation and gas permeability in creep process. Another three multi-step creep tests under confining pressure (Pc) of 2, 6 and 12MPa were carried out to investigate the effect of confining pressure (mean stress). The results show that the creep strains can be enhanced by both the deviatoric and mean stress. The mean stress can reduce importantly the permeability of the Cox argillite but the deviatoric stress shows no evident effect. The gas permeability of the Cox argillite keeps decreasing when deviatoric stress is smaller than 84% of its peak strength at confining pressure of 6MPa. The structural anisotropic effect is also discussed according to the experimental results.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:0012bc0e-0edc-406d-9bcd-01a57c77e0d1","http://resolver.tudelft.nl/uuid:0012bc0e-0edc-406d-9bcd-01a57c77e0d1","Studying the relative impact of ghosting and noise on the perceived quality of MR images","Liu, H.; Koonen, J.; Fuderer, M.; Heynderickx, I.","","2012","In current magnetic resonance (MR) imaging systems, design choices are confronted with a trade-off between structured (i.e. artifacts) and unstructured noise. The impact of both types of noise on perceived image quality, however, is so far unknown, while this knowledge would be highly beneficial for further improvement of MR imaging systems. In this paper, we investigate how ghosting artifacts (i.e. structured noise) and random noise, applied at the same energy level in the distortion, affect the perceived quality of MR images. To this end, a perception experiment is conducted with human observers rating the quality of a set of images, distorted with various levels of ghosting and noise. To also understand the influence of professional expertise on the image quality assessment task, two groups of observers with different levels of medical imaging experience participated in the experiment: one group contained fifteen clinical scientists or application specialists, and the other group contained eighteen naïve observers. Experimental results indicate that experts and naïve observers differently assess the quality of MR images degraded with ghosting/noise. Naïve observers consistently rate images degraded with ghosting higher than images degraded with noise, independent of the energy level of the distortion, and of the image content. For experts, the relative impact of ghosting and noise on perceived quality tends to depend on the energy level of the distortion and on the image content, but overall the energy of the distortion is a promising metric to predict perceived image quality","MRI, perceived image quality, ghosting, noise, human visual system","en","conference paper","SPIE (International Society for Optical Engineering)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:478dd071-97cc-472c-b860-c3433b73e627","http://resolver.tudelft.nl/uuid:478dd071-97cc-472c-b860-c3433b73e627","Assessment of the dynamic pressure measurement on a loaded conveyor belt using a tactile pressure sensor","Liu, X.","Pang, Y. (advisor)","2015","","conveyor belt; bulk material; applicability; pressure; tactile pressure sensor","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:5ef90508-27bc-4687-b64a-42387b7b378e","http://resolver.tudelft.nl/uuid:5ef90508-27bc-4687-b64a-42387b7b378e","The first engineered self-healing asphalt road: How is it performing?","Liu, Q.; Schlangen, H.E.J.G.; Van Bochove, G.","","2013","Porous asphalt shows excellent performance in both noise reduction and water drainage. Although porous asphalt has these great qualities, its service life is much shorter (sometimes only half) compared to dense graded asphalt roads. Ravelling, which is the loss of aggregate particles from the surface layer, is the main damage mechanism of porous asphalt surface wearing courses. In this research, an induction healing approach (namely, activating the healing process of asphalt concrete through induction heating) was developed to enhance the durability of the porous asphalt roads. Steel fibres are added to a porous asphalt mixture to make it electrically conductive and suitable for induction heating. When micro cracks are expected to occur in the asphalt mastic of the pavement, the temperature of the mastic can be increased locally by induction heating of the steel fibres so that porous asphalt concrete can repair itself and close the cracks through the high temperature healing of the bitumen (diffusion and flow). The closure of micro cracks will prevent the formation of macro cracks. In such a way, ravelling can be avoided or delayed in the end. The healing potential of porous asphalt concrete with steel wool fibre was also evaluated in this research with both cylinder and beam samples. Damaged porous asphalt concrete with steel wool fibre can greatly restore its stiffness, strength and fatigue life with induction heating, which proves that the healing capacity of porous asphalt concrete with steel wool fibre is enhanced by induction heating. The optimal induction heating temperature is 85 ºC for porous asphalt concrete to obtain the best healing rate. Reheating does not decrease the healing rate of porous asphalt concrete, which means that heating can be repeated when cracks appear again. To apply the induction healing technology in real porous asphalt road, a trial section was constructed on Dutch motorway A58 in December 2010. This trial section survived the past three winters perfectly. Experiments were done on the cores drilled from the trial section and the results coincided with those on the laboratory made samples. The field cores showed good particle loss resistance, high strength, good fatigue resistance and high induction healing capacity. Based on the laboratory experiments and field experiences, induction healing can be a very good approach to enhance the durability of porous asphalt pavement.","asphalt concrete; application; induction heating; steel-wool fibres","en","conference paper","","","","","","","","","","","","","",""
"uuid:415b16fe-3e50-44b0-8514-d052c350ffa4","http://resolver.tudelft.nl/uuid:415b16fe-3e50-44b0-8514-d052c350ffa4","A Proposal for Standard Manoeuvres and Parameters for the Evaluation of Inland Ship Manoeuvrability","Liu, J.","Hekkenberg, R.G. (advisor)","2014","","","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:5060a78a-b80c-4822-9c6d-532544c89035","http://resolver.tudelft.nl/uuid:5060a78a-b80c-4822-9c6d-532544c89035","Explicit and semi-implicit characteristic based split (CBS) schemes for viscoelastic flow calculations","Liu, C.B.; Nithiarasu, P.","","2006","A fully explicit characteristic based split (CBS) based artificial compressibility (AC) method and a semi-implicit CBS scheme, for viscoelastic flow over a circular cylinder placed in a rectangular channel are presented in this paper. In the explicit form the pressure equation is solved explicitly by introducing an artificial compressibility parameter, while a pressure Poisson equation is implicitly solved in the semi-implicit form. Three different constitutive models have been employed to investigate flow past a circular cylinder. They are the Oldroyd-B, upper convected Maxwell (UCM) and simplified Phan-Thien/Tanner (PTT) models. The main difference from the previously published results is that in the present study the artificial dissipation is not switched on until it is absolutely essential. No loss of convergence to steady state was observed in any of the results presented in this paper. Comparison of the present numerical solution with other available numerical data shows that the CBS algorithm is in excellent agreement at lower Deborah numbers. However, at higher Deborah numbers, the present results differ substantially from other numerical results. The two presented schemes give almost identical drag force values and the solutions presented demonstrate that both schemes are suitable for viscoelastic flow calculations.","CBS scheme; artificial compressibility(AC); semi-implicit; Oldroyd-B; Maxwell; PTT; viscoelastic flow","en","conference paper","","","","","","","","","","","","","",""
"uuid:0f6d6108-901d-42ba-ab9d-5a64856c5d66","http://resolver.tudelft.nl/uuid:0f6d6108-901d-42ba-ab9d-5a64856c5d66","Analysing the transmission pattern within the framework of housing supply and monetary policy in Australia","Liu, J.; London, K.A.","","2011","Housing supply is one of important components of the housing sector. Compared with an increasingly strong housing demand, the growth rates of total housing stock in Australia have exhibited a downward trend since the end of the 1990s whilst the significant adjustments in the Australian monetary policy were being implemented. This research aims to estimate the nature of the relationship between housing supply and monetary policy by a vector error correction model. According to the empirical results, a transmission pattern comprised of the indicators associated with housing supply and monetary policy can be identified, which suggests that there is a significant interrelationship between monetary policy and the supply side of the housing sector in Australia.","housing supply; monetary policy; transmission pattern; vector error correction model","en","conference paper","","","","","","","","","","","","","",""
"uuid:b2745f8b-de7d-409e-85f5-c08b50055eba","http://resolver.tudelft.nl/uuid:b2745f8b-de7d-409e-85f5-c08b50055eba","Preferential concentration of particles in compressible turbulence","Zhang, Q.; Liu, H.; Xiao, Z.","Zhang, Q. (author); Liu, H. (author); Xiao, Z. (author)","2015","The behavior of particles in compressible turbulence has been seldom investigated to date despite its importance in many natural and industrial flows. Direct numerical simulations of particle-laden compressible isotropic turbulence are performed to study the preferential concentration of particles and the underling mechanisms. It turns out that heavy particles tend to concentrate in regions of low enstrophy and high fluid density (i.e, strain regions between vortex rings), especially the particles of Kolmogorov scale, which show the largest number density. Due to the compressibility, fluid particles do not distribute uniformly as in incompressible case, but show a tendency to bunch up in high density zones. The preliminary result might give some insights into compressible turbulent transport, dispersion and mixing as well as the subgrid-scale modeling for large-eddy simulation of particle-laden compressible flows.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:a26e5c46-b6a2-4692-96bc-43656b9a2ad4","http://resolver.tudelft.nl/uuid:a26e5c46-b6a2-4692-96bc-43656b9a2ad4","Combining inter-source seismic interferometry and source-receiver interferometry for deep local imaging","Liu, Y.; Arntsen, B.; Wapenaar, C.P.A.; Van der Neut, J.R.","","2014","The virtual source method has been applied successfully to retrieve the impulse response between pairs of receivers in the subsurface. This method is further improved by an updown separation prior to the crosscorrelation to suppress the reflections from the overburden and the free surface. In a reversed situation where the sources are in the subsurface and receivers are on the surface, in principle, one can apply the same logic to retrieve the virtual response between pairs of sources by source-receiver reciprocity, turning the physical borehole sources into virtual receivers. However, since the up-down separation is not applicable on the source side, the simple crosscorrelation of the total fields results in spurious events due to the incomplete receiver coverage around the sources. We show with a numerical example that for this configuration of borehole sources and surface receivers, one can replace such an updown separation at the source side by that of the direct and reflected waves as a first order approximation. This procedure produces the virtual receiver data that is adequate for local imaging below the source depth and is completely independent of the accuracy of the overburden velocity model. We implement this inter-source type of interferometry by multidimensional deconvolution (MDD). Further, if the conventional surface survey data is available, we test the methodology from source-receiver interferometry (SRI) for this reverse configuration with borehole sources to retrieve the virtual receiver data with reflections coming from above, using also only the separation of the direct and reflected waves. By migrating the two sets of virtual receiver data, one can create a local image around the borehole sources in a deep area with better focusing and localization without a sophisticated velocity model.","","en","conference paper","Society of Exploration Geophysicists","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:9b4e0a42-a6a5-45e8-a67b-12cd31040d33","http://resolver.tudelft.nl/uuid:9b4e0a42-a6a5-45e8-a67b-12cd31040d33","Quantum optics with single nanowire quantum dots","Akopian, N.; Van Weert, M.; Van Kouwen, M.; Algra, R.; Liu, L.; Patriarche, G.; Harmand, J.C.; Bakkers, E.; Kouwenhoven, L.; Zwiller, V.","","2010","In this paper we present our recent developments in control and manipulation of individual spins and photons in a single nanowire quantum dot. Specific examples include demonstration of optical excitation of single spin states, charge tunable quantum devices and single photon sources. We will also discuss our recent discovery of a new type of charge confinement – crystal phase quantum dots. They are formed from the same material with different crystal structure, and today can only be realized in nanowires","nanowires; quantum dots; crystal phase","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:4b10ddb7-9ad0-49c5-a4cb-df098cdebcb9","http://resolver.tudelft.nl/uuid:4b10ddb7-9ad0-49c5-a4cb-df098cdebcb9","The effect of sulfate-rich sewage sludge ash on the volume deformation and micorstructure of cement paste","Gu, Chunping (Zhejiang University of Technology, China); Ji, Yongjie (Zhejiang University of Technology, China); Yang, Yang (Zhejiang University of Technology, China); Liu, Jintao (Zhejiang University of Technology, China); Ni, Tongyuan (Zhejiang University of Technology, China)","","2021","Sewage sludge ash (SSA) is the combustion residue of the sewage sludge obtained from wastewater treatment plants. In China, SSA normally contains high content of SO3, which may cause detrimental effect on the volume stability of cement-based materials. This study investigated the effect of sulfate-rich SSA (SR-SSA) on the volume deformation and the microstructure of cement paste. The autogenous shrinkage and drying shrinkage of cement pastes incorporating SR-SSA were tested. The microstructure of cement pastes incorporating SR-SSA was studied with mercury intrusion porosimetry (MIP) and scanning electron microscopy (SEM). The results show that the addition of SR-SSA reduced the autogenous shrinkage of cement paste, but increased the drying shrinkage of cement paste. Hence the addition of SSA may cause more serious cracking problem of cement-based materials under drying conditions, but could inhibit the cracking under sealed condition. The SEM and MIP investigations showed that, when SR-SSA was presented, more ettringite was generated in the cement paste and the porosity of the cement paste became higher.","microstructure; cement paste; sulfate; sewage sludge ash; shrinkage","en","conference paper","","","","","","","","","","","","","",""
"uuid:d3e613bd-dd73-4e41-822e-7ebbaf39c276","http://resolver.tudelft.nl/uuid:d3e613bd-dd73-4e41-822e-7ebbaf39c276","System innovation for sustainable built environments: The case of light emitting diodes","Liu, S.","","2011","The United Kingdom (UK) government policy is increasingly directed at transforming the built environment to an environmentally sustainable one. The government, for example, has set a target for a reduction in carbon emissions by 80% by 2050 compared to 1990 levels. A large number of new policies and regulations are being introduced to minimise the impact of the built environment and the construction industry on the environment. These pressures are inducing a large amount of product and process innovation across distributed networks: manufacturers, suppliers, installers, clients, users, and so on. To address this challenge, this research suggests that the explicit adoption of a multi-level perspective of sustainable transition management as a way forward. The key point of the multi-level perspective is that transitions or long-term changes come about through interplay between processes at different levels in different phases. This model consists of three levels: socio-technical landscape, regime, and technical niches. This paper reports on an ongoing research project which is tracking, real time, the start-up and growth of a company which is developing and introducing a range of leading edge light emitting diode (LED) technologies. Interim results will be presented with the focus being on the distributed interaction between the principal actors: a LED module manufacture, a luminaire (light fitting) manufacture and a range of end users.","high technology; light emitting diodes (LEDs); multi-level perspective; system innovation","en","conference paper","","","","","","","","","","","","","",""
"uuid:04a9ffb5-8e05-447f-94de-5e589ebfbb26","http://resolver.tudelft.nl/uuid:04a9ffb5-8e05-447f-94de-5e589ebfbb26","Advanced numerical study of the response of orthotropic steel deck bridge with two membrane layers system","Li, J.; Liu, X.; Scarpas, A.; Tzimiris, G.","","2012","In the Netherlands an asphaltic surfacing structure for orthotropic steel bridge decks mostly consists of two structural layers. The upper layer consists of Porous Asphalt (PA) because of reasons related to noise hindrance. For the lower layer a choice between Mastic Asphalt (MA) or Guss Asphalt (GA), can be made. In this paper, a typical Dutch steel bridge deck surfacing system is simulated by means of the three-dimensional fmite element system CAPA 3D. Special attention is given to the structural distress phenomena and the parameters that influenced them. The FE model shows the distribution of strains and stresses inside the surfacing materials depends highly on the wheel load level, wheel load frequency, wheel position, membrane bonding strength as well as the thicknesses and the characteristics of the surfacing layers.","orthotropic steel bridge; membrane; surfacing; finite element","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:02e0026c-950a-4f64-8f81-64779ac808ea","http://resolver.tudelft.nl/uuid:02e0026c-950a-4f64-8f81-64779ac808ea","Characteristics of fly ash blended mortars under ammonium chloride solution leaching","Pang, Min (Tongji University, China); Sun, Zhenping (Tongji University, China); Ji, Yanliang (Tongji University, China); Yang, Jingbin (Tongji University, China); Wang, Peiming (Tongji University, China); Xu, Yaling (Shanghai Urban Construction Material Co., China); Li, Huanhuan (Shanghai Urban Construction Material Co., China); Liu, Jiaying (Shanghai Urban Construction Material Co., China); Li, Fei (Shanghai Fupei New Material Technology Co., China)","","2021","Characteristics of fly ash(FA) blended mortars (50%, 70% and 90%) at different curing ages (28 days and 180 days) under ammonium chloride solution(ACS) leaching were investigated. Mass loss under leaching, initial CaO content, Ca(OH)2 content(CH), content of chemical bonded water, and hydration degree of blended pastes were tested by XRF and DSC-TG. Based on correlations between initial CaO content and CH content in blended pastes, correlations between mass loss under leaching and initial CaO content were analyzed, as well as effects of hydration degree of blended pastes and chemical bonded water in blended pastes. Results showed that FA blended mortars experienced a mass-loss period along with immersion in ACS, either 28-day curing regime or 180-day curing regime, and FA could minimize this mass loss obviously. Effects of hydration degree of FA blended pastes on this mass loss were feeble, but remarkable fluctuations could be made by chemical bonded water of FA blended pastes. Effect of FA against ACS leaching should be owed to its pozzolanic reaction which might neutralize attacks from ACS. However, this effect of FA against ACS leaching would weaken as long as alkaline environment increasingly extinguished. Therefore, excessive dosages of FA should be careful for structures and buildings experiencing solution leaching attacks. According to correlations with mass loss under solution leaching attacks, characteristic of initial CaO content in FA blended pastes could be regarded as one effective evaluation against solution leaching attacks.","Ca(OH)2 contentmass loss under leaching; fly ash blended pastes; initial CaO content","en","conference paper","","","","","","","","","","","","","",""
"uuid:cbf857e9-d94b-4803-83c0-99e5a1d7cb3e","http://resolver.tudelft.nl/uuid:cbf857e9-d94b-4803-83c0-99e5a1d7cb3e","Hydrodynamic Characteristics of Twin-Rudders at Small Attack Angles","Liu, J.; Hekkenberg, R.G.","","2015","RANS simulations are implemented to analyse the hydrodynamic characteristics of twin-rudders, i.e. Flat plate rudders, NACA rudders, and Wedge-tail rudders. The study mainly focuses on small attack angles. Results show that the NACA series is the most efficient profile while the Wedge-tail rudder has the highest rudder effectiveness.","2D rudder simulations; flat plate rudders; NACA rudders; wedge-tail rudders","en","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:495ad310-4284-486f-bf67-c03a6effff90","http://resolver.tudelft.nl/uuid:495ad310-4284-486f-bf67-c03a6effff90","HER-PDQN: A Reinforcement Learning Approach for UAV Navigation with Hybrid Action Spaces and Sparse Rewards","Liu, C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2022","Reinforcement learning (RL) equipped with neural networks has recently led to a wide range of successes in learning policies for unmanned aerial vehicle (UAV) navigation and control problems. The success of RL relies on two human-designed heuristics: appropriate action space definition and reward function engineering. The commonly used fully continuous or fully discrete action spaces in optimal control and decision making problems may lack control authority and remove the inherent problem structure, which can negatively affect learning performance. Besides, reward engineering requires a lot of human effort and may lead to unwanted behavior. In this paper, we address these challenges by proposing a new off-policy RL algorithm called HER-PDQN which incorporates Hindsight Experience Replay (HER) with Parameterized Deep Q-Networks (P-DQN). In simulation experiments, HER-PDQN is used to train an agent to fulfill a UAV navigation task in a 2-dimensional environment. The results indicate the effectiveness of P-DQN algorithm in dealing both with the hybrid action space and sparse rewards. This paper can be considered as the first attempt at applying RL in sparse reward setting for UAV navigation with hybrid action spaces.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Control & Simulation","","",""
"uuid:6292c751-ff40-436e-9ff6-33e60fffc27d","http://resolver.tudelft.nl/uuid:6292c751-ff40-436e-9ff6-33e60fffc27d","Blade Effective Wind Speed Estimation: A Subspace Predictive Repetitive Estimator Approach","Liu, Y. (TU Delft Team Riccardo Ferrari); Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); Hovgaard, Tobias Gybel (Vestas Technology R&D); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Modern wind turbine control algorithms typically utilize rotor effective wind speed measured from an anemometer on the turbine’s nacelle. Unfortunately, the measured wind speed from such a single measurement point does not give a good representation of the effective wind speed over the blades, as it does not take the varying wind condition within the entire rotor area into account. As such, Blade Effective Wind Speed (BEWS) estimation can be seen as a more accurate alternative. This paper introduces a novel Subspace Predictive Repetitive Estimator (SPRE) approach to estimate the BEWS using blade load measurements. In detail, the azimuth-dependent cone coefficient is firstly formulated to describe the mapping between the out-of-plane blade root bending moment and the wind speed over blades. Then, the SPRE scheme, which is inspired by Subspace Predictive Repetitive Control (SPRC), is proposed to estimate the BEWS. Case studies exhibit the proposed method’s effectiveness at predicting BEWS and identifying wind shear in varying wind speed conditions. Moreover, this novel technique enables complicated wind inflow conditions, where a rotor is impinged and overlapped by wake shed from an upstream turbine, to be estimated.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-03","","","Team Riccardo Ferrari","","",""
"uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","http://resolver.tudelft.nl/uuid:f5f9693b-025e-49b7-b3ae-1d36b87f6f36","High-Voltage and High-Current IGBT Press-pack Module for Power Grid","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University)","","2022","On the basis of the development and application requirements of flexible DC transmission techniques, a 1 kA/10 kV half-bridge IGBT press-pack module is studied. The module is composed of three subunits in series, and each subunit consists of IGBT chips in parallel. In order to solve the problem of chips failure caused by non-uniform rigid-contacting pressure in the press-pack modules, the elastic-contacting structure is designed to ensure excellent electrical connection between chips and contact terminal. During the operating conditions, the heat generated by IGBT chips can induce the increasing of internal temperature of the module, affecting the reliability of the module. A cooling structure is introduced between the subunits to solve the heat dissipation problem of the module. In addition, the thermal analysis of subunit and the cooling structure is performed by using the finite element simulation, and the chip layout and water-cooling scheme are optimized. The testing of electrical parameters of the IGBT module is also conducted.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","http://resolver.tudelft.nl/uuid:30b3f7a8-2d97-4b96-acca-d84074d2bd15","Effects of shell thickness on the thermal stability of Cu-Ag core-shell nanoparticles: A molecular dynamics study","Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Jiang, Jing (Fudan University); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Gao, Chenshan (Chongqing University; Southern University of Science and Technology); Liu, Yang (Harbin University of Science and Technology); Ye, H. (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Cu-Ag core-shell (CS) nanoparticle (NP) is considered as a cost-effective alternative material to nano silver sintering material in die attachment application. To further reduce the cost, the thickness of the Ag shell can be adjusted. Whereas the shell thickness will also affect the thermal stability of the Cu-Ag CSNPs. In this study, molecular dynamics simulation was applied to study the thickness effect on the thermal behavior of Cu-Ag CSNPs. The melting points of CSNPs and Pure NPs can be determined by the evolutions of Potential Energy (PE), and the Lindemann index (LI) of the system. The results indicated that the melting points of CS NPs were lower than monometallic NP and the melting point of CS NP is influenced by the size of the Cu core and the number of lattice mismatches. Moreover, the distribution of atoms’ LI showed that the premelting point is independent of shell thickness. However, the fraction of atoms that occurred premelting is increased with the decrease of the shell thickness. Otherwise, we also simulated the sintering process of double CS NPs with equal size.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","http://resolver.tudelft.nl/uuid:bc2a6067-69c5-433c-8251-77ffea0355e2","Visualisation of the airflow pattern of exhaled droplets in a classroom","Liu, Y.L. (Student TU Delft); Ortiz, Marco A. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Indoor Environment)","","2022","The airborne transmission of SARS-CoV-2 in educational buildings has raised concerns during the current COVID-19 pandemic. In this study, a portable fog generator system was designed and assembled to visualise the airflow pattern of exhaled droplets in a classroom. The system consists of five components: medium, fog generator, buffer, pump, and manikin head. The medium was made of glycol and demineralised water, which produced a fog composed of droplets. The fog was produced with the fog generator and passed through a pipe into the buffer for build-up. After accumulation, the fog is pumped through another pipe and is exhaled out of the mouth of the manikin. Experiments were conducted with the portable fog generator system in a simulated classroom under four different ventilation regimes: no ventilation, natural ventilation (open windows and door), mixing ventilation (600 m3/h), and a combination of natural + mixing ventilation. The experiments were recorded with a camera and analysed to determine the horizontal distance of the path taken by the fog and to measure the time it remained visible after exhalation from the mouth. During the experiments, it could be observed with the naked eye that the glycol droplets linger in the air longer than what was captured in the recordings. Not all the droplets were visible with the camera. The recordings showed that with open windows and door (natural ventilation), the droplets travelled the furthest distance (1.8 m) and stayed the longest in the air, while with mixing and natural ventilation, the droplets travelled the shortest (0.5 m) and stayed the least time in the air. These findings confirm that mechanical mixing ventilation increases the removal of aerosols in the air. It is concluded that the portable fog generator system provides a quick method in understanding the duration and distance droplets can travel after being exhaled.","Covid-19; SARS-CoV-2; aerosol; respiratory droplets; visualisation; laser; breathing","en","conference paper","TU Delft OPEN Publishing","","","","","","","","","","Indoor Environment","","",""
"uuid:123b5651-3440-41c8-9e3e-b4f5928f19aa","http://resolver.tudelft.nl/uuid:123b5651-3440-41c8-9e3e-b4f5928f19aa","Analysis of Thrust-Scaled Acoustic Emissions of Aircraft Propellers and Their Dependence on Propulsive Efficiency","Geng, Xin (Beihang University); Hu, Tianxiang (Beihang University); Liu, Peiqing (Beihang University); Sinnige, T. (TU Delft Flight Performance and Propulsion); Eitelberg, G. (TU Delft Flight Performance and Propulsion)","","2021","The increasing demand for short-range passenger air transport and the strong push for aircraft with electric propulsion has renewed research interest in propellers. Despite the unmatched aerodynamic efficiency of propellers, their relatively high noise emissions limit widespread application on aircraft. Previous research has not systematically addressed the tradeoff between aerodynamic and aeroacoustic performance. This paper presents the results of an optimization study aimed at minimizing propeller noise without compromising aerodynamic efficiency. In the optimization, a blade-element-momentum-theory (BEMT) model is utilized which accounts for the effects of blade sweep on the blade loading. This BEMT model is coupled to a frequency-domain code for tonal noise prediction. A novel scaling approach is presented to directly relate the propeller noise emissions to the propeller thrust. Dedicated wind-tunnel experiments were performed to validate the analysis models. Good agreement between numerical and experimental results is obtained at low to moderate blade loading conditions. The optimization study shows that the blade sweep is an important design parameter to simultaneously maximize aerodynamic and acoustic performance. Compared to a modern baseline design, a noise reduction of 2.9 dB is achieved without reduction in propeller efficiency.","","en","conference paper","International Council of the Aeronautical Sciences","","","","","","","2021-09-11","","","Flight Performance and Propulsion","","",""
"uuid:845c4a3a-49de-40b8-a942-a109993d3858","http://resolver.tudelft.nl/uuid:845c4a3a-49de-40b8-a942-a109993d3858","Analysis of Bore Characteristics Using KdV-Based Nonlinear Fourier Transform","Brühl, M. (TU Delft Team Sander Wahls); Wahls, S. (TU Delft Team Sander Wahls); Barranco Granged, Ignacio (National University of Singapore); Liu, Philipp L.-F. (National University of Singapore)","","2020","Bores propagating in shallow water transform into undular bores and, finally, into trains of solitons. The observed number and height of these undulations, and later discrete solitons, is strongly dependent on the propagation length of the bore. Empirical results show that the final height of the leading soliton in the far-field is twice the initial mean bore height. The complete disintegration of the initial bore into a train of solitons requires very long propagation lengths, but unfortunately these required distances are usually not available in experimental tests or nature. Therefore, the analysis of the bore decomposition for experimental data into solitons is difficult and requires further approaches. Previous studies have shown that by application of the nonlinear Fourier transform based on the Korteweg–de Vries equation (KdV-NFT) to bores and long-period waves propagating in constant depth, the number and height of all solitons can be reliably predicted already based on the initial bore-shaped free surface.
Against this background, this study presents the systematic analysis of the leading-soliton amplitudes for non-breaking and breaking bores with different strengths in different water depths in order to validate the KdV-NFT results for non-breaking bores, and to show the limitations of wave breaking on the spectral results. The analytical results are compared with data from experimental tests, numerical simulations and other approaches from literature.","bore; nonlinear Fourier transform; soliton fission","en","conference paper","ASME","","","","","Accepted Author Manuscript","","","","","Team Sander Wahls","","",""
"uuid:d0d41806-94ec-4df7-8c84-902e1908af45","http://resolver.tudelft.nl/uuid:d0d41806-94ec-4df7-8c84-902e1908af45","Effect of bio-oil on low-intermediate temperature properties of organosolv lignin-bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Gard, W.F. (TU Delft Bio-based Structures & Materials); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","Chen, Xianhua (editor); Yang, Jun (editor); Oeser, Markus (editor); Wang, Haopeng (editor)","2021","Lignin, one of the most abundant natural polymers, has been extensively studied as liquid or solid additive in bituminous binders. Despite the fact the organosolv lignin in bitumen improves the overall resistance against oxidative aging, lignin could lead to binders of high thermal cracking sensitivity. In this study, a bio-based oil is implemented in a lignin modified bitumen to ameliorate characteristics, such as fatigue and thermal cracking resistance. Pressure aging vessel conditioning was applied to new binders formulated by different proportions of bio-oil to simulate the long-term aging. A series of rheological tests were performed. Based on the linear amplitude sweep test results, fatigue damage of lignin-bitumen could be reduced by increasing the oil content. According to relaxation test results, the addition of oil significantly decreased the ratio of residual stress and relaxation time. This study has shown preliminary conclusions on the use of bio-oil to improve the low-intermediate temperature performance of lignin-bitumen binders.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","2021-06-29","","","Pavement Engineering","","",""
"uuid:7bd400eb-4858-4dfa-823d-2a8c3301a7f2","http://resolver.tudelft.nl/uuid:7bd400eb-4858-4dfa-823d-2a8c3301a7f2","Use of waste oil/styrene-butadiene-rubber blends as rejuvenators for aged bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","Chen, Xianhua (editor); Yang, Jun (editor); Oeser, Markus (editor); Wang, Haopeng (editor)","2021","This study elaborates the influence of blends formulated by waste oil (WO) and styrene-butadiene rubber (SBR) on thermo-mechanical and chemical compositional characteristics of rejuvenated bitumen. The results show that both WEO (waste engine oil) and WCO (waste cooking oil) can compensate viscous component for reclaimed bitumen, and the effect of WCO is more significant. However, WO-rejuvenated bitumen has considerable drawbacks in terms of temperature susceptibility and flow resistance, but dramatic improvement after being added with SBR has been noticed. Furthermore, the rejuvenation mechanism of WO/SBR blends is revealed by using FTIR tests, which shows that the physical blending mainly determines the rejuvenation mechanism when the WO/SBR blends are used in bitumen. The combination of WO and SBR is beneficial for improving the temperature susceptibility and rutting resistance of rejuvenated bitumen.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Accepted Author Manuscript","","2021-12-29","","","Pavement Engineering","","",""
"uuid:0a2d8fbe-0db3-4f82-a878-a30bbbb66dcc","http://resolver.tudelft.nl/uuid:0a2d8fbe-0db3-4f82-a878-a30bbbb66dcc","Critical Components Identification for Cyber-Physical Power Systems Considering Time-Varying Operational States","Liu, Y. (TU Delft Intelligent Electrical Power Grids; TU Delft Electrical Sustainable Energy); Semertzis, I. (TU Delft Intelligent Electrical Power Grids; TU Delft Electrical Sustainable Energy); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids; TU Delft Electrical Sustainable Energy); Palensky, P. (TU Delft Intelligent Electrical Power Grids; TU Delft Electrical Sustainable Energy)","Palensky, Peter (editor); Srivastava, Anurag (editor)","2021","The security issues of Cyber-Physical power Systems (CPS) have attracted widespread attention from scholars. Vulnerability assessment emerges as an effective method to identify the critical components and thus increase the system resilience. While efforts have been made to study the vulnerability features of power systems under the occurrence of a single, discrete disturbance or failure at a specific time instant, this paper focuses on identifying the critical components of the cyber-physical system considering time-varying operational states. To investigate the potentially ever-changing CPS vulnerability features, in this paper we construct a database of cascading failure chains using quasi-dynamic simulations to capture the vulnerability relationships among components under time-varying operational states. Then, by adopting sequential mining algorithms, we mine the most frequent cascading failure patterns and identify the critical components based on the data mining results. Simulation studies are conducted on IEEE 39-bus and IEEE RTS-96 systems to evaluate the effectiveness of the proposed method for the identification of critical components at both cyber and physical layers.","Cyber-Physical Systems; Data Mining Algorithms; Vulnerability Assessment; Power Systems","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:3b2e81a8-fb83-4275-b438-78c1171fc778","http://resolver.tudelft.nl/uuid:3b2e81a8-fb83-4275-b438-78c1171fc778","Internal and near-wall flow fields around a structured porous coated cylinder and their role in passive flow and noise control","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2021","View Video Presentation: https://doi-org.tudelft.idm.oclc.org/10.2514/6.2021-2226.vid
The mechanisms responsible for vortex shedding reduction, due to the application of a porous coating to a smooth cylinder placed in uniform flow, are still uncertain despite several numerical and experimental studies. To help understand these mechanisms, a transparent Structured Porous Coated Cylinder (SPCC) was manufactured to investigate the internal and near-wall flow fields. The SPCC mimics the more commonly used porous materials such as metal foam and polyurethane, that possess randomized structures preventing a clear line-of-sight along the span and circumference of the porous layers. Tomographic Particle Image Velocimetry was used in a water-tunnel facility to investigate three small regions of an SPCC, on the windward, mid-region and leeward sides. In this paper, previously unseen experimentally obtained internal flow fields of a porous coated cylinder are presented. The following summarize the key observations (1) stagnation from freestream flow to the inner cylinder diameter in the windward region, (2) boundary layer profiles within the porous layer in the circumferential mid-region and (3) bleeding from the porous layer into the wake in the leeward region. These results provide key experimental findings for comparison and validation of numerical simulations of bulk porous media, such as the Darcy-Forscheimer method used in conjunction with the Ffowcs Williams-Hawkings acoustic analogy.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:1d347d76-e56f-4106-8fa5-445d43da50db","http://resolver.tudelft.nl/uuid:1d347d76-e56f-4106-8fa5-445d43da50db","Global Optimization of Low-Thrust Interplanetary Trajectories Using a Machine Learning Surrogate","Gómez Pérez, P. (Student TU Delft); Liu, Y. (TU Delft Astrodynamics & Space Missions); Cowan, K.J. (TU Delft Astrodynamics & Space Missions)","Wilson, Roby (editor); Shan, Jinjun (editor); Howell, Kathleen (editor); Hoots, Felix (editor)","2021","In this work, we propose a new method to approximate the cost function of Low-Thrust, Multiple-Gravity-Assist interplanetary trajectories using a Machine Learning surrogate. We identified the computation time required to obtain training data as the main limitation when using Machine Learning methods for this purpose so we present a strategy to build the surrogate with limited training data. We built an Online-Sequential Extreme Learning Machine Multi-Agent System (OS-ELM-MAS) surrogate due to its theoretical good performance when the training data is limited. In addition, we define a method to include the surrogate during the optimization process that can be used with any gradient-free algorithm, and study the effect of several surrogate parameters on the optimization results. Finally, several interplanetary trajectories are optimized with and without the surrogate. Employing the surrogate results in up to 12% lower fuel cost values after a fixed optimization time. The parameters that control the interaction have to be carefully selected to achieve this improvement, and we show that the optimal value of these parameters can be narrowed down based on the characteristics of the transfers.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-20","","","Astrodynamics & Space Missions","","",""
"uuid:6dedea20-9c00-4539-b201-78e6d798d3a5","http://resolver.tudelft.nl/uuid:6dedea20-9c00-4539-b201-78e6d798d3a5","Comparison of new memory surface hardening models for prediction of high cyclic loading (Comparaison de nouveaux modèles de surface de mémoire à durcissement pour la prévision de fortes charges cycliques)","Diambra, A. (University of Bristol); Corti, R. (University of Bristol); Liu, H. (TU Delft Geo-engineering); Pisano, F. (TU Delft Geo-engineering); Abell, J. A. (Universidad de los Andes)","Sigursteinsson, Haraldur (editor); Erlingsson, Sigurður (editor); Bessason, Bjarni (editor)","2019","This paper presents an objective comparison between two recent constitutive models employing the concept of the hardening memory surface to predict the high cyclic loading behaviour of granular soils. The hardening memory surface is applied to the well-known Severn-Trent sand and the SANINSAND04 constitutive models. While the addition of the new model surface (the memory surface) leads to enhanced model capabilities, slight differences in the implementation can lead to different model performances and simulations. This paper describes the differences between the two implementations and highlights the most relevant modelling ingredi-ents to predict particular features of the cyclic soil behaviour. This paper will help the reader in selecting the most suitable model and related ingredients for a particular geotechnical application.","Constitutive modelling; Cyclic loading; Ratcheting; Sand; Strain accumulation","en","conference paper","Icelandic Geotechnical Society (IGS)","","","","","Accepted Author Manuscript","","","","","Geo-engineering","","",""
"uuid:f4494fb8-8f15-457a-a89c-01bd4a02c988","http://resolver.tudelft.nl/uuid:f4494fb8-8f15-457a-a89c-01bd4a02c988","A 0.6V 3.8μW ECG/bio-impedance monitoring IC for disposable health patch in 40nm CMOS","Xu, Jiawei (IMEC); Lin, Qiuyang (Student TU Delft; IMEC); DIng, Ming (Stichting IMEC Nederland); Liu, Y. (TU Delft Bio-Electronics); Van Hoof, Chris (IMEC); Serdijn, W.A. (TU Delft Bio-Electronics); Van Helleputte, Nick (IMEC)","Piovaccari, Alessandro (editor); Wang, Hua (editor)","2018","Simultaneous measurement of Electrocardiogram (ECG) and bio-impedance (BioZ) via disposable health patches is desired for patients suffering from chronic cardiovascular and respiratory diseases. However, a sensing IC must consume ultra-low power under a sub-volt supply to comply with miniaturized and disposable batteries. This work presents a 0.6 V analog frontend (AFE) IC consisting of an instrumentation amplifier (IA), a current source (CS) and a SAR ADC. The AFE can measure ECG and BioZ simultaneously with a single IA by employing an orthogonal chopping scheme. To ensure the IA can tolerate up to 300mVpp DC electrode offset and 400mV pp common-mode (CM) interference, a DC-servo loop (DSL) combined with a common-mode feedforward (CMFF) loop is employed. A buffer-assisted scheme boosts the IA's input impedance by 7x to 140MΩ at 10Hz. To improve the BioZ sensitivity, the CG utilizes dynamic element matching to reduce the 1/f noise of the output current, leading to 35mΩ/√Hz BioZ sensitivity down to 1Hz. The ADC shows a 9.7b ENOB when sampled at 20ksps. The total power consumption of the AFE is 3.8μW.","bio-impedance; ECG; instrumentation amplifier; low noise current source; low supply","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","","","","Bio-Electronics","","",""
"uuid:875f584d-4963-412d-9430-e004dc86ab85","http://resolver.tudelft.nl/uuid:875f584d-4963-412d-9430-e004dc86ab85","Insights into Polymerization-induced Phase Separation of Epoxy-Bitumen Systems and Strategies to Tailor High-Performance Bituminous Materials","Apostolidis, P. (TU Delft Pavement Engineering); Nahar, Sayeda (Latexfalt B.V.); Liu, X. (TU Delft Pavement Engineering); Lommerts, Bert-Jan (Latexfalt B.V.); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2019","The utilization of epoxy-based polymers as bituminous modifiers in developing durable and long-lasting pavement structures have gained increasing interest over the last years showing evidence of high performing materials (1-8). It has been noticed that the addition of epoxy-based modifier into bitumen lead to materials with superior characteristics against oxidative aging (1, 3, 8). Next to aging resistance, the incorporation of epoxy modifiers in bituminous materials has added functional benefits, such as improved resistance to moisture damage and fatigue cracking (5, 6). Despite the evidence of aging resistance in epoxy-asphalt concrete mixes, the influence of the epoxy-based polymers on the bitumen aging and the microstructure morphology of newly formed systems have not been fundamentally evaluated yet. Within the scope of this research, the aging susceptibility of epoxy modified bitumen has been investigated. The extent of aging has been probed by using a Fourier Transform Infrared spectrometer and also rheologically evaluated using a dynamic shear rheometer. Furthermore, an improved compatibility between epoxy and bitumen at the microstructural level defines the long-term chemo-mechanical performance of EB systems in a controlled manner. Thus, another aspect of this study was to investigate the morphological and interfacial characteristics of EB microstructure.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b9bb8bce-eb4a-487c-93da-e2363968a409","http://resolver.tudelft.nl/uuid:b9bb8bce-eb4a-487c-93da-e2363968a409","Exploitation of Power-to-Gas for Ancillary Services Provision in the Netherlands","Tuinema, B.W. (TU Delft Intelligent Electrical Power Grids); Ayivor, P.K.S. (TU Delft Intelligent Electrical Power Grids); Garcia Suarez, V. (TU Delft Intelligent Electrical Power Grids); Ebrahim Adabi, M. (TU Delft Intelligent Electrical Power Grids); Liu, L. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.)","","2019","In the future energy system, hydrogen as an energy carrier will play a role of increasing importance. Electrical energy can be converted into hydrogen locally by electrolysers and stored for a relatively long period. Then, the hydrogen can be used by final consumers like the transportation system or industries, as conceptually illustrated in Fig. 1. The flexibility of electrolysers offers promising possibilities for electrical grid support by the provision of ancillary services. Currently, a pilot power-to-gas facility with a 1-MW electrolyser is installed in the northern part of the Netherlands. A larger electrolysis plant of 300 MW may be installed in this area later. The feasibility of this large-scale plant, its impact on the stability of the electrical transmission network and the possibilities for ancillary services provision are currently being investigated in the project TSO2020 [1].","Ancillary Services; Electrolyser; Power System Stability; Real-Time Simulation","en","conference paper","Cigré","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-14","","","Intelligent Electrical Power Grids","","",""
"uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","http://resolver.tudelft.nl/uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","Three dimensional internal and near-wall flow features of a structured porous coated cylinder","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","The application of a porous coating to a cylinder can significantly reduce the vortex shedding tone when placed in a uniform flow. The mechanism of how this porous media attenuates vortex shedding has been studied more in recent years yet it is still not fully understood. Typical porous materials within a certain range of porosity and airflow resistivity, such as metal foam and porous polyurethane, have been studied extensively; however, the fundamental flow mechanisms responsible for vortex shedding attenuation are very difficult to determine. For example, it is nearly impossible to visualize the internal flow field of porous media with a randomized open-cell internal structure. A Structured Porous Coated Cylinder (SPCC) was designed in recent years to alleviate this internal flow field problem, as the SPCC has clear line of sight along the span and radial direction. SPCC variations have been previously studied and shown to reduce the vortex shedding tone of a bare cylinder in a very similar manner as a randomized porous coated cylinder. In this paper, we present a Tomographic Particle Image Velocimetry study of an SPCC tested in a water tunnel, revealing the previously unseen internal and near-wall flow fields of an SPCC. The flow is visualized in the porous layers, revealing complex interaction between the freestream flow field and the porous structure. Using cross-correlation methods within the flow field, we reveal the entrainment of the flow within the porous layers. Furthermore, implementation of Proper Orthogonal Decomposition shows that vortex shedding occurs within the porous layers.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","http://resolver.tudelft.nl/uuid:3f28f42e-96fa-4d82-bdef-883ad5e0ab89","Simulation and Verification or Cu@Ag Core-shell Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","O'Conner, L. (editor)","2022","With the increasing application of wide bandgap materials such as silicon carbide and gallium nitride in power devices, the working temperature of power devices has been pushed further. Therefore, it brings higher requirements for packaging materials. Sintered silver is a widely accepted chip connection material. However, silver suffers from high prices and electromigration. Therefore, a novel sintered material based on silver-copper core-shell structured particles raises the attention of researchers to solve this deficiency. To accelerate the development of new materials and their related processes, a four-sphere model of the silver-coated copper structure is established in this paper. The mathematical relationship between the porosity and thermal conductivity of sintered body and the actual sintering process was preliminarily established through the calculation based on a series of FEM simulations. The model was further validated through experiments. The modeling method and conclusion are utilized for future process adjustment, which is of great significance to accelerate the development, application, and reliability of new packaging materials.","die-attach material; power electronic packaging; simulation; Cu@Ag particles","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:55081ccc-c0ff-408b-b3a2-d1854bce1b9b","http://resolver.tudelft.nl/uuid:55081ccc-c0ff-408b-b3a2-d1854bce1b9b","Emotion deep dive for designers: Seven propositions that operationalize emotions in design innovation","Desmet, P.M.A. (TU Delft Human-Centered Design; TU Delft Design Aesthetics); Xue, H. (TU Delft Design Aesthetics); Xin, X (Beijing Normal University); Liu, W.L. (Beijing Normal University)","Markopoulos, Evagelos (editor); Goonetilleke, Ravindra S. (editor); Luximon, Yan (editor)","2022","This paper presents a five-day intense course that teaches “emotion-driven design”
(EDD) to graduate students with a broad variety of disciplinary backgrounds at the
Faculty of Psychology, Beijing Normal University. We introduce seven principles
drawn from design-relevant emotion knowledge that informed the design of the
course’s overall structure, and explain how they guided the development of learning
activities and materials. We envision that this paper will interest design educators
who aspire teaching how to operationalize emotions in creative design processes to
students with little preexisting expertise in (or scientific knowledge about) emotion
psychology.","Design education; Emotion-driven design; Design innovation; Emotion psychology; Psychology design; User experience; Human-design interactions","en","conference paper","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:5195a292-4d0f-4167-b0a5-cbc6df8d63a5","http://resolver.tudelft.nl/uuid:5195a292-4d0f-4167-b0a5-cbc6df8d63a5","Evolvability degeneration in multi-objective genetic programming for symbolic regression","Liu, D. (Centrum Wiskunde & Informatica (CWI)); Virgolin, Marco (Centrum Wiskunde & Informatica (CWI)); Alderliesten, T. (Leiden University Medical Center); Bosman, P.A.N. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2022","Genetic programming (GP) is one of the best approaches today to discover symbolic regression models. To find models that trade off accuracy and complexity, the non-dominated sorting genetic algorithm II (NSGA-II) is widely used. Unfortunately, it has been shown that NSGA-II can be inefficient: in early generations, low-complexity models over-replicate and take over most of the population. Consequently, studies have proposed different approaches to promote diversity. Here, we study the root of this problem, in order to design a superior approach. We find that the over-replication of low complexity-models is due to a lack of evolvability, i.e., the inability to produce offspring with improved accuracy. We therefore extend NSGA-II to track, over time, the evolvability of models of different levels of complexity. With this information, we limit how many models of each complexity level are allowed to survive the generation. We compare this new version of NSGA-II, evoNSGA-II, with the use of seven existing multi-objective GP approaches on ten widely-used data sets, and find that evoNSGA-II is equal or superior to using these approaches in almost all comparisons. Furthermore, our results confirm that evoNSGA-II behaves as intended: models that are more evolvable form the majority of the population. Code: https://github.com/dzhliu/evoNSGA-II","evolvability; genetic programming; multi-objective optimization; Symbolic regression","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Algorithmics","","",""
"uuid:0d12bcd8-cae2-4836-95b9-f50bc8abd404","http://resolver.tudelft.nl/uuid:0d12bcd8-cae2-4836-95b9-f50bc8abd404","A Comparative Study of Deep Reinforcement Learning-based Transferable Energy Management Strategies for Hybrid Electric Vehicles","Xu, Jingyi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Gao, Li (Beijing Institute of Technology); Ma, Junyi (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Zhao, Yanan (Beijing Institute of Technology)","","2022","The deep reinforcement learning-based energy management strategies (EMS) have become a promising solution for hybrid electric vehicles (HEVs). When driving cycles are changed, the neural network will be retrained, which is a time-consuming and laborious task. A more efficient way of choosing EMS is to combine deep reinforcement learning (DRL) with transfer learning, which can transfer knowledge of one domain to the other new domain, making the network of the new domain reach convergence values quickly. Different exploration methods of DRL, including adding action space noise and parameter space noise, are compared against each other in the transfer learning process in this work. Results indicate that the network added parameter space noise is more stable and faster convergent than the others. In conclusion, the best exploration method for transferable EMS is to add noise in the parameter space, while the combination of action space noise and parameter space noise generally performs poorly. Our code is available at https://github.com/BIT-XJY/RL-based-Transferable-EMS.git.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:e438cca0-245c-4bb0-8f5b-4055b186752b","http://resolver.tudelft.nl/uuid:e438cca0-245c-4bb0-8f5b-4055b186752b","A 200Gb/s PAM-4 Transmitter with Hybrid Sub-Sampling PLL in 28nm CMOS Technology","Wang, Zhongkai (University of California); Choi, Minsoo (Samsung Semiconductor); Kwon, Paul (University of California); Lee, Kyoungtae (University of California); Yin, Bozhi (University of California); Liu, Zhaokai (University of California); Park, Kwanseo (University of California); Biswas, Ayan (University of California); Du, S. (TU Delft Electronic Instrumentation)","","2022","This paper presents a complete 200Gb/s PAM-4 transmitter (TX) in 28nm CMOS technology. The transmitter features a hybrid sub-sampling PLL (SSPLL) with a delta-sigma (?S) modulator, clock distribution network with flexible timing control, and data path with a hybrid 5-tap Feed-Forward Equalizer (FFE) and T-coil for bandwidth extension. The prototype chip achieves 4.69 pJ/bit efficiency, 54mV eye height, 0.27UI eye width, and 97% RLM under -6dB channel loss at 50GHz.","28nm; CMOS; SerDes; Sub-sampling PLL; Transmitter","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","http://resolver.tudelft.nl/uuid:d1436cb5-1496-4f0f-adab-c84b2862162e","An Alternative Micro LED Mass Transfer Technology: Self-Assembly","Ji, Liangzheng (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University)","","2022","Micro LED display technology has been spotlighted as the most promising technology compared to LCD and OLED. Its excellent advantages include higher brightness, self-illumination, higher resolution, lower power consumption, faster response, higher integration, higher stability, thinner thickness, longer life, etc. In terms of the unique benefits, it is attracting increasing attention from industries. With the commercialization of Micro LED technology, the following hurdles are identified: wafer manufacturing, full color, bonding, and mass transfer. Among them, mass transfer is so far considered as the most severe bottleneck. Several mass transfer technologies have emerged, including fine picking and placing, roll printing, laser transferring, and fluid self-assembly, which aim to solve the mass transfer problems. However, the aforementioned first 3 types of technologies still rely on the pick-and-place process, which is limited when the Micro LED die dimension shrinks to smaller scales due to processability and equipment precision. Fluidity self-assembly, on the other hand, will not be constrained by the Micro LED size and machine accuracy in the mass transfer process, which received increasing attention from researchers. In the self-assembly of component level, gravitational attraction, magnetic /electromagnetic fields, and capillary force are considered the mainstream force to facilitate the assembly process. Therefore, the component self-assembly becomes a prospective substitute for the Micro LED mass transfer solution, which overcomes the problems of the trade-off between throughput and the placement accuracy of the pick-and-place technology.","Micro LED; Mass transfer; Pick-and-place; Selfassembly","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","http://resolver.tudelft.nl/uuid:f53ead41-a958-458f-8cbc-3e0097001d5b","Finite Element Modeling for Thermal Conductivity of Cement-based Encapsulation Materials","Gao, Hanyan (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhu, Yingcan (University of Southern Queensland); Guo, Ruiqian (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo; Yiwu Research Instiute of Fudan)","","2022","With the trend of miniaturization and the increasing power density, the operating temperature of electronic devices keeps climbing, especially for wide band-gap semiconductors such as silicon carbide and gallium nitride. The high operating temperature up to 250℃ brings challenges to encapsulation materials since traditional encapsulation materials such as epoxy resins and silicone gels hardly bear temperatures above 200℃. Calcium aluminate cement (CAC) was proved to be a promising encapsulation material, which owns high thermal stability with its operating temperature of up to 300℃. Based on its satisfied thermal stability and low cost, the thermal conductivity of CAC was researched in this work with different ratios of 10-μm-sphere-Alumina (Al 2 O 3 ) fillers at different temperatures, which formed μm-scale CAC-Al 2 O 3 composites. In this work, we focused on the thermal conductivity of CAC-Al 2 O 3 composites aiming for encapsulation applications in power electronics packaging. The thermal conductivities of μm-scale CAC-Al 2 O 3 composites by the laser-flash method from room temperature to 350℃ were firstly measured. Results showed with an increasing content of fillers, the TC of CACAl 2 O 3 will increase accordinglyIt also illustrated that calcium aluminate cement was a high thermal stable encapsulation material with thermal conductivity over epoxy resins. Then, the Finite Element Model (FEM) was established and calibrated by experimental data for thermal conductivity simulation. The FEM model accuracy reached 90%. Such models for new filler materials are effective to minimize material development by actual experiments and characterizations, for CAC composite with different fillers. It also provides an alternative method in predicting other physical properties of composites such as coefficient of thermal expansion, porosity, etc.","Encapsulation; Finite element modeling; Cement; Thermal conductivity; Electronic packaging","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","http://resolver.tudelft.nl/uuid:00c0c0b4-78e2-4c89-a351-79cba207f056","Review of Laser Sintering of Nanosilver Pastes for Die Attachment: Technologies and Trends","Chen, Haixue (Fudan University); Zhang, Jing (Heraeus Materials Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo)","","2022","Nanosilver pastes have been regarded as the most promising die-attach materials for high-temperature and high-power applications due to their advantages such as excellent thermal conductivity, electrical conductivity, high temperature resistance, and good shear strength. However, the common hot pressing sintering process for nanosilver pastes has the limitations of long sintering time and complicated sintering processes. Thus, laser sintering has been proposed as a rapid sintering method that attracts increasing interest due to its advantages of high energy density, fast temperature rise, easy densification, etc. In this review, the recent advances in laser sintering processes were summarized, including pressure laser sintering, backside sintering, and hybrid bimodal laser sintering. The effects of various laser sintering process parameters on joint performance, such as laser power, sintering pressure, irradiation time, and defocusing amount, were further discussed. The rapid sintering mechanism of laser sintering silver nanoparticles(AgNPs) was revealed, while microscopic explanations need to be further explored. This review provided ideas and methods for subsequent researchers to develop rapid sintering methods for power electronic packaging.","Nanosilver; Laser sintering; Die Attachment","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:005b3281-eb9d-44ce-9e66-ad37e4931dd6","http://resolver.tudelft.nl/uuid:005b3281-eb9d-44ce-9e66-ad37e4931dd6","A TCAD Simulation Study on the Short-circuit Performance of 650V P-pillar Offset Super-junction MOSFET","Yuan, Wucheng (Southern University of Science and Technology); Liu, Ke (Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Tan, C. (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology)","","2022","The limitation of Silicon based power MOSFET was broken by the super-junction (SJ) structure, which can provide lower specific on-resistance and higher breakdown voltage compared with the conventional power MOSFET structure. Multi-epitaxial and multi-ion-implant technology, as a mature manufacturing process of the SJ structure, has been widely used in the field of SJ-MOSFET. Therefore, this process is applied to construct the cell structure of 650V SJ-MOSFET in our study. Based on practical application, high current caused by unexpected short circuit will induce an increasing of the internal temperature of SJ-MOSFET, which leads to an irreversible damage in the SJ-MOSFET devices. However, the short-circuit robustness of SJ-MOSFET is still unstable, and the structure needs to be further improved. In our study, the electrical performance of a 650V SJ-MOSFET with offset P-pillar is theoretically investigated by means of technology computer aided design (TCAD) when the SJ-MOSFET is short circuited. The results clearly show that the optimized SJ-MOSFET can withstand the source-drain voltage of 400V for at least 10 μs in the case of the short-circuit. The thermal distribution and peak temperature of the cell structure of SJ-MOSFET are also simulated to assist in the analysis of the short circuit capable of the device. In addition, the hole current density distribution of two SJ-MOSFETs is considered to gain insight into the effect of P-pillar parameters on the short-circuit robustness. The result represents that the structure with offset P-pillar can effectively improve the short-circuit capability.","Super-junction structure; Short circuit; P-pillar offset; TCAD simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:5b98065c-8069-4933-8f9e-147edb367f80","http://resolver.tudelft.nl/uuid:5b98065c-8069-4933-8f9e-147edb367f80","Study on Reverse Recovery of a P-pillar Tunable Super-Junction MOSFET*","Liu, Ke (Southern University of Science and Technology); Yuan, Wucheng (Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Tan, C. (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2022","In this paper, a novel bubble-shift super junction (SJ) MOSFET structure is proposed, and its main static electrical parameters and reverse recovery characteristics are simulated by TCAD software tool. By designing the P-pillar ion implantation windows with a certain offset, the bubble-shift SJ-MOSFET contains a curved pillar region in the upper half of the P-pillar. In the reverse recovery test of the proposed bubble-shift SJ-MOSFET, the peak reverse recovery current (I rrm ) is reduced from 16.04 A to 15.21 A, and the current drop rate (di/dt) is reduced from 1587 A/μs to 815 A/μs. Correspondingly, the proposed device achieves a better reverse recovery characteristic while sacrificing a small fraction of the drain-source breakdown voltage (BV) and drain-source special on-resistance (R on,sp ). Compared with the BV of 700 V and the R on,sp of 9 mΩ·cm 2 of the benchmark SJ-MOSFET. The proposed device has a BV of 650 V and a R on,sp of 12.4 mΩ·cm 2 . Mechanistically, the non-uniform depletion of the curved P-pillar reduces the carrier extraction rate, thereby prolonging the reverse current drop time (t f ) and increasing the softness factor (S) of the bubble-shift SJ-MOSFET.","super junction; reverse recovery; P-pillar; bubbleshift; TCAD","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","http://resolver.tudelft.nl/uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","Bonding Process of Copper Foam-Silver Composite and Performance Characterization of the Joint","Lv, Guoping (Guilin University of Electronic Technology); Yan, Haidong (Zhejiang University); Yan, Haidong (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Wu, Xinke (Zhejiang University); Sheng, Kuang (Zhejiang University); Liu, Chaohui (National New Energy Vehicle Technology Innovation); Zhang, Yakun (National New Energy Vehicle Technology Innovation); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","As a key heat-dissipating and electrical interconnecting component in high-temperature power modules, die-attach and substrate-attach layers play an important role in effectively reducing the thermal resistance and improving the long-term reliability. Traditional substrate-attach materials limit the high-temperature applications of packaging modules due to their high thermal resistance and high-temperature reliability. To solve the above deficiency, a copper foam-silver composite was proposed in this paper, which was prepared by mixing copper foam solid skeleton with micron silver paste. According to the results of thermogravimetric analysis (TGA) of silver paste, the preheating process was determined and sintered at 270°C and 10MPa. The influence of different preparation technology on the quality of sintered joint was investigated. The morphology characteristics and distribution of sintered silver in the copper foam were observed by scanning electron microscope (SEM). The results show that the sintered silver of group C samples can be uniformly filled into the solid skeleton of copper foam, and the densification degree is high, without cracks, delamination, and holes. The shear strength can reach 55MPa.","large-area bonding; copper foam-Ag composite film; preparation process","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:d870e2f8-78ae-43d5-bef2-351fb755d8d6","http://resolver.tudelft.nl/uuid:d870e2f8-78ae-43d5-bef2-351fb755d8d6","Research on Thermal-Mechanical Properties of GaN Power Module Based on QFN Package by Using Nano Copper/Silver Sinter Paste","Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University); Tan, C. (TU Delft Electronic Components, Technology and Materials); Wang, S. (TU Delft Bio-Electronics); Xie, Bin (Hong Kong Applied Science and Technology Research Institute); Ye, H. (Southern University of Science and Technology)","","2022","The wide-bandgap semiconductors represented by GaN have a broad application prospect because of their high service temperature and high switch frequency. Quad-Flat-No-Lead (QFN) Package is currently one of the mainstream packaging methods due to its low cost and high efficiency. However, the low reliability of QFN used in GaN devices is still a crucial problem caused by elevated temperatures and the thermal stress induced by the mismatch of coefficient of thermal expansion (CTE). Therefore, it is necessary to control the temperature inner the package and increase the mechanical property of the bonding layer. In this paper, the finite element method (FEM) with thermal-mechanical coupling is performed to optimize the reliability of the bonding layer by adopting sinter nano Cu and silver. Based on the conventional QFN package module, we tried to add different metallization on the bonding surface to decrease the influence of CTE mismatch. We should note that the Anand viscoplastic model was used in the materials of Sintered Ag and lead-free solder paste presented by SAC305, which were the most commonly used in die-attachment. The results showed that the utilization of nano copper/silver paste could hardly facilitate thermal performance although sintered Ag had excellent thermal conductivity. Since the Anand modules of Ag and SAC305 were different, there were some impacts on the stress distribution and deformation. During the bonding process, a large thermal stress generated between die-attachment layer and Package or the PCB. The die-attachment layer formed by nano Ag paste suffered the smaller thermal stress because its CTE is comparable to that of thermal pad. In terms of sintered Ag, the bonding layer generated more elastic strain. As the deformation recovered to initial stage, the stress decreased because of the elastic strain. And we also found that the Ag metallization could decreased the maximum stress of model at heating stage. But Ag metallization suffered larger thermal stress as the temperature decreased. The selection of connection materials and metallization are a crucial part of design the structure of electronic package. And this paper could provide a reference for optimize the package structure to further improve their reliability in future works.","GaN; QFN; simulation; sintered Ag; thermal stress","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","http://resolver.tudelft.nl/uuid:ddbcfa30-6322-4b50-aad3-d61e386e5eec","Finite Element Analysis of Power Module Packages with One-step Molding for Power Inductors","Li, Xiao (Fudan University); Tang, Jiuyang (Fudan University); Zhao, Jiayan (Mazo Technology Company Limited); Li, Jinbing (Mazo Technology Company Limited); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Pan (Fudan University)","","2022","With the development of 5G communication technology and the rise of power semiconductors, the switching frequency of the circuit keeps increasing, which pushes for miniaturization of power modules and related components. Therefore, in this paper, a one-step molding technology was proposed for a DC/DC buck converter power module. We proposed a method of using Soft Magnetic Powder filled Epoxy (SMPE) adhesive as a molding material to encapsulate a power module, which is a DC/DC buck converter power module contains several passive components, 1 power inductor, and a high-efficiency switching regulator with two integrated N-channel MOSFETs. On the basis of Finite Element Method (FEM), models were firstly established with component level moldings and checked with actual module samples for calibration. Based on the calibrated model, inductors without component level molding were then simulated. SMPE with 4~7μm insulated carbonyl ferrous powder were prepared and measured the magnetic relative permeability. Such material was investigated to pot the whole power module as a one-step molding, instead of separate molding for the power inductor and the power module. After that, thermal analysis and inductance were calculated and compared.","Finite element simulation; Power module packaging; Relative Permeability; Thermal management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:03567474-815f-4139-8e92-7de9f3018704","http://resolver.tudelft.nl/uuid:03567474-815f-4139-8e92-7de9f3018704","Graph Convolution-Based Deep Reinforcement Learning for Multi-Agent Decision-Making in Interactive Traffic Scenarios","Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Li, Xueyuan (Beijing Institute of Technology); Wu, Jingda (Nanyang Technological University); Yuan, Shihua (Beijing Institute of Technology)","","2022","A reliable multi-agent decision-making system is highly demanded for safe and efficient operations of connected and autonomous vehicles (CAVs). In order to represent the mutual effects between vehicles and model the dynamic traffic environments, this research proposes an integrated and open-source framework to realize different Graph Reinforcement Learning (GRL) methods for better decision-making in interactive driving scenarios. Firstly, an interactive driving scenario on the highway with two ramps is constructed. The vehicles in this scenario are modeled by graph representation, and features are extracted via Graph Neural Network (GNN). Secondly, several GRL approaches are implemented and compared in detail. Finally, The simulation in the SUMO platform is carried out to evaluate the performance of different G RL approaches. Results are analyzed from multiple perspectives to compare the performance of different G RL methods in intelligent transportation scenarios. Experiments show that the implementation of GNN can well model the interactions between vehicles, and the proposed framework can improve the overall performance of multi-agent decision-making. The source code of our work can be found at https://github.com/Jacklinkk/TorchGRL.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:1dec8ae3-f12e-4cfe-b3b0-5932eb39f2ed","http://resolver.tudelft.nl/uuid:1dec8ae3-f12e-4cfe-b3b0-5932eb39f2ed","LQR Optimal Control of Four-steering Vehicle Based on Particle Swarm Optimization Algorithm","Zhu, Songfeng (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Qu, Xinyi (Inner Mongolia First Machinery Group); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","","2022","This paper proposes a linear quadratic controller based on particle swarm algorithm for the rear wheel control of four-wheel steering vehicle. Particle swarm optimization with fitness functions is used to optimize the coefficients of the weight matrix offline. The fuzzy rules following the controller is used if the road condition is terrible. The simulation results show that the LQR control model based on particle swarm optimization makes the trajectory tracking of the vehicle better and the side slip angle of the vehicle lower. It can be proved that the controller has positive effect on handling stability of the vehicle and safety of drivers.","fuzzy controller; LQR; particle swarm optimization; side slip angle","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:248b2da3-cea9-4fb1-8266-4e987c6a5140","http://resolver.tudelft.nl/uuid:248b2da3-cea9-4fb1-8266-4e987c6a5140","Doplor Sleep: Monitoring Hospital Soundscapes for Better Sleep Hygiene","Ozcan Vieira, E. (TU Delft Design Aesthetics); Liu, Y. (Student TU Delft); Vroon, Jered (TU Delft Internet of Things); Kamphuis, Daan (Reinier de Graaf Gasthuis); Spagnol, S. (TU Delft Design Aesthetics)","","2022","Good sleep is conducive to the recovery process of hospital patients - and yet, in many wards, sleep duration and quality can often be suboptimal, in part due to modifiable hospital-related sounds and noises. At the neurological ward of the Reinier de Graaf hospital in Delft, the Netherlands, we developed and evaluated a prototype information exchange system to raise awareness of specific sounds as disturbing patients' sleep. The system both classifies different relevant sound events and tracks sleep quality (using a Fitbit device). This information is then visualized for patients and staff to present the influence of the soundscape on patients' sleep hygiene in a friendly and comprehensive way. We discuss the design process, including a context study and various evaluations of the technology, interface, and created affordances. Our initial findings indicate that visualizing hospital soundscapes may, indeed, support both patients and staff in their efforts towards better sleep hygiene.","data visualization; design for healthcare; Sound-driven design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:4fba194c-76b3-4c8b-bd3d-ba0279e9726d","http://resolver.tudelft.nl/uuid:4fba194c-76b3-4c8b-bd3d-ba0279e9726d","Comparative Analysis of a Detailed and an Average VARC DCCB model in MTDC Systems","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Liu, Siyuan (Xian Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","Direct current circuit breaker (DC CB) is the key component to provide reliable operation of Multi-terminal Direct Current (MTDC) system. Fast, effective and accurate DC CB models are needed for system-level studies. Due to large number of components in the DC CB, its detailed modeling is needed in order to simulate current interruption process correctly. However, the simulation time may be longer depending on the network complexity. This paper proposes an average model which is compared to a detailed model of a Voltage-source-converter resonant current (VARC) DC CB in an MTDC system in terms of its performance and computation time for two typical simulation cases. The average and the detailed model are modelled and simulated in PSCAD/EMTDC environment. An accurate response of the average model during fast transient event is presented, showing additional computational advantage.","Average model; VARC DC circuit breaker; HVDC; MTDC","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-27","","","Intelligent Electrical Power Grids","","",""
"uuid:bd9afb96-7c16-4be6-a5d0-fe5c022935d2","http://resolver.tudelft.nl/uuid:bd9afb96-7c16-4be6-a5d0-fe5c022935d2","Cross Domain Image Matching in Presence of Outliers","Liu, X. (TU Delft Pattern Recognition and Bioinformatics); Khademi, S. (TU Delft Pattern Recognition and Bioinformatics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2019","Cross domain image matching between image collections from different source and target domains is challenging in times of deep learning due to i) limited variation of image conditions in a training set, ii) lack of paired-image labels during training, iii) the existing of outliers that makes image matching domains not fully overlap. To this end, we propose an end-to-end architecture that can match cross domain images without labels in the target domain and handle non-overlapping domains by outlier detection. We leverage domain adaptation and triplet constraints for training a network capable of learning domain invariant and identity distinguishable representations, and iteratively detecting the outliers with an entropy loss and our proposed weighted MK-MMD. Extensive experimental evidence on Office [17] dataset and our proposed datasets Shape, Pitts-CycleGAN shows that the proposed approach yields state-of-the-art cross domain image matching and outlier detection performance on different benchmarks. The code will be made publicly available.","Domain adaptation; Image matching; Outlier detection","en","conference paper","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:7f07410e-93d2-4cd0-8033-cf0067395bab","http://resolver.tudelft.nl/uuid:7f07410e-93d2-4cd0-8033-cf0067395bab","Digital methods for mapping landscape space","Liu, M. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture)","Gao, Lei (editor); Egoz, Shelley (editor)","2019","","Landscape architecture education; mapping; spatio-visual landscape characteristic","en","conference paper","Norwegian University of Life Sciences","","","","","","","","","","Landscape Architecture","","",""
"uuid:22c32ee9-4edf-4986-b6f5-f0cacf422791","http://resolver.tudelft.nl/uuid:22c32ee9-4edf-4986-b6f5-f0cacf422791","Virtual Reality and Convolutional Neural Networks for Railway Catenary Support Components Monitoring","Liu, Wenqiang (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2019","The development of algorithms for detecting failures in railway catenary support components has, among others, one major challenge: data about healthy components are much more abundant than data about defective components. In this paper, virtual reality technology is employed to control the learning environment of convolutional neural networks (CNNs) for the automatic multicamera-based monitoring of catenary support components. First, 3D image data based on drawings and real-life video images are developed. Then, a virtual reality environment for monitoring the catenary support system is created, emulating real-life conditions such as measurement noise and a multicamera train simulation to resemble state-of-the-art monitoring systems. Then, CNNs are used to extract and fuse the features of multicamera images. Experiments are conducted for monitoring the cantilever support connection, both down (CSC-D) and up (CSC-U), and registration arm support connection, both down (RASC-D) and up (RASC-U). Experimental results show that the CNNs trained in the virtual reality environment can capture the most relevant spatial information of the catenary support components. Multicamera image detection based on CNNs detects screw loss for all four components. For CSC-D and RASC-U, normal and pin-loss images are also fully detected. A challenge remains in increasing the pin-loss detection for both CSC-U and RASC-D.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-04-30","","","Railway Engineering","","",""
"uuid:3d95605c-de1c-4ed6-88ff-0ca0fc68c6f4","http://resolver.tudelft.nl/uuid:3d95605c-de1c-4ed6-88ff-0ca0fc68c6f4","Experimental and numerical validation of an inter-ply friction model for thermoset based fibre metal laminate under hot-pressing conditions","Liu, S. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","Vassilopoulos, Anastasios P. (editor); Michaud, Véronique (editor)","2022","Hot-pressing can be an attractive fabrication method that enables the forming of hybrid materials like thermoset based fibre metal laminates. However, the process simulation on press forming requires accurate material characterization and boundary conditions to facilitate part design for a defect-free component. In order to improve the overall predictive simulation quality, the inter-ply sliding at metal-prepreg interfaces which is one of the critical deformation mechanisms is considered. An inter-ply friction model has been established using an experimental friction test apparatus and the effect of slip rate, normal force and temperature is taken into consideration. To validate the proposed friction model, a comparative study between results obtained from the numerical model and the experimental ones is carried out. The research demonstrates that the inter-ply friction model can be a valuable building block for the finite element simulation of the hot-pressing process for thermoset based fibre metal laminates.","Fibre metal laminates (FMLs); Inter-ply friction; Hot-pressing; Numerical simulation","en","conference paper","EPFL Lausanne, Composite Construction Laboratory","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:1a458777-00fe-4ae0-b09a-f2b2ac9a80c0","http://resolver.tudelft.nl/uuid:1a458777-00fe-4ae0-b09a-f2b2ac9a80c0","Embedding Adaptive Features in the ArduPilot Control Architecture for Unmanned Aerial Vehicles","Li, Peng (Southeast University); Liu, Di (Technische Universität München; Southeast University); Xia, Xin (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2022","The operation of Unmanned Aerial Vehicles (UAVs) is often subject to state-dependent alterations and unstructured uncertainty factors, such as unmodelled dynamics, environmental weather disturbances, aerodynamics gradients, or changes in inertia and mass due to payloads. While a large number of autopilot solutions have been proposed to operate UAVs, none of these solutions is able to counteract the effects of state-dependent and unstructured uncertainties online by parameter estimation and adaptive control techniques. This work presents a systematic integration of adaptive control into ArduPilot, a popular open-source autopilot suite maintained by a large community of UAV developers. Adaptation features are embedded in the ArduPilot control structure without altering the original architecture, to allow users to use the autopilot suite as usual. Tests show that the proposed adaptive ArduPilot provides consistent improved performance in several uncertain flight conditions. The source code of the proposed adaptive ArduPilot is released at https://github.com/Friend-Peng/Adaptive-ArduPilot-Autopilot.","Uncertainty; Systematics; Parameter estimation; Source coding; Autonomous aerial vehicles; Aerodynamics; Autopilot","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Bart De Schutter","","",""
"uuid:955e1492-4f5a-4782-8042-cf0961ae9289","http://resolver.tudelft.nl/uuid:955e1492-4f5a-4782-8042-cf0961ae9289","An Economic Model Predictive Control Approach for Load Mitigation on Multiple Tower Locations of Wind Turbines","Feng, Z. (TU Delft Team Riccardo Ferrari); Gallo, A.J. (TU Delft Team Riccardo Ferrari); Liu, Y. (TU Delft Team Riccardo Ferrari); Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","The current trend in the evolution of wind turbines is to increase their rotor size in order to capture more power. This leads to taller, slender and more flexible towers, which thus experience higher dynamical loads due to the turbine rotation and environmental factors. It is hence compelling to deploy advanced control methods that can dynamically counteract such loads, especially at tower positions that are more prone to develop cracks or corrosion damages. Still, to the best of the authors’ knowledge, little to no attention has been paid in the literature to load mitigation at multiple tower locations. Furthermore, there is a need for control schemes that can balance load reduction with optimization of power production. In this paper, we develop an Economic Model Predictive Control (eMPC) framework to address such needs. First, we develop a linear modal model to account for the tower flexural dynamics. Then we incorporate it into an eMPC framework, where the dynamics of the turbine rotation are expressed in energy terms. This allows us to obtain a convex formulation, that is computationally attractive. Our control law is designed to avoid the ""turn-pike"" behavior and guarantee recursive feasibility. We demonstrate the performance of the proposed controller on a 5MW reference WT model: the results illustrate that the proposed controller is able to reduce the tower loads at multiple locations, without significant effects to the generated power.","Economics; Vibrations; Damping; Computational modeling; Poles and towers; Fatique; Wind turbines","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Team Riccardo Ferrari","","",""
"uuid:e667a7b9-5468-4d83-a805-b0a8a9dbb890","http://resolver.tudelft.nl/uuid:e667a7b9-5468-4d83-a805-b0a8a9dbb890","Low Temperature Sapphire to Silicon Flip Chip Interconnects by Copper Nanoparticle Sintering","Ji, X. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Romijn, J. (TU Delft Microelectronics); van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","The continuous trend to integrate more multi-functions in a package often involves, Heterogeneous Integration of multi-functional blocks in some kind of 3D stacking. The conventional flip chip for die-on-substrate technology applies solder for integration. However, solder joint integration has the disadvantages of restricting height, reflow issues and re-melting at high operating temperatures. Nanometallic particle sintering offers a potential solution for these solder related issues. Nanometallic particle sintering occurs at low temperature and does not reflow and melt at higher temperatures. Hence, it can be applied for quite precise alignment and integration technologies, such as photonic components on silicon for harsh environment applications. In order to test this concept, we use sapphire and Si wafers with different mechanical properties, which can lead to the coefficient of thermal expansion mismatch. The sapphire chip can operate at a higher temperature applied for ultraviolet photonics application. This report describes a novel approach using copper nanoparticles paste patterned through photolithographic stencil printing. The photoresist acts as the stencil mask, and a photoresist lift-off process is applied to strip the photoresist stencil. This process has the advantages of lithographic form factor and precision and provides a chip to chip interconnect with a standard height of 20 µm.","flip chip; heterogeneous integration; copper nanoparticle; nano Cu bump; low temperature sintering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:7b386549-93d1-499f-97cc-a0f55f41405a","http://resolver.tudelft.nl/uuid:7b386549-93d1-499f-97cc-a0f55f41405a","Machine learning assisted early anomaly detection of LEDs with spectral power distribution modeling","Liu, Minne (Fudan University); Ibrahim, Mesfin S. (New Territories); Wen, Minzhen (Fudan University); Li, Sheng (Shanhai Yaming Lighting Co.Ltd); Wang, An (Shanhai Yaming Lighting Co.Ltd); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","Spectral power distribution (SPD) is the radiation power intensity at different wavelengths, containing the most basic photometric and colorimetric performance of the illuminant, which is able to predict the lifetime of LEDs. This paper proposes an SPD model assisted by machine learning algorithms to detect the early failure of white LEDs. The SPD features of 3W high-power white LEDs were firstly extracted by the statistical models of Gaussian, Lorentz, and Asym2sig functions. An unsupervised learning method, principal component analysis (PCA), was then used to reduce the extracted features parameters’ dimensions. Next a K-nearest neighbor (KNN)-based method was used to detect LEDs’ anomalies by dividing the main cluster into groups, and estimating the distance from the center of mass of each cluster to the test point. The results showed the following: (1) for selected white LEDs, the Asym2sig function has a better fitting result than Gaussian and Lorentz functions; (2) machine learning methods can significantly assist in LED anomaly detection and can decrease the amount of anomaly detection time to 789.6 h, compared to the 1311 h when lumen maintenance degradation reaches 70% as required by IES TM21.","White LEDs; Spectral power distribution; Anomaly detection; Principal component analysis; K-nearest neighbor","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Electronic Components, Technology and Materials","","",""
"uuid:b3521dd9-ec1b-41a0-8770-719d7e82373c","http://resolver.tudelft.nl/uuid:b3521dd9-ec1b-41a0-8770-719d7e82373c","Fabrication of Nanoslits with <111> Etching TSWE Method","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Ye, Li (Tsinghua University); Li, Ke (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2021","In this paper, we report a modified three step anisotropic wet etching (TSWE) method to fabricate solid-state silicon nanoslits. The slit-opening process is performed by <111> crystal plane etching. The etching rate of the <111> crystal plane is reasonably slow as it is only 1/45 of the <100> etching rate, thus allowing and therefore good slits-opening controllability. By slowly etching the <111> crystal plane, the over-etching was effectively reduced. Perfectly rectangular nanoslits with different dimensions were successfully obtained. The smallest achieved feature size of the nanoslit is 8.3 nm.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-07","","","Electronic Components, Technology and Materials","","",""
"uuid:3eb663a2-be22-4142-bac8-94aff261c1be","http://resolver.tudelft.nl/uuid:3eb663a2-be22-4142-bac8-94aff261c1be","Microwave heating simulation of asphalt pavements","Wang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Microwave heating is a promising heating technology for the maintenance, recycling and deicing of pavement structures. Many experimental studies have been conducted to investigate the microwave heating properties of asphalt mixtures in the laboratory. However, very few studies investigated the application of microwave heating on asphalt pavements. This study aims to simulate microwave heating of paving materials using the finite element method. Results show that the developed three-dimensional model, which couples the physics of electromagnetic waves and heat transfer, shows a great potential for optimizing the design of microwave heating prototypes for pavement applications.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:4866e2e2-5417-4fc4-b63c-ed6c37fcba03","http://resolver.tudelft.nl/uuid:4866e2e2-5417-4fc4-b63c-ed6c37fcba03","Oxidation simulation of thin bitumen film","Apostolidis, P. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Oxidative aging is a complex phenomenon in bitumen and its fundamental understanding is needed to optimize paving materials with long-lasting characteristics. This research reports on a diffuse-reaction model for predicting the oxidation of bituminous binders over time and under different conditions. As known, the oxidation of bitumen is affected by the material chemistry, film thickness and temperature. Thus, these factors were considered in this research to simulate the oxidation of a thin bitumen film. Carbon compounds were assumed as the oxidation index of a model bitumen and analyses were performed enabling prediction of chemical compositional changes. In the future, the current model can be used to simulate the actual oxidative aging in (un)modified binders, such as epoxy modified asphalt, presented in a companion paper (Apostolidis et al., Kinetics of Epoxy-Asphalt Oxidation. AM3P).","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:ccaca092-bc53-455a-8b89-7b1bf4e0dd41","http://resolver.tudelft.nl/uuid:ccaca092-bc53-455a-8b89-7b1bf4e0dd41","Kinetics of epoxy-asphalt oxidation","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","In-depth understanding of the temperature effect on oxidative aging in epoxy-asphalt blends is needed to enable accurate predictions on material response through their service life. Details of the significance of developing prediction models and tools on oxidative aging of pavement materials are presented in a companion paper (Apostolidis et al., Oxidation Simulation of Thin Bitumen Film. AM3P). In this research, the chemical compositional changes of epoxy modified asphalt binders, with and without filler, were analysed after oven-conditioning by means of Fourier transform infrared (FTIR) spectroscopy. With the carbonyl and sulfoxide compounds as aging indices, the sensitivity of chemical compositional changes of bituminous and epoxy-based systems due to the applied temperatures was observed.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:a6006b83-0cb6-440b-8a38-6c6ff8920ea9","http://resolver.tudelft.nl/uuid:a6006b83-0cb6-440b-8a38-6c6ff8920ea9","Preliminary study on using lignin as aging inhibitor in bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","During oxidative aging, oxygen reacts with active molecules present in bitumen producing polar compounds, principally ketones and sulfoxides, and increasing in the portion of asphaltenes. In general, oxidation reactions in bitumen yields to change its generic chemical composition and finally its colloidal structure deteriorating the physico-mechanical properties. Lignin is a natural polymer, which has been used in this study as an aging inhibitor to bitumen. Particularly, the effect of aging on the microstructure morphology, surface properties, chemical composition and rheological changes of lignin and the impact of latter as anti-oxidant in bitumen were evaluated. For the purposes of this study, Environmental Scanning Electron Microscope, Helium Pycnometer, Dynamic Vapor Sorption devices and were used to analyze the microstructure, density and specific surface area, respectively. Moreover, Fourier Transform Infrared spectroscopy was used to track the compositional changes in lignin-modified bitumen after PAV aging. Dynamic Shear Rheometer was used to analyze the rheological properties. Overall, decreasing in the carbonyl and sulfoxide compounds were tracked in lignin-modified binders confirm that lignin act as an aging inhibitor in bitumen.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:e81050bd-7813-4df6-afe2-0a3fe83ef871","http://resolver.tudelft.nl/uuid:e81050bd-7813-4df6-afe2-0a3fe83ef871","Dissolution simulation of polymers in bitumen","Wang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Kumar, A. (editor); Papagiannakis, A.T. (editor); Bhasin, A. (editor); Little, D. (editor)","2020","Fundamental models should be developed and utilized in order to facilitate the chemo-mechanical design of modified binder systems for paving applications but not only. Especially, the fact that the incorporation of new chemical substances used as bio-based modifiers or alternative binders is attracting great interest to replace traditional technologies, the development of tools able to provide insight into the various physio-chemical phenomena is crucial. Among other polymer-bitumen interaction phenomena, the dissolution mechanism of polymers in bitumen is a significant aspect that should be considering in order to enhance binder properties through polymer modification. The current research gives emphasis on modelling the mechanism of dissolution for rubbery polymers in bitumen.","","en","conference paper","Taylor and Francis","","","","","Accepted Author Manuscript","","2021-08-07","","","Pavement Engineering","","",""
"uuid:a61918b0-4e20-4aca-95bb-f20229f965f7","http://resolver.tudelft.nl/uuid:a61918b0-4e20-4aca-95bb-f20229f965f7","Point clouds and Hydroinformatics","Diaz, Vitali (TU Delft Digital Technologies); Liu, H. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft Digital Technologies); Meijers, B.M. (TU Delft Digital Technologies); Verbree, E. (TU Delft Digital Technologies); Baart, F. (Deltares); Pronk, M.J. (Deltares); Van Lankveld, T. (Netherlands eScience Center)","","2022","Point cloud is made up of a multitude of three-dimensional (3D) points with one or more attributes attached. Point cloud is the third data paradigm in addition to the well-established object (vector) and gridded (raster) representations, since point cloud data can be directly collected, computed, stored, and analyzed without converting to other types. Modern ways of data acquisition, including laser scanning from airborne, mobile, or static platforms, multi-beam echo-sounding, and dense image matching from photos, generate millions to trillions of 3D points with attached attributes. If the collection is carried out in different periods, one of the essential attributes is precisely time, allowing spatiotemporal analysis to be performed. Its use is widespread in some fields such as metrology and quality inspection, virtual reality, indoor/outdoor navigation, object detection, vegetation monitoring, building modeling, cultural heritage, and diverse visualization applications. There are some examples in fields related to hydroinformatics, mainly related to terrain modeling. Due to its nature of big data, over the past decades, a series of developments have been carried out in the different processing chains for the optimal use of point cloud. This research seeks to introduce the various point cloud developments from which the hydroinformatics community and research could benefit. A review of recent advances is made, mainly including the analysis and visualization of point cloud for dealing with water-related problems. Potential areas of application and development in hydroinformatics are identified. These include, for example, the topics of coastal monitoring, coastal erosion, shallow water assessment, ice sheet change analysis, sea-level rise assessment, monitoring of levels in water bodies, crop and vegetation monitoring, analysis of the effects of groundwater depletion, detail tracing of basins and channels, analysis of floods with detailed terrain models, and drought monitoring in crops and forests. The challenges to overcome and ongoing developments regarding point cloud application in hydroinformatics are also discussed.","","en","conference paper","","","","","","Abstract from EGU General Assembly 2022, Vienna, Austria, 23–27 May 2022","","","","","Digital Technologies","","",""
"uuid:623e0fe9-d07c-471d-8093-7e1d38bf2daa","http://resolver.tudelft.nl/uuid:623e0fe9-d07c-471d-8093-7e1d38bf2daa","A 590 μw, 106.6 dB SNDR, 24 kHz BW Continuous-Time Zoom ADC with a Noise-Shaping 4-bit SAR ADC","Mehrotra, Shubham (NXP Semiconductors; Student TU Delft); Eland, Efraim (Student TU Delft); Karmakar, S. (TU Delft Electronic Instrumentation); Liu, Angqi (Student TU Delft); Gonen, B. (TU Delft Electronic Instrumentation; Ethernovia); Bolatkale, M. (TU Delft Electronic Instrumentation; NXP Semiconductors); Van Veldhoven, Robert (NXP Semiconductors); Makinwa, K.A.A. (TU Delft Microelectronics)","","2022","This paper presents a continuous-Time zoom ADC for audio applications. It combines a 4-bit noise-shaping coarse SAR ADC and a fine delta-sigma modulator with a tail-resistor linearized OTA for improved linearity, energy efficiency, and handling of out-of-band interferers compared to previous designs. In 160 nm CMOS, the prototype chip occupies 0.36 mm2, achieves 107.2 dB SNR, 106.6 dB SNDR, and 107.3 dB dynamic range in a 24 kHz bandwidth while consuming 590 μW from a 1.8 V supply. This translates into a Schreier figure-of-merit (FoMs) of 183.4 dB and a FoMSNDR of 182.7 dB.","A/D conversion; audio analog to digital converter (ADC); continuous-Time delta-sigma ADC; dynamic zoom ADC; high linearity operational transconductance amplifier (OTA); low-power circuits; noise-shaping SAR ADC","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","Microelectronics","Electronic Instrumentation","","",""
"uuid:96ac8fbe-9fd9-4407-aeac-ea442229702c","http://resolver.tudelft.nl/uuid:96ac8fbe-9fd9-4407-aeac-ea442229702c","Non-Consensus Opinion Model with Byzantine Nodes","Liu, X. (TU Delft Network Architectures and Services); Achterberg, M.A. (TU Delft Network Architectures and Services); Kooij, Robert (TU Delft BUS/TNO STAFF; TU Delft Network Architectures and Services)","Nace, Dritan (editor); Rak, Jacek (editor); Tomaszewski, Artur (editor)","2022","inion dynamics models study how the interaction among people influences the opinion formation process. In most opinion dynamics models, only one opinion can exist in the steady state, which is different from the real-life opinion formation process. In 2009, Shao et at. introduced a Non-Consensus Opinion (NCO) model, which allows different opinions to coexist in the steady state. This paper extends the NCO model by introducing a special type of nodes, namely Byzantine nodes, to play the role of dishonest people. We perform simulations on three different network models: small-scale graphs, Erdős-Rényi random graphs and scale-free networks. We find a new steady state for the NCO model: the cyclic steady state. The cyclic behavior of the NCO and Byzantine NCO model is discussed, including a method to generate networks with extremely long cycle lengths. Other properties of the Byzantine NCO model, such as the probability of cyclic behavior and the final opinion distribution, are also studied. We find that the introduction of Byzantine nodes generally steers towards a more balanced steady state and increases the probability of cyclic behavior. The latter is particularly problematic in communication systems, where the large cycle lengths may cause a very slow consensus process and thus stalling future communications.","Byzantine nodes.; Complex Networks; Opinion models; Social Dynamics model","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","Network Architectures and Services","","",""
"uuid:06f907ea-7cbb-46bb-863f-87229532e41c","http://resolver.tudelft.nl/uuid:06f907ea-7cbb-46bb-863f-87229532e41c","A Ring-Oscillator Sub-Sampling PLL With Hybrid Loop Using Generator-Based Design Flow","Wang, Zhongkai (University of California); Choi, Minsoo (Samsung Semiconductor); Wright, John (University of California); Lee, Kyoungtae (University of California); Liu, Zhaokai (University of California); Yin, Bozhi (University of California); Han, Jaeduk (Hanyang University); Du, S. (TU Delft Electronic Instrumentation); Alon, Elad (University of California)","","2022","We present a ring-oscillator-based sub-sampling phase-locked loop (PLL) using a generator-based design flow. A hybrid loop with a delta-sigma ($\Delta \Sigma$) modulator is applied to reduce the loop filter (LF) area and the control ripple. The generator automatically produces the ring oscillator and PLL to meet the provided specifications. The 10-GHz PLL instance implemented in 28-nm planar process achieves RMS jitter of}299.5 fs and power of 9.9 mW from a 1-V supply.","PLL; sub-sampling; ring oscillator; hybrid; PLL generator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","http://resolver.tudelft.nl/uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","Study on Sintering Mechanism and Mechanical Properties of Nano-Cu based on Molecular Dynamics Simulation","Qian, Cheng (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2023","Nano-metal materials sintering has received increasing attention in recent years for its promising performance in the wide bandgap semiconductor packaging. In this paper, molecular dynamics (MD) simulation method were applied to simulate the nano-Cu sintering mechanism and the subsequent mechanical behavior. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was carried out at temperatures ranging from 500K to 650K. Furthermore, shearing simulations were conducted with constant strain rates on the sintered structure at multiple temperatures, and subsequently correlated the extracted mechanical properties with the sintering behavior. The results indicated that the mechanical properties of nano-Cu sintered structure were improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response, the shear modulus and shear strength of the sintered structure with NF particles increased to 41.2GPa and 3.51GPa respectively. It offers valuable insights into the preparation phase of nano Cu paste for sintering technology.","Nano Cu sintering; molecular dynamics simulation; Nanoflake; Shearing simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:942520af-7469-4fff-8893-40e007f52496","http://resolver.tudelft.nl/uuid:942520af-7469-4fff-8893-40e007f52496","An experimental and modelling evaluation of the deformation and fracture of quasi-brittle reticulated vitreous carbon foam","Heard, P; Šavija, B. (TU Delft Materials and Environment); Liu, D; Smith, GE; Hallam, KR; Schlangen, E. (TU Delft Materials and Environment); Flewitt, P.E.J.","Gdoutos, Emmanuel E. (editor)","2016","In quasibrittle materials the addition of specific microstructural features such as porosity can lead to departure from linear elastic behaviour prior to maximum force, followed by graceful failure. A simple but extreme example is reticulated vitreous carbon foam, with its opencell structure of brittle ligaments connected in a threedimensional array. Tensile testing has been made on foams with various pore and ligament dimensions to provide a measure of forcedisplacement, combined with acoustic monitoring, and evaluation of the associated elastic moduli and fracture strengths. These tests provide insights into the mechanisms of quasibrittle failure. The results are explored by comparing with predictions from a microstructurebased finite beam element model. Inputs to the model are the elastic modulus and fracture strength of the individual ligaments of the foam measured at the micro lengthscale. Results are discussed with respect to the energy of fracture, the distribution and progression of fracture for individual ligaments.","","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:e80e4e04-70b1-4e5c-b36b-ce2238136d86","http://resolver.tudelft.nl/uuid:e80e4e04-70b1-4e5c-b36b-ce2238136d86","3D RANS simulations of shallow water effects on rudder hydrodynamic characteristics","Liu, J. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2016","An accurate estimation of the rudder forces and moments is essential for manoeuvrability prediction. Previous research has shown that ships have different manoeuvring performance in deep and shallow water. Before considering the rudder’s contribution to shallow water manoeuvring, it is meaningful to analyse the shallow water effects on the rudder itself. In shallow water, the rudder gets close to the channel bottom. Therefore, mirror effects are expected, which may greatly affect the rudder effective aspect ratio and the generated rudder forces. Instead of high-cost model tests and time consuming full ship CFD simulations, this paper applies 3D RANS methods to analyse the shallow water effects on rudder hydrodynamic characteristics. 3D RANS simulations are carried out with a pressure-based coupled algorithm through ANSYS Fluent 16.2. The turbulence is simulated by a realisable k-e turbulence model. Based on a NACA 0020 profile, the method is validated through a comparison of the CFD results with the wind tunnel tests. Then, NACA 0020 spade rudders with geometric aspect ratios of 1.2 and 1.5 are tested with different tip clearance. Rudder lift and drag coefficients are generated to calculate the normal force coefficient for manoeuvring simulations. Finally, shallow water effects on rudder hydrodynamics are summarised.","rudder hydrodynamics; shallow water effect; 3D RANS simulations","en","conference paper","Harbin Engineering University","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:41ce18cd-ebe9-410e-a979-6a56d7e05de2","http://resolver.tudelft.nl/uuid:41ce18cd-ebe9-410e-a979-6a56d7e05de2","Interaction effects on hydrodynamic characteristics of twin rudders","Liu, J. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2016","In order to reach the required manoeuvrability, inland vessels often use twin rudders, but the interaction effects are poorly understood. To achieve a proper configuration, this paper applies 2D RANS simulations to analyse the interaction effects on the twin-rudder hydrodynamics. Various twin-rudder configurations with different profiles and spacing of the rudders are studied. RANS simulations are carried out with a k-w SST turbulence model and a pressure-based coupled algorithm. Commercial CFD package ANSYS Meshing and ANYSYS Fluent are applied as the mesh generator and the numerical solver. Series of NACA, IFS, and Wedge-tail profiles are tested and compared in various configurations. Finally, the interaction effects on twin-rudder hydrodynamic characteristics are summarised.","rudder hydrodynamic characteristics; rudder interactions; twin-rudder ships; Computational Fluid Dynamics","en","conference paper","Harbin Engineering University","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:e827dc6e-1020-4205-9b58-754a36454f66","http://resolver.tudelft.nl/uuid:e827dc6e-1020-4205-9b58-754a36454f66","Design research on business models in home healthcare","Simonse, LWL (TU Delft OLD Management and Organisation); Zonneland, J (External organisation); Liu, KQ (External organisation); Govers, F (External organisation); Vincent, R (External organisation); Laban, V (External organisation); Jensen, MG (External organisation); van Roest, I (External organisation); Hulsebosch, M (External organisation); Hibolling, S (External organisation); Voorend, M (External organisation)","Jun, C (editor); Lockwood, T (editor); Liu, JK (editor); Wang, S (editor); Song, Q (editor); Siu, N (editor); Lee, A (editor)","2011","In Home Healthcare, a huge number of telemonitoring pilots have been executed. Positive indications suggest reduction of health problems and support in helping patients to gain control. For healthcare providers, significantreductions in healthcare costs have been estimated. However,” despite this large amount of pilot studies and the positive indications of benefits, there is only minor use of these telemonitoring systems outside research projects”.Gruber ea.(2009) identified a major innovation barrier for the diffusion of telemonitoring solutions to health care providers: “Unclear business models and high costs of change for the health providers”. Traditional businessmodels of the healthcare providers seem not to fit with the telemonitoring solutions. We started a design research study to investigate the business model designs of home healthcare services. We used an inductive researchapproach by in-depth qualitative analysis grounded in telemonitoring pilot studies. We first build our research on the four box framework of business model designs (ea. Johnson ea. 2008, Amit & Zott, 2009). Then we exploredhome healthcare services in the Netherlands and China. In the Netherlands we collected data from different actor perspectives (#32). In Eastern China we collected data from end users (#8) and compared this to an end user groupsin the Netherlands. These findings results in an overview of insights for business models in home health care.","","en","conference paper","Tsinghua-DMI","","","","","","Campus only","","","","OLD Management and Organisation","","",""
"uuid:40e6a41f-757e-4960-be47-7d4bdb179804","http://resolver.tudelft.nl/uuid:40e6a41f-757e-4960-be47-7d4bdb179804","Comparison of Nested-Loop Rotors in Brushless Doubly-Fed Induction Machines","Wang, X. (TU Delft DC systems, Energy conversion & Storage); Liu, D. (TU Delft DC systems, Energy conversion & Storage); Lahaye, D.J.P. (TU Delft Numerical Analysis); Polinder, H. (TU Delft DC systems, Energy conversion & Storage); Ferreira, Jan Abraham (TU Delft Electrical Power Processing)","","2017","The brushless doubly-fed induction machine (DFIM) has great potential as a variable-speed generator for wind turbine applications. This special machine has a richer space-harmonic spectrum due to its special nested-loop rotor construction compared with conventional induction machines. It may result in higher iron losses, higher torque ripple and more time-harmonics adding to the grid total harmonic distortion (THD). This paper applies the 2D finite element (FE) model to investigate several different nested loop rotor constructions. It shows the outer loop makes more contribution to the torque while the inner loop plays a small role in the torque production. The most outer loop determines the overall THD level while the inner one has little influence on it. The THD could be reduced by increasing the number of the outer loops. More machine performances could be studied to derive more guidelines for designing the
middle loops.","permanent magnet machine; Concentrated winding; eddy current losses; experimental validation","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:624e0a6f-854f-463b-be4c-62af81f363ad","http://resolver.tudelft.nl/uuid:624e0a6f-854f-463b-be4c-62af81f363ad","Finite Element Analysis and Experimental Validation of Eddy Current Losses in Permanent Magnet Machines with Fractional-Slot Concentrated Windings","Wang, X. (TU Delft DC systems, Energy conversion & Storage); Liu, D. (TU Delft DC systems, Energy conversion & Storage); Lahaye, D.J.P. (TU Delft Numerical Analysis); Polinder, H. (TU Delft DC systems, Energy conversion & Storage); Ferreira, Jan Abraham (TU Delft Electrical Power Processing)","","2016","Permanent-magnet machines with fractional slot concentrated windings are easy to manufacture. Their popularity therefore is steadily increasing. Without a proper design, however, the induced eddy-current losses in the solid rotor get rather high. The modeling and the prediction of eddy-current losses for these machines are thus very important during the design process. This paper focuses on the finite-element analysis and the experimental validation of eddy-current losses for this kind of machine with a small axial length. Two-dimensional and three-dimensional transient finite-element models are developed for computing the eddy-current losses. The rotor motion is taken into account using an Arbitrary Lagrangian-Eulerian formulation. The total iron losses are measured experimentally and a method to separate the rotor iron losses from the total iron losses is presented. The validation results show that the twodimensional finite-element model overestimates the losses due to the end-effects being neglected. The three-dimensional model agrees much better with the measurements in both no-load and on-load operations.","Concentrated winding; eddy current losses; experimental validation; permanent magnet machine","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:b70c44f1-afb3-4f5b-8390-92be89bf637b","http://resolver.tudelft.nl/uuid:b70c44f1-afb3-4f5b-8390-92be89bf637b","Topology Comparison of Superconducting Generators for 10-MW Direct-Drive Wind Turbines: Cost of Energy Based","Liu, D. (TU Delft DC systems, Energy conversion & Storage); Polinder, H. (TU Delft DC systems, Energy conversion & Storage); Abrahamsen, Asger Bech (Technical University of Denmark); Ferreira, Jan Abraham (TU Delft Electrical Power Processing)","","2016","Superconducting synchronous generators (SCSGs) are being proposed for 10-MW direct-drive wind turbines, because of their advantages of low weight and compactness. So far, however, there has not been a commonly accepted design philosophy of SCSGs and various possibilities with many tradeoffs remain for study. Partially SCSGs are considered a starting point since excessive AC losses in armature windings can be avoided. Many topologies can be applied to partially SCSGs and may significantly affect the performance indicators (PIs) of a wind turbine. Since cost of energy (CoE) is usually used as a key PI to evaluate the feasibility of an SCSG in wind turbine applications, this paper compares twelve topologies using MgB2 wires regarding the capital CoE as well as other resulting PIs. These topologies cover most possibilities for a radial-flux SCSG and four scenarios are investigated regarding the used MgB2 wire. The comparison results shows clear trends of these PIs over the twelve topologies and can be used as a reference for designing an SCSG for large direct-drive wind turbines.","","en","conference paper","","","","","","Activities/Press-Media?","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:170cc1de-39a6-4906-ad7a-935382da4232","http://resolver.tudelft.nl/uuid:170cc1de-39a6-4906-ad7a-935382da4232","New method for discriminating 4D time shifts in the overburden and reservoirr","Liu, Yi (Norwegian University of Science and Technology (NTNU)); Arntsen, B (Norwegian University of Science and Technology (NTNU)); Landrö, M (Norwegian University of Science and Technology (NTNU)); van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","Sicking, Charles (editor); Ferguson, John (editor)","2016","Understanding seismic changes in the subsurface is important for reservoir management and health, safety and environmental (HSE) issues. Typically the changes are interpreted based on the time shifts in seismic time-lapse (4D) data, where sources are at the surface and receivers are either at the surface or in a borehole. With these types of acquisition geometry, it is more straightforward to detect and interpret changes in the overburden, close to the source and receivers, than changes in the deeper part close to the reservoir, because the time shift is accumulative along its ray path from source to receiver. We propose a new method for reconstructing the reflection responses of the overburden and the reservoir, separately, for 4D time shift analysis. This method virtually moves sources and receivers to a horizontal borehole level, which enables a more direct interpretation of the time shifts to the changes close to the borehole, instead of to the surface. A realistic field model is used to demonstrate the method, and we observe a clear discrimination of the different time shifts in the overburden and reservoir, which is not obvious in the original datasets.","reconstruction; time-lapse; traveltime; downhole receivers; internal multiples","en","conference paper","SEG","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:3a7b769d-912a-4776-b29f-c03cec003e84","http://resolver.tudelft.nl/uuid:3a7b769d-912a-4776-b29f-c03cec003e84","Accelerated ageing protocols for (polymer modified) PA to obtain representative (rheological) properties, mimicking field aged materials","Mookhoek, S.D. (TNO); Liu, G. (TU Delft OLD Road and Railway Engineering); Erkens, S. (TU Delft Pavement Engineering); Giezen, C; Voskuilen, Jan (Ministry of Infrastructure and the Environment)","","2014","In this work ageing protocols for asphalt and mastics were developed and investigated; i.e representation of the field ageing and their acceleration degree. Here it was aimed to age laboratory prepared specimen analogous to naturally aged materials in the field and compare their material properties. Such simulation of ageing is of importance in order to potentially specify and objectively assess the properties of (novel) binders and asphaltic materials for Porous Aaphalt (PA). Hence, two ageing protocols were developed and investigated; one ageing protocol for asphalt mixtures and one for asphalt mastics. The rheological (DSR) properties of binders from the laboratory aged materials have been evaluated in comparison to binders from field aged specimen.","","en","conference paper","Delft University of Technology","","","","","","Campus only","","","","Pavement Engineering","","",""
"uuid:4fa03e3d-11df-4323-b547-c96a62e4c6ee","http://resolver.tudelft.nl/uuid:4fa03e3d-11df-4323-b547-c96a62e4c6ee","Thermal Energy Recovery from Drinking Water","van der Hoek, J.P. (TU Delft Sanitary Engineering); Mol, S (Waternet); Ahmad, J.I. (TU Delft Sanitary Engineering); Liu, G. (TU Delft Sanitary Engineering); Medema, G.J. (TU Delft Sanitary Engineering)","Krope, J. (editor); Ghani Olabi, A. (editor); Goricanec, D. (editor); Bozicnik, S. (editor)","2017","","cold recovery; Greenhouse Gas emissions; drinking water; microbiological water quality; thermal energy","en","conference paper","University of Maribor Press","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4710d27e-a19a-42fb-8c6b-ef0d6c0bceaf","http://resolver.tudelft.nl/uuid:4710d27e-a19a-42fb-8c6b-ef0d6c0bceaf","Identification of the catenary structure wavelength using pantograph head acceleration measurements","Wang, H. (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2017","For the condition monitoring of railway catenaries, the potential utilization of pantograph head (pan-head) vertical acceleration instead of pantograph-catenary contact force is discussed in this paper. In order to establish a baseline of the pan-head acceleration before it can be used for health condition monitoring, one of the essential frequency components, namely the catenary structure wavelength (CSW) is studied. Based on insitu measurements and feature analysis of the pan-head acceleration signal, an adaptive signal filtering approach is proposed to realize the identification of the CSWs. Preliminary results suggest that the CSWs contained in the pan-head acceleration can be reliably identified by the proposed filtering approach.","Adaptive signal filtering; Catenary health condition monitoring; Catenary structure wavelength; Identification; In-situ measurements; Pantograph head acceleration","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Accepted Author Manuscript","","","","","Railway Engineering","","",""
"uuid:61610d9a-db9e-44ef-aa18-a4b178fb620c","http://resolver.tudelft.nl/uuid:61610d9a-db9e-44ef-aa18-a4b178fb620c","Up-Down Wavefields Reconstruction in Boreholes Using Single-Component Data","Liu, Y. (Norwegian University of Science and Technology (NTNU)); Arntsen, B (Norwegian University of Science and Technology (NTNU)); van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2017","A standard procedure in processing vertical seismic profile (VSP) data is the separation of up-and downgoing wavefields. We show that the up-down wavefields in boreholes can be reconstructed using only singlecomponent borehole data, given that a full set of surface reflection data is also available. No medium parameters are required. The method is wave-equation based for a general inhomogeneous lossless medium with moderately curved interfaces. It relies on a focusing wavefield from the Marchenko method, which gives the recipe for finding this wavefield that satisfies certain focusing conditions in a reference medium. The up-down wavefields are then reconstructed at borehole positions using this focusing wavefields and the surface reflection response. We show that the method is applicable to boreholes with any general orientation. The requirement is that the source positions in the surface data are regularized to be the same as those in the borehole data, and that source deconvolution and surface multiple removal are applied for the surface data. Numerical results from a field in the North Sea are shown, and three different borehole geometries (horizontal, deviated and vertical) are tested. The result shows that the reconstructed up-down wavefields agree well with those by conventional separation methods.","","en","conference paper","EAGE","","","","","","","2018-06-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:d62f94d1-34bb-4dfb-9a6c-1b16e6899018","http://resolver.tudelft.nl/uuid:d62f94d1-34bb-4dfb-9a6c-1b16e6899018","Autoregressive Moving Average Graph Filter Design","Liu, J. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","Glineur, F. (editor); Louveaux, J. (editor)","2016","To accurately match a finite-impulse response (FIR) graph filter to a desired response, high filter orders are generally required leading to a high implementation cost. Autoregressive moving average (ARMA) graph filters can alleviate this problem but their design is more challenging. In this paper, we focus on ARMA graph filter design for a known graph. The fundamental aim of our ARMA design is to create a good match to the desired response but with less coefficients than a FIR filter. Our design methods are inspired by Prony’s method but using proper modifications to fit the design to the graph context. Compared with FIR graph filters, our ARMA graph filters show better results for the same number of coefficients.","","en","conference paper","Université Catholique de Louvain, Belgium","","","","","","","","","","Signal Processing Systems","","",""
"uuid:6dfb7570-0bf9-4bde-abd1-7aa1a021b551","http://resolver.tudelft.nl/uuid:6dfb7570-0bf9-4bde-abd1-7aa1a021b551","Quantification the filling of microcracks due to Autogenous self-healing in cement paste","Chen, J. (TU Delft Materials and Environment); Liu, Xian (Tongji University); Ye, G. (TU Delft Materials and Environment)","Azenha, M. (editor); Gabrijel, I. (editor); Schlicke, D. (editor); Kanstad, T. (editor); Mejlhede Jensen, O. (editor)","2016","Microcracks play vital roles in the prediction of the service life of concrete structure. Because microcracks in concrete structure are the preferential ingression channels for aggressive ions, e.g., chloride, sulphate, etc. However, microcracks have potentials to self-heal autogenously due to the continuous hydration of unhydrated cement, especially when ultra-/ high strength concrete is used. To quantify the autogenous self-healing effects of microcracks in cement paste, our experiment is designed to monitor the self-healing process of microcracks in cement paste continuously by using optical microscope. The healing products are quantified by image analysis with newly implemented software in MATLAB. The results indicate that the microcracks are not filled evenly along the crack length and most healing products are Ca(OH)2, which dissolve partly from the paste matrix and re-nucleate in the microcrack, in addition to its counterpart from the continuous hydration of unhydrated cement. Furthermore, the sample cracked at earlier age shows higher potential to heal, while the sample with smaller crack width experiences greater filling efficiency. The obtained autogenous selfhealing mechanism will be used in the future simulation.","Autogenous self-healing; Microcracks; Service life prediction; Image analysis","en","conference paper","RILEM Publications S.A.R.L.","","","","","","","","","","Materials and Environment","","",""
"uuid:95a439c7-16a5-4308-9808-ced78d3febd3","http://resolver.tudelft.nl/uuid:95a439c7-16a5-4308-9808-ced78d3febd3","Experimental and computational investigation of gas diffusion in bitumen","Jing, R. (TU Delft Pavement Engineering); van Lent, Diederik (TNO); Kasbergen, C. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2017","When oxygen diffuses in a bituminous film, it also reacts, simultaneously, with the constituents of the bitumen and as such it is gradually depleted. This depletion process masks the characteristics of the actual diffusion process and complicates the determination of the diffusion coefficient needed for computation of oxygen concentration and its effects on bitumen degradation due to aging. In the present study, experiments were carried out to measure objectively oxygen absorption in bitumen at various temperatures independently of oxygen depletion phenomena. To achieve this, an improved version of van Oort’s test set up was utilized and oxygen was replaced by nitrogen. A Laplace transform based numerical technique was developed for processing the test results to determine the diffusion coefficients under various conditions. Once validated, they were used in finite element simulations to demonstrate the influence of time and temperature on gas diffusion and concentration in porous asphalt mixtures.","Gas diffusion; Laplace transform; theorem of Residues; finite element method; porous asphalt","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9437c6cd-f33f-4317-9020-82f05da5f1b6","http://resolver.tudelft.nl/uuid:9437c6cd-f33f-4317-9020-82f05da5f1b6","Intelligent condition monitoring of railway catenary systems: A Bayesian Network approach","Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Chen, Junwen (Southwest Jiaotong University)","Spiryagin, Maksym (editor); Gordon, Timothy (editor); Cole, Colin (editor); McSweeney, Tim (editor)","2017","This study proposes a Bayesian network (BN) dedicated for the intelligent condition monitoring of railway catenary systems. It combines five types of measurements related to catenary condition, namely the contact wire stagger, contact wire height, pantograph head displacement, pantograph head vertical acceleration and pantograph-catenary contact force, as inputs
based on their physical meanings and correlations. It outputs an integrated indicator of catenary condition level. The BN parameters are learned from historical measurement data. Preliminary results shows the applicable ability of the BN to integrate multiple types of parameter while make sense of the output to facilitate maintenance decision making.","","en","conference paper","","","","","","","","","","","Railway Engineering","","",""
"uuid:720f2c1d-8556-4182-b679-7e00fe30e68a","http://resolver.tudelft.nl/uuid:720f2c1d-8556-4182-b679-7e00fe30e68a","Real Time Selective Detection of Experimentally Generated DC Series Arcs","Liu, Zhihao (Student TU Delft); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Ramirez Elizondo, L.M. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2017","Localization of series arc faults in dc microgrids is an important requirement to guarantee operational safety and uninterrupted power to end users. In the previous EPE-ECCE proceeding, a theoretical proof was provided for the selective series arc detection using a novel algorithm. In the current paper, the concept is validated by showcasing real time localized detection when series arc is initiated between point of common coupling and one of the parallel loads. Experiments are repeated several times to gain statistical significance to account for the stochastic nature of the arcing phenomenon.","Resistance; Voltage measurement; Inductance; Real-time systems; Threshold voltage; Capacitance; Europe","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:d6a81a6c-ce0d-4f37-a7d6-c61f9b22a0f4","http://resolver.tudelft.nl/uuid:d6a81a6c-ce0d-4f37-a7d6-c61f9b22a0f4","Multi-Objective Performance Evaluation of the Detection of Catenary Support Components Using DCNNs","Liu, W. (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering); Wang, Liyou (Southwest Jiaotong University); Liu, Kai (Southwest Jiaotong University); Lyu, Yang (Southwest Jiaotong University); Wang, H. (Southwest Jiaotong University)","De Schutter, Bart (editor); Ferrara, Antonella (editor)","2018","The goal of this paper is to evaluate from a multi-objective perspective the performance on the detection of catenary support components when using state-of-the-art deep convolutional neural networks (DCNNs). The detection of components is the first step towards a complete automatized monitoring system that will provide actual information about defects in the catenary support devices. A series of experiments in an unified test environment for detection of components are performed using Faster-CNN, R-FCN, SSD, and YOLOv2. Through the comparison of different assessment indicators, such as precision, recall, average precision and mean average precision, the detection performance of the different DCNNs methods for the components of the catenary support devices is analyzed, discussed and evaluated. The experiment results show that among all considered methods, R-FCN is the more suitable for the detection of catenary support components.","Catenary; Railway Systems; Multi-Objective Performance Evaluation; Deep convolutional neural networks (DCNNs","en","conference paper","","","","","","","","","","","Railway Engineering","","",""
"uuid:98a60e0a-58de-4af5-b23f-5f603d699839","http://resolver.tudelft.nl/uuid:98a60e0a-58de-4af5-b23f-5f603d699839","Autoregressive moving average graph filter design","Liu, J. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2018","In graph signal processing, signals are processed by explicitly taking into account their underlying structure, which is generally characterized by a graph. In this field, graph filters play a major role to process such signals in the so-called graph frequency domain. In this paper, we focus on the design of autoregressive moving average (ARMA) graph filters and basically present two design approaches. The first approach is inspired by Prony's method, which considers a modified error between the modeled and the desired frequency response. The second approach is based on an iterative method, which finds the filter coefficients by iteratively minimizing the true error (instead of the modified error) between the modeled and the desired frequency response. The performance of the proposed design algorithms is evaluated and compared with finite impulse response (FIR) graph filters. The obtained results show that ARMA filters outperform FIR filters in terms of approximation accuracy even for the same computational cost.","Finite impulse response filters; Frequency response; Frequency-domain analysis; Autoregressive processes; Laplace equations; Matrix decomposition","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-25","","","Signal Processing Systems","","",""
"uuid:e8a97eba-97da-42ff-9488-136ca84a883a","http://resolver.tudelft.nl/uuid:e8a97eba-97da-42ff-9488-136ca84a883a","Influence of distance and incident angle on light intensities in intravascular optical coherence tomography pullback runs","Liu, Shengnan (Leiden University Medical Center); Eggermont, Jeroen (Leiden University Medical Center); Wolterbeek, Ron (Leiden University Medical Center); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dijkstra, J (Leiden University Medical Center)","Wook Kang, Hyun (editor); Tearney, Guillermo J. (editor); Gregory, Kenton W. (editor); Marcu, Laura (editor); Skala, Melissa C. (editor); Campagnola, Paul J. (editor); Choi, Bernard (editor); Kollias, Nikiforos (editor); Zeng, Haishan (editor); Mandelis, Andreas (editor); Wong, Brian J.F. (editor); Ilgner, Justus F. (editor)","2016","Intravascular optical coherence tomography (IVOCT) is an intravascular imaging modality which enables the visualization arterial structures at the micro-structural level. The interpretations of these structures is mainly on the basis of relative image intensities. However, even for homogeneous tissue light intensities can differ. In this study the incident light intensity is modeled to be related to the catheter position. Two factors, the distance between catheter and inner lumen wall as well as the incident angle of the light upon the lumen wall, are considered. A three-level hierarchical model is constructed to statistically validate this model to include the potential effect of different pullbacks and/or frame numbers. The model is solved using 169 images out of 9 pull-backs recorded with a St.Jude Medical IVOCT system. F-tests results indicate that both the distance and the incident angle contribute to the model statistically significantly with p < 0.001. Based on the results from the statistical analysis, a potential compensation method is introduced to normalize the IVOCT intensities for the catheter position effects and small shadows","angle of incidence; catheter position; compensation; distance; hierarchical linear regression; intravascular optical coherence tomography; IVOCT; statistical analysis","en","conference paper","SPIE","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:9d484b08-96bc-499f-988e-d41af6273a6f","http://resolver.tudelft.nl/uuid:9d484b08-96bc-499f-988e-d41af6273a6f","Inductive bituminous mortar with steel and aluminum fibers","Pavlatos, N. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (Khalifa University of Science and Technology); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering)","Masad, Eyad (editor); Bhasin, Amit (editor); Scarpas, Tom (editor); Menapace, Ilaria (editor); Kumar, Anupam (editor)","2018","This research presents the implementation of a finite element model analysis for assessing the potential of utilizing alternative fibers for the development of inductive bituminous mixes with lower total weight, higher resistance against corrosion, and sufficient induction heating efficiency. Aluminum fibers are
selected as the metallic modifier in bituminous mixes against the commonly applied steel fibers in order to develop inductive materials. The main reasons for applying aluminum fibers in bituminous mixes are presented in (Pavlatos et al., Framework for replacing steel with aluminum fibers in bituminous mixes, Advances in Materials and Pavement Performance Prediction, Submitted, 2018). A real fiber modified bituminous specimen is reconstructed by means of CT scans and its effective electrical conductivity is calculated assuming steel and aluminum fibers. Since steel fiber modified bituminous mixes have already been used successfully for induction heating, the aim of this work is to demonstrate that aluminum fiber modified bituminous mixes exhibit equally good properties as the steel fiber modified bituminous mixes for induction heating.","","en","conference paper","CRC Press","","","","","","","","","","Pavement Engineering","","",""
"uuid:51aa7fdc-59c5-4b60-89ed-020486231e81","http://resolver.tudelft.nl/uuid:51aa7fdc-59c5-4b60-89ed-020486231e81","Induction hardening of thermoset modified bituminous materials","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Scarpas, Athanasios (Khalifa University of Science and Technology)","Masad, Eyad (editor); Bhasin, Amit (editor); Scarpas, Tom (editor); Menapace, Ilari (editor); Kumar, Anupam (editor)","2018","Induction assisted chemical hardening (curing) or induction hardening is a novel in situ hardening technique for thermoset modified bituminous materials that maintains most of the advantages of natural chemical hardening while eliminating the possible restrictions of longer curing times at lower temperatures. In particular, induction heating can be utilized to accelerate the polymerization of thermoset modified bituminous paving mixes in which inductive particles are added. In this study, steel fibres are dispersed in a thermoset bituminous system and during exposure to an alternating magnetic field, they are induction heated leading to a more rapid initiation of the polymerization. The non-isothermal hardening performance of fast reacting thermoset-bitumen is modelled during the thermoset crosslinking. The model can also be utilized to predict reaction kinetics and viscosity evolution in this material, thereby indicating that induction hardening represents a reliable polymerization method and can be utilized to cure thermoset bituminous materials.","","en","conference paper","CRC Press","","","","","","","","","","Pavement Engineering","","",""
"uuid:aea9802c-2d47-43e1-916a-9be0364e2af4","http://resolver.tudelft.nl/uuid:aea9802c-2d47-43e1-916a-9be0364e2af4","Epoxy modified bitumen: Chemical hardening and its interpretation","Apostolidis, P. (TU Delft Pavement Engineering); Pipintakos, G. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Masad, Eyad (editor); Bhasin, Amit (editor); Scarpas, Tom (editor); Menapace, Ilaria (editor); Kumar, Anupam (editor)","2018","Epoxy modified bitumen (EMB) is a promising technology for long lasting paving materials ensuring higher resistance to rutting, oxygen- and moisture-induced damage. In this paper, an analysis of the chemical reactions that take place during the chemical hardening process (curing) of epoxy modified bitumen
was conducted by means of Fourier Transform Infrared (FT-IR) spectrometer. For various amount of epoxy resin modification in bitumen, the hardening process was evaluated under various conditions. The fluctuation of the most crucial chemical groups occurring during the hardening process was identified and discussed. After the interpretation of chemical hardening, the critical hardening conditions were determined and fatigue tests were performed by Dynamic Shear Rheometer (DSR). Comparison with the unmodified bitumen shows that the fatigue resistance of epoxy modified binders improved significantly with increasing the amount of the epoxy resin in bitumen.","","en","conference paper","CRC Press","","","","","","","","","","Pavement Engineering","","",""
"uuid:12c289e3-060c-4d6e-ae65-e868783389fb","http://resolver.tudelft.nl/uuid:12c289e3-060c-4d6e-ae65-e868783389fb","Induction healing of asphalt mixes with steel slag","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Scarpas, Athanasios (Khalifa University of Science and Technology)","Masad, Eyad (editor); Bhasin, Amit (editor); Scarpas, Tom (editor); Menapace, Ilaria (editor); Kumar, Anupam (editor)","2018","Asphaltic mixes are self-healing materials since they have the capacity to close internal microcracks at higher temperatures or under external force. To trigger their self-healing, asphalt mixes modified with inductive agents can be heated and in that way healed through applying alternating magnetic fields with the aid of an induction coil and this technique is named induction healing. This paper assesses the potential of implementing induction healing in an existing asphalt pavement with steel slag. Cores have been drilled from a field section of a mix with steel slag and were fatigue damaged in an indirect tensile test and healed via induction. The material induction healing potential has been visualized through different X-ray CT scans over the thickness of samples. The induction heating speed of asphalt mixes with steel slag was evaluated as well. The main conclusion in this study was that the total fatigue life of asphalt with steel slag can be enhanced with induction heating. The efficiency of micro-cracks closure was the same over the thickness of asphalt mixes and in combination with the high heating speed (~1.8 °C /sec), induction becomes a very promising alternative for various pavement operations.","","en","conference paper","CRC Press","","","","","","","","","","Pavement Engineering","","",""
"uuid:a10f8dbd-2cb4-4d16-8fac-f9a95a8b3be3","http://resolver.tudelft.nl/uuid:a10f8dbd-2cb4-4d16-8fac-f9a95a8b3be3","Chemo-rheological Study of Hardening of Epoxy Modified Bituminous Binders with the Finite Element Method","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Pipintakos, G. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2018","","","en","conference paper","","","","","","","","2019-09-01","","","Pavement Engineering","","",""
"uuid:c556d207-96cb-4190-a516-aae0c9f34b46","http://resolver.tudelft.nl/uuid:c556d207-96cb-4190-a516-aae0c9f34b46","Numerical investigation of configuration with optimum swirl recovery for propeller propulsion systems","Li, Q. (TU Delft Flight Performance and Propulsion); Liu, Xinyuan (Student TU Delft); Eitelberg, G. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion)","","2018","This paper addresses the design of swirl recovery vanes for propeller propulsion in tractor configuration at cruise conditions using numerical tools. A multi-fidelity optimization framework is formulated for the design purpose, which exploits low-fidelity potential flow-based analysis results as input for high-fidelity Euler equation-based simulations. Furthermore, a model alignment procedure between low-and high-fidelity models is established based on the shape-preserving response prediction algorithm. Two cases of swirl recovery are examined, i.e. swirl recovery by the trailing wing which leads to a reduction of the lift-induced drag, and swirl recovery by a set of stationary vanes (SRVs) located inside the propeller slipstream which leads to production of additional thrust. In the first case, the optimization of the wing circulation distribution is achieved by twist optimization. The resulting reduction in induced drag is 5.9% out of 66.1 counts at the design cruise condition of CL= 0.5. In the case of the SRV design, four configurations are evaluated by locating the vanes at different azimuthal and axial positions relative to the wing. The interactions between SRVs and wing are discussed and an optimum configuration is identified, where the vanes are positioned on the blade-downgoing side downstream of the wing. In this configuration, the wake and tip vortices of the vanes have negligible effect on the wing circulation distribution and consequently introduce no extra drag. With a blade count of 4, the total system drag has decreased by 6.1 counts, which is equivalent to 2.4% of propeller thrust.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Flight Performance and Propulsion","","",""
"uuid:5eba261b-39ef-415d-a799-0a09b04480a5","http://resolver.tudelft.nl/uuid:5eba261b-39ef-415d-a799-0a09b04480a5","Enhanced plasticity modelling of high-cyclic ratcheting and pore pressure accumulation in sands","Liu, H. (TU Delft Geo-engineering); Zygounas, Fotis (Student TU Delft); Diambra, A. (University of Bristol); Pisano, F. (TU Delft Geo-engineering)","","2018","Predicting accurately the response of sands to cyclic loads is as relevant as still challenging when many loading cycles are involved, for instance, in relation to offshore or railway geo-engineering applications. Despite the remarkable achievements in the field of soil constitutive modelling, most existing models do not yet capture satisfactorily strain accumulation under high-cyclic drained loading, nor the the build-up of pore pressures under high-cyclic undrained conditions. Recently, bounding surface plasticity enhanced with the concept of memory surface has proven promising to improve sand ratcheting simulations under drained loading conditions (Corti et al. 2016). This paper presents a new model built by combining the memory surface conceptby Corti et al. (2016) with the well-known SANISAND04 bounding surface formulation proposed by Dafalias and Manzari (2004). The outcome is a new sand model that can reproduce phenomenologically the fabric evolution mechanisms governing strain accumulation under long-lasting loading histories (here up to 104 loading cycles). In undrained test simulations, the model proves capable of correctly capturing the rate of pore pressure accumulation, preventing precocious occurrence of cyclic liquefaction.","","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:f29a6244-510f-446c-9b55-07143f45158b","http://resolver.tudelft.nl/uuid:f29a6244-510f-446c-9b55-07143f45158b","Chemo-mechanics of ageing on bituminous materials","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2018","Ageing of bitumen is a complex process. It is accompanied by major chemical and mechanical changes. In this study, Fourier Transform Infrared (FTIR) spectrometer and Dynamic Shear Rheometer (DSR) tests were utilized to investigate the effect of ageing on the chemical and mechanical properties of bituminous materials. Bitumen films with thickness of 2 mm were exposed to laboratory ageing at various conditions. Specifically, different combinations of ageing time, temperature and pressure were applied on the materials. The FTIR tests results were used to quantify the changes in the chemical functional groups and to calculate ageing indices (carbonyl index and sulfoxide index) of bitumen. In addition, the DSR tests results were analysed to determine the evolution of the rheological properties of bitumen. A linear relationship was made between the ageing indices and complex shear modulus, providing thus a chemo-mechanics framework to describe bitumen ageing. The results were validated by using data of field aged samples. Finally, the influence of ageing on the parameters of two viscoelastic models was determined.","bitumen; chemomechanics; ageing; viscoelastic model","en","conference paper","","","","","","","","2019-02-01","","","Pavement Engineering","","",""
"uuid:6ece7008-d513-48e0-9145-869754b81fe7","http://resolver.tudelft.nl/uuid:6ece7008-d513-48e0-9145-869754b81fe7","Investigation of the potential use of calcium alginate capsules for self-healing in porous asphalt","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Dublin Institute of Technology; University College Dublin); Schlangen, E. (TU Delft Materials and Environment)","Ye, Guang (editor); Yuan, Yong (editor); Rodriguez, Claudia Romero (editor); Zhang, Hongzhi (editor); Šavija, Branko (editor)","2018","Incorporating self-healing technology in asphalt pavement has been demonstrated to have great potential to prolong its service life. To this aim, the calcium alginate capsules encapsulating rejuvenator were manufactured and proved to have sufficient thermal stability and mechanical resistance to survive the asphalt production and compaction process. In this research, the healing effect of calcium alginate capsules were investigated in porous asphalt concrete. X-ray computed tomography (XCT) was used to visualize the distribution of capsules in porous asphalt concrete. A damaging and healing programme was carried out to evaluate the healing efficiency of these capsules. Semi-circular bending (SCB) tests was employed as a damaging process to investigate the fracture resistance of the porous asphalt concrete samples. The results showed that calcium alginate capsules were able to improve the healing capacity of porous asphalt concrete.","Efflorescence; cement-based exterior wall; mitigation; metakaolin","en","conference paper","Rilem","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-01","","","Materials and Environment","","",""
"uuid:5acc370a-435f-482a-9117-cb4bf6652d66","http://resolver.tudelft.nl/uuid:5acc370a-435f-482a-9117-cb4bf6652d66","Optimization of a geopolymer mixture for a reinforced cantilever concrete bench","Aldin, Zainab (Student TU Delft); Nedeljković, Marija (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Liu, Jiahua (Student TU Delft); Blom, Kees (Gemeente Rotterdam); Ye, G. (TU Delft Materials and Environment)","","2017","","","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:e50f6817-8e95-49db-b6e9-590fa571e547","http://resolver.tudelft.nl/uuid:e50f6817-8e95-49db-b6e9-590fa571e547","EasySRRobot: An Easy-to-Build Self-Reconfigurable Robot with Optimized Design","Yu, Minjing (Tsinghua University); Liu, Yong-Jin (Tsinghua University); Wang, C.C. (TU Delft Materials and Manufacturing)","","2017","Self-reconfigurable modular robots (SRRobot) that can change their shape and function in different environments according to different tasks have caught a lot of attention recently. Most existing prototypes use professional electronic components with relatively expensive cost and high barrier of fabrication. In this paper, we present a low-cost SRRobot with double-cube modules. Our system is easy-to-build even for novices as all electric components are off-the-shelf and the structural components in plastics are made by 3D printing. To have a better design of interior structures, we first construct a design space for all feasible solutions that satisfy the constraints of fabrication. Then, an optimized solution is found by an objective function incorporating the factors of space utilization, structural sound-ness and assembly complexity. Thirty EasySRRobot modules are manufactured and assembled. The functionality of our algorithm is demonstrated by comparing an optimized interior design with other two feasible designs and realizing different motions on an EasySRRobot with four modules.","self-reconfiguration; modular robot; optimal design","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Materials and Manufacturing","","",""
"uuid:bc640f26-f059-4813-b08c-7df402387910","http://resolver.tudelft.nl/uuid:bc640f26-f059-4813-b08c-7df402387910","[POSTER] ChiroChroma: An Augmented Reality Game for the Assessment of Hand Motor Functionality","Goderie, C.J.M. (TU Delft Electrical Engineering, Mathematics and Computer Science); Alashrafov, R. (TU Delft Electrical Engineering, Mathematics and Computer Science); Jockin, Pieter; Liu, Lu; Liu, Xin; Cidota, M.A. (TU Delft System Engineering); Lukosch, S.G. (TU Delft System Engineering)","","2017","For a better understanding of how different diseases (e.g. neurovascular diseases, neurodegenerative diseases, and musculoskeletal pain conditions) affect human motor function, a uniform, standardized and objective evaluation is a desirable goal expressed within the clinical community. We explore the capabilities of an augmented reality (AR) game that uses free hand interaction to facilitate an objective assessment of the upper extremity motor dysfunction. First, the design process of the game and the system architecture are described. Second, a study about usability of the AR framework and game engagement is presented based on an experiment we conducted with five patients and ten healthy people. Lastly, a short analysis of the accuracy of the hand data when participants performed “fingers tapping” gesture is done. The results of the study show that even though users experienced the system as physically and mentally demanding, it was engaging enough to make them complete the game. The study also shows that hand data captured is accurate enough to allow a high degree (95%) of pinching gesture recognition.","Augmented Reality Games; Usability; Engagement; Upper Extremity Motor Dysfunction; Assessment; Parkinson's Disease; Stroke patients","en","conference paper","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","System Engineering","","",""
"uuid:7194f2b4-ca32-439b-9572-1076a4b42993","http://resolver.tudelft.nl/uuid:7194f2b4-ca32-439b-9572-1076a4b42993","Characterization of Series Arcs in LVdc Microgrids","Liu, Zhihao (Student TU Delft); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Ramirez Elizondo, L.M. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2017","This paper provides an empirical study on series arc behavior in low voltage dc microgrids. The response of an R-L-C dc microgrid abstraction towards series arcs is studied experimentally for varying grid inductance, dc voltages, load capacitances and load currents. In order to account for the stochastic nature of arcs, experiments are repeated multiple times under similar conditions to gain statistical significance. Thereby, insight on percentage occurrence and burn time of initiated series arcs is provided. Load side voltage response is studied to gain insight on the expected peak drop and fall time. This empirical evidence was judged to be a necessary requirement in developing a novel series arc extinguishing method from load side power electronic devices.","arc characteristics; arcing; dc arc; dc microgrid; protection; series arc","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:95b0a12a-7cdb-41d5-8480-48bdb6904ab1","http://resolver.tudelft.nl/uuid:95b0a12a-7cdb-41d5-8480-48bdb6904ab1","Thick-level-set modeling of the dynamic double cantilever beam test","Liu, Y. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design)","","2017","In this paper, the thick-level-set method is used to model stable and unstable (stick/slip) crack propagation in the dynamic double cantilever beam (DCB) test for unidirectional composite laminates. The thick-level-set method uses a predefined damage profile to describe the fracture process zone and allows
for accurate evaluation of the global energy release rate. A phenomenological model is introduced to calculate the crack speed as a function of the energy release rate. The potential capability of the proposed approach is demonstrated by simulating a series of dynamic DCB tests under variable test rates.","Thick level set; Dynamic fracture; Double cantilever beam; Crack arrest","en","conference paper","","","","","","","","","","Materials- Mechanics- Management & Design","Applied Mechanics","","",""
"uuid:5bb743ea-2396-4bef-8975-7b191d82b8fd","http://resolver.tudelft.nl/uuid:5bb743ea-2396-4bef-8975-7b191d82b8fd","Numerical evaluation of induction heating assisted compaction technology for low temperature asphalt pavement construction","Zhou, C. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering)","","2017","Low Temperature Asphalt (LTA) technologies are utilized in asphalt pavement industry to lower energy demands and greenhouse gas emission during mixing and construction processes. Although these technologies are currently available and hope to demonstrate similar performance with Hot Mix Asphalt (HMA) mixes, LTA shows more sensitive than HMA to temperature reduction during compaction and that will lead to inadequate compaction. Especially for Low Temperature Porous Asphalt (LTPA) mixes, the quick reduction of mix temperature is the main cause to poor pavements performance. The induction heating assisted compaction process appears to be an effective way to ameliorate compaction issues and to improve the compactability at lower temperatures. To design this process for LTA mixes, a numerical approach of combining the finite and the discrete element methods is presented in this paper. Porous asphalt concrete was the selected study material. The simulation process was divided into three steps: (i) temperature field prediction during induction heating, (ii) adjustment of asphalt mortar parameters and (iii) asphalt pavement compaction analysis. The effect of induction heating to asphalt compaction effectiveness, the tendency of mix density changing along with the increase of compactor passes and the influence of temperature on compaction at different locations in pavement were studied and discussed as well","Low temperature asphalt; Induction heating; Compaction; Porous asphalt; DEM; FEM","en","conference paper","Transportation Research Board (TRB)","","","","","","","","","","Pavement Engineering","","",""
"uuid:8aa9e8b8-6039-4f4c-87df-05609f0c8f3a","http://resolver.tudelft.nl/uuid:8aa9e8b8-6039-4f4c-87df-05609f0c8f3a","Analysis and improvement of railway crossing performance using numerical and experimental approach: application to 1:9 double crossovers","Markine, V.L. (TU Delft Railway Engineering); Liu, X. (TU Delft Railway Engineering); Mashal, Abdul Ahad; Ma, Y. (TU Delft Railway Engineering)","","2017","The paper presents an integrated approach for analysis and improvement of performance of railway crossings. The approach consists of a detailed finite element (FE) model of a wheel rolling over a crossing (validated against the measured crossing accelerations) and experimental tools installed on the crossings in situ. The studied crossings are the cast manganese steel 1:9 crossings. This type of crossings suffers from severe plastic deformations and cracks. The presented approach has been applied to improve the performance of these crossings and to assess the effectiveness of the maintenance actions.
The obtained numerical and experimental results have helped to explain the poor performance of the crossings. Moreover, a number of the design improvements have not only been proposed, but also effectiveness of these improvements have been confirmed by the numerical simulations and/or measurement results.
The results are presented and discussed. More details are in the extended version of this paper.","","en","conference paper","","","","","","","Campus only","","","","Railway Engineering","","",""
"uuid:d44c83be-6ea3-4650-9a09-a36302d7a77c","http://resolver.tudelft.nl/uuid:d44c83be-6ea3-4650-9a09-a36302d7a77c","Towards an Experimental Protocol for the Study of Induction Heating in Asphalt Mastics","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); van Bochove, G (Heijmans infra); van de Ven, Martin (TU Delft Pavement Engineering)","","2016","The development of asphalt mixtures with improved electrical and thermal properties is crucial in terms of producing suitable mixtures for the induction heating without losing their durability. The main scope of this research is to evaluate experimentally the impact of filler-sized electrically conductive additives on the induction heating efficiency and the rheological performance of asphalt mixtures. Within this framework, an experimental assessment protocol of structural and non-structural important parameters of induction heated asphalt mastics – asphalt mixtures without stone aggregates and sand – was developed. It was observed that by adding iron powder as filler-sized conductive additive to asphalt mastics the electrical and thermal properties improve. Moreover, the rheological investigations of different conductive asphalt mastics show the importance of adding iron powder after replacing the amount of mineral filler in order to maintain the workability of mastics. The micro-morphological observation of asphalt mastics using scanning electron microscopy illustrates the impact of filler-size particles – minerals and conductive additives – on the skeleton of asphalt mastics.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:13d61a0b-b787-423e-9ca5-070a5807b2eb","http://resolver.tudelft.nl/uuid:13d61a0b-b787-423e-9ca5-070a5807b2eb","Investigation of Induction Heating in Asphalt Mortar: Numerical Approach","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); van Bochove, G (Heijmans infra)","","2016","The research reported in this paper focuses on utilization of advanced finite-element analyses (COMSOL) for the design and assessment of the induction heating capacity of asphalt mortar by adding electrically conductive additives (e.g., steel fibers), and to understand the factors that influence the mechanisms of induction heating in asphalt mixtures. In order to determine numerically the effective electrical and thermal properties of the conductive asphalt mortar with different volumes of steel fibers, 3D finite element meshes were generated by using X-ray images and utilized for calibration of the model parameters to perform a more realistic simulation of the asphalt mixture induction healing. The findings of this research are part of a study to provide an optimization method for the development of the necessary tools and equipment that will enable the implementation of induction technology for healing of asphalt concrete mixtures.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:53dd8191-e51c-46d0-92bc-0f1ff6caa6e8","http://resolver.tudelft.nl/uuid:53dd8191-e51c-46d0-92bc-0f1ff6caa6e8","Ageing effect on the relaxation properties of bitumen","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","Masad, Eyad (editor); Bhasin, Amit (editor); Scarpas, Tom (editor); Menapace, Ilaria (editor); Kumar, Anupam (editor)","2018","The ageing of bitumen has a significant impact on the mechanical behavior of asphalt concrete. In this study, Dynamic Shear Rheometer (DSR) tests were utilized to investigate the effect of ageing on the relaxation properties of bituminous materials. PEN 70/100 bitumen films with thickness of 2 mm were exposed to laboratory ageing at various conditions. Specifically, different combinations of ageing time, temperature and pressure were applied on the bitumen films. Three evaluation indices, explicitly the shear stress at 0 s and 100 s, the ratio of shear stress at 0 s and 100 s and the time that shear stress reduces to 50% and 25% of the initial value, were used to determine the evolution of the relaxation properties of bitumen. The results show that, in comparison to fresh bitumen, aged samples show higher residual shear stresses after relaxation and are more susceptible to stress accumulation thus cracking. In addition, temperature, followed by pressure and ageing time, was found to have the stronger impact on bitumen ageing.","","en","conference paper","CRC Press","","","","","","","","","","Pavement Engineering","","",""
"uuid:b02e528e-8aa4-4b39-9787-8b9900a858f8","http://resolver.tudelft.nl/uuid:b02e528e-8aa4-4b39-9787-8b9900a858f8","Advanced Experimental Evaluation of Asphalt Mortar for Induction Healing Purposes","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); van Bochove, G (Heijmans infra); van de Ven, Martin (TU Delft Pavement Engineering)","","2016","This paper studied the induction heating and healing capacity of asphalt mortar by adding electrically conductive additives (e.g. iron powder and steel fibers), and examined the influence of different combinations of them on the mechanical response of asphalt mortars. Induction heating technique is this innovative asphalt pavement maintenance method that is applied to the conductive asphalt concrete mixtures in order to prevent the formation of macro-cracks by increasing locally the temperature of asphalt mixtures. It was found that increasing steel fiber content within the asphalt mortar the tensile strength and the fatigue life increased respectively. It was also proved that the conductive asphalt mortars with iron powder appeared improved mechanical response when steel fibers were added. Furthermore, it was observed that asphalt mortars containing a combination of additives – steel fibers and iron powder - demonstrate a better induction heating efficiency than mortars including only steel fibers. Finally, the induction healing capacity of conductive asphalt mortars is determined.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:62c775d8-f211-4faa-810b-9a397bf21e0d","http://resolver.tudelft.nl/uuid:62c775d8-f211-4faa-810b-9a397bf21e0d","On-site wind powered hydrogen refuelling stations: From national level to a case study in Germany","Chrysochoidis-Antsos, N. (TU Delft Energy Technology); Liu, Changzhi (Student TU Delft); van Wijk, A.J.M. (TU Delft Energy Technology)","Rosa, Felipe (editor); Gabbar, Hossam A. (editor); Lotfi, Mohamed (editor)","2018","Hydrogen refueling stations are an important part of the infrastructural development that should be developed in order to realize a 100% sustainable economy for the future. Most of the refueling stations are located within urban areas but there are many located outside urban areas or in remote areas. Hydrogen could either be transported to these sites or being locally produced with integrated sustainable energy systems. In this study the potential number for wind powered hydrogen refueling stations using GIS is determined. Furthermore the amount of hydrogen that could be produced and used is determined via energy system simulation. Finally the hydrogen production and dispensing costs are calculated.","Case study; GIS data; Hydrogen refueling stations; On-site hydrogen production; System Integration; Wind Turbines","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-03-18","","","Energy Technology","","",""
"uuid:f6e444ab-fccd-4a13-8e96-109d4027497f","http://resolver.tudelft.nl/uuid:f6e444ab-fccd-4a13-8e96-109d4027497f","Recurrent Knowledge Distillation","Pintea, S. (TU Delft Pattern Recognition and Bioinformatics); Liu, Yue (KTH Royal Institute of Technology); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","","2018","Knowledge distillation compacts deep networks by letting a small student network learn from a large teacher network. The accuracy of knowledge distillation recently benefited from adding residual layers. We propose to reduce the size of the student network even further by recasting multiple residual layers in the teacher network into a single recurrent student layer. We propose three variants of adding recurrent connections into the student network, and show experimentally on CIFAR-10, Scenes and MiniPlaces, that we can reduce the number of parameters at little loss in accuracy.","Knowledge distillation; compacting deep representations for image classification; recurrent layers","en","conference paper","IEEE","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:f0752519-4df4-455a-a9c2-e37ea3249e4e","http://resolver.tudelft.nl/uuid:f0752519-4df4-455a-a9c2-e37ea3249e4e","Towards 10^15-level point clouds management - a nD PointCloud structure","Liu, H. (TU Delft OLD Department of GIS Technology); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Meijers, B.M. (TU Delft OLD Department of GIS Technology); Verbree, E. (TU Delft OLD Department of GIS Technology)","Mansourian, A. (editor); Pilesjö, P. (editor); Harrie, L. (editor); van Lammeren, R. (editor)","2018","Drastically increasing production of point clouds as well as modern application fields like robotics and virtual reality raises essential demand for smart and highly efficient data management. Effective tools for the managing and direct use of large point clouds are missing. Current state-of-the-art database management systems (DBMS) present critical problems such as inefficient loading/indexing, lack of support of continuous Level of Detail (cLoD) and limited functionalities. Previous research has suggested and demonstrated the importance of converting property dimensions such as time and classification to organizing dimensions for efficient data management at the storage level. However, a thorough validation and theory are still missing. Besides, how new computational platforms such as the cloud technology may support data management also needs further exploration. These problems motivate the PhD research with the focus on a new data structure (nD PointCloud) which is dedicated for smartly and flexibly organizing information of large point clouds for different use cases.","point cloud; data management; data structure; database; dimension","en","conference paper","Association of Geographic Information Laboratories for Europe (AGILE)","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:0293bb02-dc64-4711-8354-dd7f3eca13f4","http://resolver.tudelft.nl/uuid:0293bb02-dc64-4711-8354-dd7f3eca13f4","Field Trials with Epoxy Asphalt for Surfacing Layers: Province of North Holland Case Study","Zegard, A. (Dura Vermeer Infra Participaties); Smal, L. (Dura Vermeer Infra Participaties); Naus, R. (Dura Vermeer Infra Participaties); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2019","The addition of epoxy modifier in bitumen is a relatively new modification technology (1-4) and the most critical epoxy polymerization-induced changes of the epoxy-bituminous materials are not fully understood. Different phenomena take place when epoxy modifiers are incorporated into bitumen and they are dependent on the material hardening conditions. Temperature is one of the most crucial parameters that influences to the development of physical, chemical and mechanical characteristics at the early life (curing or chemical hardening - CH) (5-7) and long-term service (oxidative hardening - OH) (4) of epoxy-modified binders. For this reason, in-depth exploration of epoxy chemistry in bitumen is needed to understand the evolution of the properties of these binders in time.
Within this framework, the chemical compounds and their reaction products generated under various conditions were studied to reveal the time dependency of molecular microstructures of modified binders. Special attention was given to the evaluation of physiochemical characteristics and the mechanical properties of epoxy-bituminous binders, concluding that the degree of CH and OH was dependent on the level of epoxy modification in bitumen. It was observed that the sulfoxide compounds are the most representative index for assessing the age hardening of epoxy-modified bitumens. Finally, the enhanced resistance against environmental aging in combination with the superior mechanical characteristics (i.e., higher tensile strength, flexibility and enhanced longevity) when the epoxy modification is implemented in bitumen promises a very effective technology for developing long-lasting pavement materials.
Within this framework, the chemical compounds and their reaction products generated under various conditions were studied to reveal the time dependency of molecular microstructures of modified binders. Special attention was given to the evaluation of physiochemical characteristics and the mechanical properties of epoxy-bituminous binders, concluding that the degree of CH and OH was dependent on the level of epoxy modification in bitumen. It was observed that the sulfoxide compounds are the most representative index for assessing the age hardening of epoxy-modified bitumens. Finally, the enhanced resistance against environmental aging in combination with the superior mechanical characteristics (i.e., higher tensile strength, flexibility and enhanced longevity) when the epoxy modification is implemented in bitumen promises a very effective technology for developing long-lasting pavement materials.
The mould for the ice dome structure was a result of the manipulation of a synclastic membrane with a rope net. The mould for the ice tower structure consisted of some anticlastic surfaces. Form-finding of the inflatable moulds was conducted by the parametric tool “EasyForm” which is a self-programed plug-in in Grasshopper based on Vector Form Intrinsic Finite Element method.
In a low-temperature work environment (-10 ℃ and below), the ice shell structures were constructed on the inflatable moulds. The cellulose-water mixture was sprayed in thin layers continuously and uniformly in order to make the surface of a shell of cellulose-reinforced ice. The construction process is introduced detailedly in this paper.","Ice composite shell; form-finding; Vector Form Intrinsic Finite Element; construction; inflatable mould; cellulose-reinforced ice","en","conference paper","","","","","","","","","","","Structural Design & Mechanics","","",""
"uuid:28c63d14-06fa-431c-9d91-b43fd435831d","http://resolver.tudelft.nl/uuid:28c63d14-06fa-431c-9d91-b43fd435831d","Tabu-Based Large Neighbourhood Search for Time-Dependent Multi-Orbit Agile Satellite Scheduling","He, L. (TU Delft Algorithmics; National University of Defense Technology); de Weerdt, M.M. (TU Delft Algorithmics); Yorke-Smith, N. (TU Delft Algorithmics); Liu, Xiaolu (National University of Defense Technology); Chen, Yingwu (National University of Defense Technology)","Bernardini, S. (editor); Parkinson, S, (editor); Talamadupula, K. (editor)","2018","Agile Earth observation satellite (AEOS) scheduling is complex, due to long visible time windows and time-dependent transitions between observations. We introduce a generic approach suited for scheduling problems characterised by time-dependency and/or sequence-dependency. Our approach is a novel hybridization of adaptive large neighbourhood search (ALNS) and tabu search. We further introduce partial sequence dominance and insertion position ordering operators to the ALNS. Extensive computational results on a real-world multi-orbit AEOS observation scheduling benchmark show that the hybrid ALNS robustly outperforms an improved mixed integer programming model and two recent state-of-the-art metaheuristic methods. The proposed method increases solution quality by more than 10% and reduces calculation time by more than 70% on average","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-07-01","","","Algorithmics","","",""
"uuid:98088329-676a-4ba0-b76e-4dfb48e4eb4e","http://resolver.tudelft.nl/uuid:98088329-676a-4ba0-b76e-4dfb48e4eb4e","Short Circuits of a 10 MW High Temperature Superconducting Wind Turbine Generator","Song, X. (Technical University of Denmark); Polinder, H. (TU Delft DC systems, Energy conversion & Storage); Liu, D. (TU Delft DC systems, Energy conversion & Storage); Mijatovic, Nenad (Technical University of Denmark); Holbøll, Joachim (Technical University of Denmark); Jensen, Bogi Bech (University of the Faroe Islands)","","2016","Direct drive high temperature superconducting (HTS) wind turbine generators have been proposed to tackle challenges for ever increasing wind turbine ratings. Due to smaller reactances in HTS generators, higher fault currents and larger transient torques could occur if sudden short circuits happen at generator terminals. In this paper, a finite element model that couples magnetic fields and the generator’s equivalent circuits is developed to simulate short circuit faults. Afterwards, the model is used to study the transient performance of a 10 MW HTS wind turbine generator under four different short circuits, i.e., three-phase, phase-phase clear of earth, phase-phase-earth, and phase-earth. The stator current, fault torque, and field current under each short circuit scenario are examined. Also included are the forces experienced by the field winding under short circuits. The results show that the short circuits pose great challenges to the generator, and careful consideration should be given to protect the generator. The results presented in this paper would be beneficial to the design, operation and protection of an HTS wind turbine generator.","Finite element analysis; Force; High temperature superconducting generator; Short circuit; Transient","en","conference paper","IEEE","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:422b1ea4-f64e-421b-aacb-e85c819b2061","http://resolver.tudelft.nl/uuid:422b1ea4-f64e-421b-aacb-e85c819b2061","Plug and Power: Fingerprinting USB Powered Peripherals via Power Side-channel","Spolaor, Riccardo (Shandong University); Liu, Hao (Shandong University); Turrin, Federico (University of Padua); Conti, M. (TU Delft Cyber Security; University of Padua); Cheng, Xiuzhen (Shandong University)","","2023","The literature and the news regularly report cases of exploiting Universal Serial Bus (USB) devices as attack tools for malware injections and private data exfiltration. To protect against such attacks, security researchers proposed different solutions to verify the identity of a USB device via side-channel information (e.g., timing or electromagnetic emission). However, such solutions often make strong assumptions on the measurement (e.g., electromagnetic interference-free area around the device), on a device’s state (e.g., only at the boot or during specific actions), or are limited to one particular type of USB device (e.g., flash drive or input devices).In this paper, we present PowerID, a novel method to fingerprint USB peripherals based on their power consumption. PowerID analyzes the power traces from a peripheral to infer its identity and properties. We evaluate the effectiveness of our method on an extensive power trace dataset collected from 82 USB peripherals, including 35 models and 8 types. Our experimental results show that PowerID accurately recognizes a peripheral type, model, activity, and identity.","USB Security; Power Side-Channel; USB peripherals; Hardware fingerprinting","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Cyber Security","","",""
"uuid:650dd53e-764c-41f5-9490-a99381daa012","http://resolver.tudelft.nl/uuid:650dd53e-764c-41f5-9490-a99381daa012","Integrated monitoring of subsidence due to hydrocarbon production: Consolidating the foundation","Ketelaar, Gini (Nederlandse Aardolie Maatschappij B.V.); Bähr, Hermann (Nederlandse Aardolie Maatschappij B.V.); Liu, Shizhuo (Nederlandse Aardolie Maatschappij B.V.); Piening, Harry (Nederlandse Aardolie Maatschappij B.V.); van der Veen, Wim (Nederlandse Aardolie Maatschappij B.V.); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning); van Leijen, F.J. (TU Delft Mathematical Geodesy and Positioning); van der Marel, H. (TU Delft Mathematical Geodesy and Positioning); Samiei Esfahany, S. (TU Delft Mathematical Geodesy and Positioning)","Fokker, P.A. (editor); Erkens, G. (editor)","2020","This paper describes several geodetic studies that consolidate the reliability and precision of monitoring subsidence due to hydrocarbon production: the deployment of Integrated Geodetic Reference Stations (IGRS); the application of high resolution InSAR; the comparison of different GNSS processing methodologies; the implementation of an efficient InSAR stochastic model, and the framework of integrated geodetic processing (levelling, GNSS, InSAR). The advances that have been made are applicable for any other subsidence monitoring project.","","en","conference paper","IAHS","","","","","Pre-conference publication of a 2020 congress, postponed due to COVID-19","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:a870375f-a54b-4efb-9068-ed901e79c0ba","http://resolver.tudelft.nl/uuid:a870375f-a54b-4efb-9068-ed901e79c0ba","Capturing cyclic mobility and preloading effects in sand using a memory-surface hardening model","Liu, H. (TU Delft Geo-engineering); Abell, J. A. (Universidad de los Andes); Diambra, A. (University of Bristol); Pisano, F. (TU Delft Geo-engineering)","Silvestri, Francesco (editor); Moraci, Nicola (editor)","2019","Earthquake-induced build-up of pore water pressure may be responsible for
reduced soil capacity, while the accumulation of shear strains may lead to a violation of serviceability limits. Predicting accurately the soil cyclic behaviour in relation to seismic numerical simulations is still a challenging topic in many respects. Efforts are required to improve several technical aspects, including the development of a reliable and complete constitutive model. This paper reports recent developments after the work of Liu et al. (2018a), and particularly about the performance of a new SANISAND formulation incorporating the memory surface concept (Corti et al., 2016). The performance of the model in terms of strain accumulation and pore pressure build-up is validated against high-quality laboratory test results. A modified dilatancy relationship is given to reproduce within the proposed framework proper cyclic mobility response. The effects of preliminary drained cyclic preloading on soil liquefaction resistance are also studied.","","en","conference paper","Associazione Geotecnica Italiana","","","","","Accepted Author Manuscript","","","","","Geo-engineering","","",""
"uuid:3a5790db-eac9-4c48-86c6-e15651ddbef9","http://resolver.tudelft.nl/uuid:3a5790db-eac9-4c48-86c6-e15651ddbef9","Knowledge Management and Organizational Capabilities in Project settings: Unpacking Project-based Learning","Liu, Y. (TU Delft Integral Design & Management); Papadonikolaki, E. (University College London (UCL)); Houwing, E.J. (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management)","","2019","Over the last 20 years, there has been an increase in the study of project-based learning to deploy knowledge management strategies and the concept of organizational capability. We address this topic in the context of infrastructure development projects. Through a review of existing literature complemented by pilot empirical research carried out in MultiWaterWork program, and Gaasperdammer tunnel project in the Netherlands, Hong Kong–Zhuhai–Macau Bridge in China and Crossrail in the UK, research propositions are presented on the relationship among knowledge management, project-based learning, and organizational capabilities. The findings reject the position of knowledge management as a best practice toolkit for immediate use and emphasize that there is no pure copy-paste knowledge learned from one project to another. Learning is proposed as the missing link between project capabilities and knowledge management. The study then focuses on the contribution of sets of project capabilities to achieve project performance. It is suggested that the critical role of learning in the development of project capabilities should be on the future research agenda of infrastructure development projects.","knowledge management; learning; capabilities; case study; infrastructure development","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:69e5da91-40a1-46d4-91a8-4ccf70779b26","http://resolver.tudelft.nl/uuid:69e5da91-40a1-46d4-91a8-4ccf70779b26","Navigating project management talent in the data-rich era","Papadonikolaki, E. (University College London (UCL)); Liu, Y. (TU Delft Integral Design & Management; Nanjing University); Maritshane, Kirk; Chan, P.W.C. (TU Delft Design & Construction Management)","","2023","The digital transformation in project management is causing disruption on how the organizations recognize and value the skills and expertise of the new data-savvy talents in organization’s decision-making processes for improved project delivery. Existing research on digital transformation in projects has mainly focused on what technologies can help organizations and people achieve, without connecting to the disruptions that technologies bring to projects human and social capital. To address this deficiency and harness the data-rich era, there is a need to go beyond the prevailing technical line of thought and think about people’s issues in project settings. Therefore, this research aims to examine talent management initiatives for project management professionals on how they can exploit the inherent advantages of the data-rich era and digital transformation to build an inclusive workplace. The study poses two research objectives, first to understand how project management professionals experience the trends of the data-rich era in the project environment and data-savvy talent (also in light of the changing demographics in the sector) and second to identify the opportunities and obstacles for managing project management talent to deliver projects in the data-rich era. To answer these questions, this paper uses semi-structured interviews from a purposive sample of 24 interviewees with diverse project management experience. The interviewees were recruited from three main categories of stakeholders from industry, academia, and professional institutions. The research through qualitative data analysis and abductive thinking first identifies an overemphasis on developing data-savvy talent in the expense of attracting or retaining it. Second, the study documents the existence of six clusters of opportunities and obstacles in delivering of projects through data savvy project management talent. Accordingly, the identified themes/clusters of connectivity, communication, information management, project delivery, disruption, and project work and wellbeing provide new directions for talent management practices such as attracting, developing and retaining data-savvy talent in the data-rich era.","Digitalization; digital technologies; talent management; project management; project professionals","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:37ef1cde-31b3-49f8-8848-51a4da2a332b","http://resolver.tudelft.nl/uuid:37ef1cde-31b3-49f8-8848-51a4da2a332b","Enhancing Indoor-to-Outdoor mmWave Communication with Transparent Amplifying Intelligent Surface","Liu, Bin (Katholieke Universiteit Leuven); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2023","This paper presents a novel transparent amplifying intelligent surface (TAIS) architecture for uplink enhancement in indoor-to-outdoor mmWave communications. The TAIS is an amplifier-based transmissive intelligent surface that can refract and amplify the incident signal, instead of only refracting it with adjustable phase shift by most passive reconfigurable intelligent surfaces (RIS). With advanced indium tin oxide film and printing technology, TAIS can be fabricated on the windows without any visual effects. This paper primarily focuses on exploiting the TAIS-based architecture to boost the uplink spectral efficiency (SE) in indoor-to-outdoor mmWave communications. By jointly optimizing the TAIS's phase shift matrix and transmit power of the user equipment, the uplink SE can be maximized by exploiting the nonlinearity in the TAIS's amplification process. The key point is that we drive the optimal phase shift matrix that maximizes the SE and deduces its closed-form representation. The SE maximization is then proved to be transferred to the transmit power optimization problem. Another important aspect is that we design a low-complexity algorithm to solve the problem using the difference of convex programming. Simulations show that our proposed TAIS can increase the SE by up to 32.6% as compared to its alternative methods.","Reconfigurable intelligent surface; transparent amplifying intelligent surface (TAIS); spectral efficiency; indoorto-outdoor communication; nonlinear amplification","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:fcd4097c-f797-42f4-84c8-da1472ccb75d","http://resolver.tudelft.nl/uuid:fcd4097c-f797-42f4-84c8-da1472ccb75d","Sensing Care Through Design: A Speculative Role-play Approach to ""Living with"" Sensor-supported Care Networks","Rattay, Sonja (University of Copenhagen); Collins, Robert (Umeå University); Surana, Aditi (University of Edinburgh); Lee, Youngsil (University of Edinburgh); Liu, Y. (TU Delft Human Information Communication Design); Mauri, Andrea (Université Claude Bernard Lyon 1); Urquhart, Lachlan D (University of Edinburgh); Vines, John (University of Edinburgh); Wilson, Cara (University of Edinburgh); Pschetz, Larissa (University of Edinburgh); Rozendaal, M.C. (TU Delft Human Information Communication Design); Shklovski, Irina (University of Copenhagen)","Byrne, D. (editor); Martelaro, N. (editor)","2023","Sensor networks are increasingly commonplace in visions of smart cities and future healthcare systems, promising greater efficiency and increased wellbeing. However, the design of these technologies remains focused on specific users and fragmented by context, overlooking the diversity of needs, wants and values present when technologies, people, and lived realities interact within instrumented spaces. In this paper we present a workshop method – Sensing Care – that can help researchers, interdisciplinary design and development teams, and potentially affected users, to explore what it takes to design for living with sensor technologies that intersect and interact across private and public spaces, through speculative scenarios and role play. Drawing from three deployments of the workshop, we discuss how this approach supports the design of future care-oriented sensor networks, and helps designers understand what it means to live with complex technologies as people traverse diverse contexts.","Design methods; location-aware computing; contextual computing","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Human Information Communication Design","","",""
"uuid:6117a259-668a-4a38-aa1b-110b8d1057a7","http://resolver.tudelft.nl/uuid:6117a259-668a-4a38-aa1b-110b8d1057a7","A learning algorithm for the calibration of internal model uncertainties in advanced wind turbine controllers: A wind speed measurement-free approach","Mulders, S.P. (TU Delft Team Mulders); Brandetti, L. (TU Delft Wind Energy); Spagnolo, F. (Vestas Wind Systems); Liu, Y. (TU Delft Team Riccardo Ferrari); Christensen, P.B. (Vestas Wind Systems); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Wind turbine partial-load controllers have evolved from simple static nonlinear function implementations to more advanced dynamic controller structures. Such dynamic control schemes have the potential to improve power production performance in realistic environmental conditions and allow for a more granular trade-off between loads and energy capture. The control structure generally consists of a wind speed estimator (WSE) combined with a controller aiming to track the commanded tip-speed ratio (TSR) reference. The performance and resulting closed-loop system stability are however highly dependent on the accuracy of the internal model in the WSE-TSR tracking scheme. Therefore, developing learning algorithms to calibrate the internal model is of particular interest. Previous works have proposed such algorithms; however, they all rely on the availability of (rotor-effective) wind speed measurements. For the first time, this paper proposes an excitation-based learning algorithm that exploits the closed-loop dynamic structure of the WSE-TSR tracking scheme. This algorithm calibrates the internal model without the need for wind speed measurements. Analysis and simulations show that the proposed algorithm corrects for model uncertainties in the form of magnitude scaling errors under ideal constant and realistic turbulent wind conditions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Team Mulders","","",""
"uuid:e530e4e5-8720-4d39-be12-c40466d374e0","http://resolver.tudelft.nl/uuid:e530e4e5-8720-4d39-be12-c40466d374e0","Workshop on Understanding and Mitigating Cognitive Biases in Human-AI Collaboration","Boonprakong, Nattapat (University of Melbourne); He, G. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Van Berkel, Niels (Aalborg University); Wang, Danding (Chinese Academy of Sciences); Chen, Si (University of Illinois at Urbana-Champaign); Liu, Jiqun (University of Oklahoma); Tag, Benjamin (Monash University); Goncalves, Jorge (University of Melbourne); Dingler, Tilman (University of Melbourne)","Ames, Morgan (editor); Fussell, Susan (editor); Gilbert, Eric (editor); Liao, Vera (editor); Ma, Xiaojuan (editor); Page, Xinru (editor); Rouncefield, Mark (editor); Singh, Vivek (editor); Wisniewski, Pamela (editor)","2023","AI systems are increasingly incorporated into human decision-making. Yet, human decision-makers are often affected by their cognitive biases. In critical settings, such as medical diagnosis, criminal judgment, or information consumption, these cognitive biases hinder optimal decision outcomes, thereby resulting in dangerous decisions and negative societal impact. The use of AI systems can amplify and exacerbate cognitive biases in their users. In this workshop, we seek to foster discussions on ongoing research around cognitive biases in human-AI collaboration and identify future research directions to understand, quantify, and mitigate the effects of cognitive biases. We will explore cognitive biases appearing in various contexts of human-AI collaboration: what can cause them?; how can we measure, model, mitigate, and manage cognitive biases?; and how can we utilise cognitive biases for the greater good? We will reflect on workshop discussions to form a research community around cognitive biases and bias-aware systems.","Cognitive Bias; Debiasing; Human-AI Collaboration","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:08cc6fa4-0278-49af-9741-ea880ffc801b","http://resolver.tudelft.nl/uuid:08cc6fa4-0278-49af-9741-ea880ffc801b","Gaussian process models for preliminary low-thrust trajectory optimization","Bouwman, Lieve (Student TU Delft); Liu, Y. (TU Delft Astrodynamics & Space Missions); Cowan, K.J. (TU Delft Astrodynamics & Space Missions)","Horneman, Kenneth R. (editor); Scott, Christopher (editor); Hansen, Brian W. (editor); Hussein, Islam I. (editor)","2020","Low-thrust trajectories can benefit the search for propellant-optimal trajectories, but increases in modeling complexity and computational load remain a challenge for efficient mission design and optimization. In this paper, an approach for developing models utilizing Gaussian Process (GP) regression and classification is proposed to perform computationally efficient optimization while obtaining acceptable accuracies for trajectories based on exponential sinusoid shaping. The goal of this work is to predict a combination of values of input variables which corresponds to a shape-based trajectory with the smallest total velocity increment (ΔV) or propellant mass fraction (J m). A GP classification model is constructed to assess whether a given combination of values of input variables corresponds to a feasible trajectory. GP regression models are developed to predict the total ΔV and J m corresponding to a combination of shape parameters, which can replace the required integration along the shape. In addition, advanced regression models are developed to predict the target values while requiring only three input parameters, thereby replacing the entire shape computation. In order to develop a GP model that fits the problem at hand, the underlying functions and parameters should be selected rationally. In this work, a novel model development approach is proposed to ensure that the mean function, covariance function, likelihood function, inference method, and hyperparameters, which dominate the performance of the models, are chosen rationally in terms of mean absolute percentage error (MAPE) and prediction time. Using this approach, GP models are developed and tested on transfer trajectories from Earth to Mars and Ceres, and from Mars to Earth, and their performance, in terms of MAPE and prediction time, is compared to that of more common optimization techniques in combination with the exponential sinusoid and other shape-based methods. The results demonstrate that the computation time can significantly be reduced while achieving promising MAPE’s, especially when the goal is to locate regions of feasible or near-optimal trajectories. The proposed model development procedure is tested for robustness, which provides confidence in the proposed approach. Furthermore, it is found that the models which map three input variables directly to a ΔV or J m value perform better than the ones trained with shape information, which demonstrates the strength of GP models as applied to low-thrust trajectory optimization.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Astrodynamics & Space Missions","","",""
"uuid:0afee5a5-cfa8-47bc-88db-180dfdfead1e","http://resolver.tudelft.nl/uuid:0afee5a5-cfa8-47bc-88db-180dfdfead1e","Wafer Scale Flexible Interconnect Transfer for Hetrogeneous Integration","Liu, Pan (Fudan University); Li, J. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","O'Conner, L. (editor)","2020","A polymer-based wafer level integration technology suitable for miniaturized and multi-functional systems integration was developed and demonstrated in this work. Wafer scale flexible interconnects were firstly fabricated on one wafer, and then transferred to another wafer. Such transfer process involved wafer bonding and application of sacrificial materials. A sacrificial layer was firstly placed on the surface of the transfer wafer, and the sandwich interconnect structures were then manufactured on top of the sacrificial layer. With the help of the sacrificial layer, the flexible interconnects were transferred to another wafer through wafer bonding process. Contact resistance structures were fabricated with the help of wafer bonding process, connecting and aligning metal contact layer on device wafer and metal layer embedded in transferred flexible interconnects. Such transferred contact resistance was measured through designed testing structures as a demo for wafer level heterogeneous integration.","flexible interconnect; heterogeneous integration; transferred interconnect","en","conference paper","IEEE","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f5ffc3f8-bdc6-4f97-89fc-29298b60dbd7","http://resolver.tudelft.nl/uuid:f5ffc3f8-bdc6-4f97-89fc-29298b60dbd7","An end-to-end geometric deficiencies elimination algorithm for 3D meshes","Ma, Bingtao (University of Chinese Academy of Sciences); Liu, Hongsen (University of Chinese Academy of Sciences); Nan, L. (TU Delft Urban Data Science); Tang, Xu (Chinese Academy of Sciences); Fan, Huijie (Chinese Academy of Sciences); Cong, Yang (Chinese Academy of Sciences)","","2021","The 3D mesh is an important representation of geometric data. It is widely used in computer graphics and has attracted more attention in computer vision community recently. However, in the generation of mesh data, geometric deficiencies (e.g., duplicate elements, degenerate faces, isolated vertices, self-intersection, and inner faces) are unavoidable. Geometric deficiencies may violate the topology structure of an object and affect the use of 3D meshes. In this paper, we propose an end-to-end algorithm to eliminate geometric deficiencies effectively and efficiently for 3D meshes in a specific and reasonable order. Specifically, duplicate elements can be first eliminated by assessing appear times of vertices or faces. Then, degenerate faces can be removed according to the outer product of two edges. Next, since isolated vertices do not appear in any face vertices, they can be deleted directly. Afterward, self-intersecting faces are detected and remeshed by using an AABB tree. Finally, we detect and remove an inner face according to whether multiple random rays shooted from a face can reach infinity. Experiments on ModelNet40 dataset illustrate that our method can eliminate the deficiencies of 3D meshes thoroughly.","3D Mesh; Geometric deficiencies; Mesh repair","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-05","","","Urban Data Science","","",""
"uuid:d40089d8-a1bb-46f4-a704-1c90a96cefff","http://resolver.tudelft.nl/uuid:d40089d8-a1bb-46f4-a704-1c90a96cefff","TypeWriter: Neural Type Prediction with Search-Based Validation","Pradel, Michael; Gousios, G. (TU Delft Software Engineering); Liu, Jason; Chandra, Satish","Devanbu, Prem (editor); Cohen, Myra (editor); Zimmermann, Thomas (editor)","2020","Maintaining large code bases written in dynamically typed languages, such as JavaScript or Python, can be challenging due to the absence of type annotations: simple data compatibility errors proliferate, IDE support is limited, and APIs are hard to comprehend. Recent work attempts to address those issues through either static type inference or probabilistic type prediction. Unfortunately, static type inference for dynamic languages is inherently limited, while probabilistic approaches suffer from imprecision. This paper presents TypeWriter, the first combination of probabilistic type prediction with search-based refinement of predicted types. TypeWriter’s predictor learns to infer the return and argument types for functions from partially annotated code bases by combining the natural language properties of code with programming language-level information. To validate predicted types, TypeWriter invokes a gradual type checker with different combinations of the predicted types, while navigating the space of possible type combinations in a feedback-directed manner. We implement the TypeWriter approach for Python and evaluate it on two code corpora: a multi-million line code base at Facebook and a collection of 1,137 popular open-source projects. We show that TypeWriter’s type predictor achieves an F1 score of 0.64 (0.79) in the top-1 (top-5) predictions for return types, and 0.57 (0.80) for argument types, which clearly outperforms prior type prediction models. By combining predictions with search-based validation, TypeWriter can fully annotate between 14% to 44% of the files in a randomly selected corpus, while ensuring type correctness. A comparison with a static type inference tool shows that TypeWriter adds many more non-trivial types. TypeWriter currently suggests types to developers at Facebook and several thousands of types have already been accepted with minimal changes.","Machine learning models of code; Type annotations","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Software Engineering","","",""
"uuid:c58914b2-04a2-4d63-a846-72f46df95cd7","http://resolver.tudelft.nl/uuid:c58914b2-04a2-4d63-a846-72f46df95cd7","Design Considerations and Short-Circuit Characteristics of Fully Superconducting Wind Turbine Generators","Liu, Dong (Hohai University); Hasanov, Urfan (Hohai University); Ye, Changqing (Hohai University); Gou, Xiaofan (Hohai University); Wang, X. (TU Delft Transport Engineering and Logistics)","","2020","Compared with partially superconducting generators, fully superconducting generators (F-SCGs) can further increase the torque density in large direct-drive wind turbine applications. Design trends of F-SCGs intend to increase the electrical loading by applying superconducting wires and boost the current density in the armature winding to meet the critical current density with a safety margin. High currents may cause a low power factor and require the power electronic converter to have a much larger capacity. In an F-SCG, furthermore, torques could be too high, and field and armature currents may exceed the critical currents during a generator short circuit. This paper studies the design of a 20 MW F-SCG with consideration of the control strategy and the power factor, and then evaluates the short circuit characteristic of the F-SCG. The results analysis shows that a capacitive load control should be adopted to avoid a significant drop in the power factor and to make full use of the current-carrying capability of superconductors. An I_{d} = 0 control can also be used with a medium current level. During the short circuit, the negative side is that the phase currents exceed the critical currents and cause quenches. The positive side is that the field currents stay below the critical currents and the torques do not exceed the mechanical limitation of three times the rated torque.","Fully superconducting generator; power factor; short circuit; superconducting armature; wind turbine","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:426eee20-2d7d-43b2-b405-7623a1c26343","http://resolver.tudelft.nl/uuid:426eee20-2d7d-43b2-b405-7623a1c26343","Helping users discover perspectives: Enhancing opinion mining with joint topic models","Draws, T.A. (TU Delft Web Information Systems); Liu, Jody (Student TU Delft); Tintarev, N. (TU Delft Web Information Systems)","O'Conner, L. (editor)","2021","Support or opposition concerning a debated claim such as abortion should be legal can have different underlying reasons, which we call perspectives. This paper explores how opinion mining can be enhanced with joint topic modeling, to identify distinct perspectives within the topic, providing an informative overview from unstructured text. We evaluate four joint topic models (TAM, JST, VODUM, and LAM) in a user study assessing human understandability of the extracted perspectives. Based on the results, we conclude that joint topic models such as TAM can discover perspectives that align with human judgments. Moreover, our results suggest that users are not influenced by their pre-existing stance on the topic of abortion when interpreting the output of topic models.","debated topics; joint topic models; perspective discovery; sentiment analysis; topic modeling","en","conference paper","IEEE","","","","","Virtual/online event due to COVID-19","","","","","Web Information Systems","","",""
"uuid:8cbba7af-7c18-4576-a18b-c58dbfebeb61","http://resolver.tudelft.nl/uuid:8cbba7af-7c18-4576-a18b-c58dbfebeb61","Action-driven Reinforcement Learning for Improving Localization of Brace Sleeve in Railway Catenary","Zhong, J. (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Wang, H. (TU Delft Railway Engineering); Liu, W. (Southwest Jiaotong University); Yang, Cheng (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2020","Brace Sleeve (BS) plays an essential role in connecting and fixing cantilevers of railway catenary systems. It needs to be monitored to ensure the safety of railway operations. In the literature, image processing techniques that can localize BSs from inspection images are proposed. However, the boxes produced by existing methods can contain incomplete and/or irrelevant information of the localized BS. This reduces the accuracy of BS condition diagnosis in further analyses. To address this issue, this paper proposes the use of an action-driven reinforcement learning method that adopts the coarse-localized box provided by existing methods, and finds the movements needed for the box to approach to the true BS position automatically and accurately. In contrast to the existing methods that predict one position of the box containing a BS, the proposed action-driven method sees the localization problem as a dynamic position searching process. The localization of BS is achieved by following a sequence of actions, which in this paper are position-moving (up, down, left or right), scale-changing (scale up or scale down) and shape-changing (fatter or taller). The policy of selecting dynamic actions is obtained by reinforcement learning. In the experiment, the proposed method is tested with real-life images taken from a high-speed line in China. The results show that our method can effectively improve the localization accuracy for 81.8% of the analyzed images. We also analyze cases where the method did not improve the localization and suggest further research lines.","action-driven learning; brace sleeve; localization; railway catenary; reinforcement learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-24","","","Railway Engineering","","",""
"uuid:50def34b-d3a8-4473-9ec1-454e056aa8eb","http://resolver.tudelft.nl/uuid:50def34b-d3a8-4473-9ec1-454e056aa8eb","Coordinative performance of HVDC circuit breakers in MTDC grids","Liu, S. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Shetgaonkar, Ajay (Student TU Delft); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2020","The objective of this paper is to investigate the coordinative performance of different types of high voltage DC (HVDC) circuit breakers (CBs) in multi-terminal DC (MTDC) grids. Several different HVDC CB technologies are emerging as a solution for the protection of offshore MTDC grids. There is a need for coordinative operation between different types of DC CBs in the same network. In this paper, two typical types of DC CBs are modelled in detail and implemented in a 4terminal MTDC grid in PSCAD environment, by considering operation time, interruption capability and interruption characteristics. Since the requirement of the DC CBs depends on the magnitude of the interrupted current where they are implemented, the fault scenarios in all terminals are studied and the worst scenarios are selected to demonstrate the coordinative performance of different DC CBs. Four cases are defined and demonstrated by two different types of CBs at each terminal of the cable. DC CBs perform differently with the change of the operating time and the locations where they are implemented. The performances and energy absorption are compared and analyzed. The obtained results can be used as DC CB’s selection optimization methodology for future MTDC grids.","Circuit breaker performance; DC grid protection; HVDC circuit breaker; Offshore windfarm","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Intelligent Electrical Power Grids","","",""
"uuid:555ec010-bd73-4879-b3fd-c81e52a3697a","http://resolver.tudelft.nl/uuid:555ec010-bd73-4879-b3fd-c81e52a3697a","A New 'Unified' CPT-Based Axial Pile Capacity Design Method for Driven Piles in Sand","Lehane, Barry M. (University of Western Australia); Liu, Zhongqiang (Norwegian Geotechnical Institute); Bittar, Eduardo (University of Western Australia); Nadim, Farrokh (Norwegian Geotechnical Institute); Lacasse, Suzanne (Norwegian Geotechnical Institute); Jardine, Richard (Imperial College London); Carotenuto, Pasquale (Norwegian Geotechnical Institute); Rattley, Mike (Fugro N.V.); Gavin, Kenneth (TU Delft Geo-engineering)","Westgate, Zack (editor)","2020","This paper outlines the development of a new ‘Unified’ CPT-based method for estimating the axial capacity of driven piles in sand. The method adapts key features of the four CPT-based methods currently in the API and ISO guidelines. The new method was calibrated with the Unified database of pile load tests developed as part of an earlier joint industry research project (Lehane et al. 2017). Key factors known to influence pile capacity are incorporated in the new Unified method formulation, including (i) the degree of soil displacement (plugging) during installation, (ii) the influence of relative pile tip depth, (iii) sand-pile interface friction angle, (iv) changes in radial stress during loading and (v) the influence of loading direction. It is shown that the new method provides more reliable predictions of the capacities of the pile load tests in the Unified database than any of the existing axial pile capacity design methods in the API and ISO guidelines.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-02-16","","","Geo-engineering","","",""
"uuid:0bc0b71f-3b1c-442b-bc35-fc92397c3aee","http://resolver.tudelft.nl/uuid:0bc0b71f-3b1c-442b-bc35-fc92397c3aee","Game Engine-based Point Cloud Visualization and Perception for Situation Awareness of Crisis Indoor Environments","Liu, Zhenyu (Student TU Delft); Fu, Runnan (Student TU Delft); Wang, Linjun (Student TU Delft); Jin, Yuzhen (Student TU Delft); Papakostas, Theodoros (Student TU Delft); Mainelli, Xenia Una (Student TU Delft); Voûte, R.L. (TU Delft GIS Technologie; CGI Nederland B.V); Verbree, E. (TU Delft GIS Technologie)","Basiri, Anahid (editor); Gartner, Georg Gartner (editor); Huang, Haosheng (editor)","2021","Because unknown interior layouts can have serious consequences in time-sensitive situations, crisis response teams request many potential solutions for visualizing indoor environments in crisis scenarios. This research uses a game engine to directly visualize point cloud data input of indoor environments for generating clear interaction between the environment and viewers, to aid decision-making in high-stress moments. The prospective final product is an integration of game-oriented visualization and cartography, hosted within Unreal Engine 4 (UE4), allowing users to navigate throughout an indoor environment, and customizing certain interaction features. The UE4 project consists of 4 modules: data preprocessing, render style, functional module, and user interface. Finally, this research uses a single-floor indoor point cloud dataset collected from a building in Rotterdam, the Netherlands for the implementation.","Game Engine; Unreal Engine 4; 3D Visualization; Situation Awareness; Point Cloud; Indoor Environment; Crisis Scenario","en","conference paper","TU Wien","","","","","","","","","","GIS Technologie","","",""
"uuid:a900cc34-dd2e-45c5-9dbe-a757d01748c8","http://resolver.tudelft.nl/uuid:a900cc34-dd2e-45c5-9dbe-a757d01748c8","Exploring demand patterns of a ride-sourcing service using spatial and temporal clustering","Liu, T.L.K. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning); Cats, O. (TU Delft Transport and Planning)","","2019","On-demand transport has become a common mode of transport with ride-sourcing companies like Uber, Lyft and Didi transforming the mobility market. Recurrent patterns in prevailing demand patterns can be used by service providers to better anticipate future demand distribution and thus support demand-Anticipatory fleet management strategies. To this end, we propose three steps for extracting such demand patterns from travel requests: (1) constructing the origin-destination zones by spatial clustering, (2) composing the hourly and daily origin-destination matrix, and; (3) temporal clustering to extract the dynamic demand patterns. We demonstrate the three step approach on the open-source Didi ride-sourcing data. The data consists of travel requests data for November 2016 from Chengdu, China amounting to approximately 6 million rides. The analysis reveals pronounced and recurrent and thus predictable daily and weekly patterns with distinct spatial properties pertaining to ride-sourcing production and attraction characteristics.","demand patterns; ride-sourcing; spatial clustering; taxi data; temporal clustering","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-04-28","","","Transport and Planning","","",""
"uuid:a09db1a3-eb54-408d-ab31-dc27c7b2af6a","http://resolver.tudelft.nl/uuid:a09db1a3-eb54-408d-ab31-dc27c7b2af6a","Adaptive fault accommodation of pitch actuator stuck type of fault in floating offshore wind turbines: A subspace predictive repetitive control approach","Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Frederik, J.A. (TU Delft Team Jan-Willem van Wingerden); Fontanella, A. (Politecnico di Milano); Ferrari, Riccardo M.G. (TU Delft Team Jan-Willem van Wingerden); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2020","Individual Pitch Control (IPC) is a well-known and, in normal operating conditions, effective approach to alleviate blade loads in wind turbines. However, in the case of a Pitch Actuator Stuck (PAS) type of fault, conventional IPC is not beneficial since its action is disturbed by the failed pitch actuator. In this paper, a Subspace Predictive Repetitive Control (SPRC)-based IPC is proposed to implement a Fault Tolerant Control (FTC) strategy for Floating Offshore Wind Turbines (FOWTs) affected by PAS faults. In particular, an online subspace identification step is first carried out to obtain a linearized model of the FOWT system in faulty condition. The identified FOWT system is then used to develop a repetitive control law. Consequently, the adaptive repetitive control solution is implemented on the remaining healthy pitch actuators, in order to accommodate the PAS fault. Results show the developed SPRC approach allows to accommodate the PAS faults, achieving a considerable reduction of the blade loads in combination with lower pitch activities for the healthy actuators. This allows to continue power production and postpone maintenance operations, thus reducing the OM costs.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-27","","","Team Jan-Willem van Wingerden","","",""
"uuid:2300cb8a-d86f-4f90-bb1a-7cda5eb225c4","http://resolver.tudelft.nl/uuid:2300cb8a-d86f-4f90-bb1a-7cda5eb225c4","Shape feature aided target detection method for micro-drone surveillance radar","Yang, Fawei (Beijing Institute of Technology); Le Kernec, Julien (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Liu, Quanhua (Beijing Institute of Technology)","","2020","This paper presents a shape feature aided target detection method for micro-drone surveillance radar in order to mitigate the false alarms caused by the ground clutter. The method consists of a segmentation threshold selection method based on target measurements and a shape-feature extraction method based on Hu moments. Then the performance of the proposed method is verified experimentally using a real radar system. Field experiment using DJI phantom 3 is conducted, and the measured data is analysed. The results show that although there exist some limitations, the proposed method has good performance on eliminating the false alarms caused by the strong ground clutter in micro-drone detection and improving the target tracking accuracy.","Feature aided; Micro-drone detection; Shape feature extraction","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:0216efea-5910-417d-834a-fd3d10a2ffe6","http://resolver.tudelft.nl/uuid:0216efea-5910-417d-834a-fd3d10a2ffe6","Periodic Load Rejection for Floating Offshore Wind Turbines via Constrained Subspace Predictive Repetitive Control *","Liu, Y. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Individual Pitch Control (IPC) is an effective control strategy to mitigate the blade loads on large-scale wind turbines. Since IPC usually requires high pitch actuation, the safety constraints of the pitch actuator should be taken into account when designing the controller. This paper introduces a constrained Subspace Predictive Repetitive Control (SPRC) approach, which considers the limitation of blade pitch angle and pitch rate. To fulfill this goal, a model predictive control scheme is implemented in the fully data-driven SPRC approach to incorporate the physical limitations of the pitch actuator in the control problem formulation. An optimal control law subjected to constraints is then formulated so that future constraint violations are anticipated and prevented. Case studies show that the developed constrained SPRC reduces the pitch activities necessary to mitigate the blade loads when experiencing wind turbulence and abrupt wind gusts. More importantly, the approach allows the wind farm operator to design conservative bounds for the pitch actuator constraints that satisfies safety limitations, design specifications and physical restrictions. This will help to alleviate the cyclic fatigue loads on the actuators, increase the structural reliability and extend the lifespan of the pitch control system.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-28","","","Team Riccardo Ferrari","","",""
"uuid:e80e9a22-0008-4684-8676-c67d0518b2d6","http://resolver.tudelft.nl/uuid:e80e9a22-0008-4684-8676-c67d0518b2d6","End-to-end language diarization for bilingual code-switching speech","Liu, Hexin (Nanyang Technological University); Perera, Leibny Paola Garcia (Johns Hopkins University); Zhang, Xinyi (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Khong, Andy W.H. (Nanyang Technological University); Khudanpur, Sanjeev (Johns Hopkins University); Styles, Suzy J. (Nanyang Technological University)","","2021","We propose two end-to-end neural configurations for language diarization on bilingual code-switching speech. The first, a BLSTM-E2E architecture, includes a set of stacked bidirectional LSTMs to compute embeddings and incorporates the deep clustering loss to enforce grouping of languages belonging to the same class. The second, an XSA-E2E architecture, is based on an x-vector model followed by a self-attention encoder. The former encodes frame-level features into segmentlevel embeddings while the latter considers all those embeddings to generate a sequence of segment-level language labels. We evaluated the proposed methods on the dataset obtained from the shared task B in WSTCSMC 2020 and our handcrafted simulated data from the SEAME dataset. Experimental results show that our proposed XSA-E2E architecture achieved a relative improvement of 12.1% in equal error rate and a 7.4% relative improvement on accuracy compared with the baseline algorithm in the WSTCSMC 2020 dataset. Our proposed XSA-E2E architecture achieved an accuracy of 89.84% with a baseline of 85.60% on the simulated data derived from the SEAME dataset.","Code-switching; End-to-end neural diarization; Language diarization; Language identification; Self-attention","en","conference paper","International Speech Communication Association","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-01","","","Signal Processing Systems","","",""
"uuid:52e4a923-eb7e-421e-9544-70457e362d04","http://resolver.tudelft.nl/uuid:52e4a923-eb7e-421e-9544-70457e362d04","A 5GS/s 360MHz-BW 68dB-DR Continuous-Time 1-1-1 Filtering MASH ΔΣ ADC in 40nm CMOS","Liu, Qilong (Eindhoven University of Technology; NXP Semiconductors); Breems, Lucien (Eindhoven University of Technology; NXP Semiconductors); Zhang, Chenming (Eindhoven University of Technology; NXP Semiconductors); Bajoria, Shagun (Eindhoven University of Technology; NXP Semiconductors); Bolatkale, M. (TU Delft Electronic Instrumentation; NXP Semiconductors); Rutten, Robert (NXP Semiconductors); Radulov, Georgi (Eindhoven University of Technology)","","2022","In the pursuit of ever larger bandwidths, in recent years GHz-rate continuous-time (CT) oversampled ADCs have been reported in literature that achieve bandwidths of hundreds of MHz and have even exceeded the GHz barrier [1]-[3]. As impressive as these bandwidths are for CT ADCs, the required ADC architectures are complex, are sensitive to layout parasitics due to the high sampling rates, and most important of all, are power hungry, consuming several hundreds of mW. In this paper, we propose a filtering rnulti-stage noise-shaping (MASH) ΔΣ ADC architecture that overcomes the abovementioned drawbacks. Passive delay compensating filters [4] are used to realize broadband and deep suppression of the input signal component at the internal filter nodes of the ADC. As a result, no interstage DACs are needed, which are commonly required to generate the quantization error replicas in a MASH ΔΣ ADC, saving substantial power and greatly reducing the parasitic load of the high-speed critical nodes. Moreover, because of the absence of signal content at the internal filter nodes, the backend stages of the MASH architecture have relaxed linearity requirements and can be implemented with simple low-power Gm-C filters. Precise excess loop delay and excess phase compensation are accomplished with a partly resistive and capacitive stabilization DAC, enabling very-high-speed operation of the ΔΣ loops. The realized MASH ADC is sampled at 5GHz and achieves 68dB/65dB DR/peak SNDR over a 360MHz bandwidth, -78dBc THD at -1dBFS for a 115MHz input signal, and consumes 158mW. Implemented in a mature 40nm CMOS technology, the ADC occupies only 0.21 mm2 core area, achieves 2× lower power, 5dB higher Schreier FOM and 2× lower Walden FOM compared to state-of-the-art broadband CT ADCs in advanced 16nm-28nm nodes [1]-[3].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:710d628c-8363-41e6-b1f7-fe32341d271d","http://resolver.tudelft.nl/uuid:710d628c-8363-41e6-b1f7-fe32341d271d","An Ensemble Learning Framework for Vehicle Trajectory Prediction in Interactive Scenarios","Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Lin, Yunlong (Beijing Institute of Technology); Cheng, Gong (Beijing Institute of Technology); Wang, X. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology); Gong, Jianwei (Beijing Institute of Technology); Lu, Chao (Beijing Institute of Technology)","","2022","Precisely modeling interactions and accurately predicting trajectories of surrounding vehicles are essential to the decision-making and path-planning of intelligent vehicles. This paper proposes a novel framework based on ensemble learning to improve the performance of trajectory predictions in interactive scenarios. The framework is termed Interactive Ensemble Trajectory Predictor (IETP). IETP assembles interaction-aware trajectory predictors as base learners to build an ensemble learner. Firstly, each base learner in IETP observes historical trajectories of vehicles in the scene. Then each base learner handles interactions between vehicles to predict trajectories. Finally, an ensemble learner is built to predict trajectories by applying two ensemble strategies on the predictions from all base learners. Predictions generated by the ensemble learner are final outputs of IETP. In this study, three experiments using different data are conducted based on the NGSIM dataset. Experimental results show that IETP improves the predicting accuracy and decreases the variance of errors compared to base learners. In addition, IETP exceeds baseline models with 50% of the training data, indicating that IETP is data-efficient. Moreover, the implementation of IETP is publicly available at https://github.com/BIT-Jack/IETP.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:9864c313-2e8a-4521-a624-dc956ef615fd","http://resolver.tudelft.nl/uuid:9864c313-2e8a-4521-a624-dc956ef615fd","EdgeTuner: Fast Scheduling Algorithm Tuning for Dynamic Edge-Cloud Workloads and Resources","Han, Rui (Beijing Institute of Technology); Wen, Shilin (Beijing Institute of Technology); Liu, Chi Harold; Yuan, Ye (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2022","Edge-cloud jobs are rapidly prevailing in many application domains, posing the challenge of using both resource-strenuous edge devices and elastic cloud resources. Efficient resource allocation on such jobs via scheduling algorithms is essential to guarantee their performance, e.g. latency. Deep reinforcement learning (DRL) is increasingly adopted to make scheduling decisions but faces the conundrum of achieving high rewards at a low training overhead. It is unknown if such a DRL can be applied to timely tune the scheduling algorithms that are adopted in response to fast changing workloads and resources. In this paper, we propose EdgeTuner to effectively leverage DRL to select scheduling algorithms online for edge-cloud jobs. The enabling features of EdgeTuner are sophisticated DRL model that captures complex dynamics of Edge-Cloud jobs/tasks and an effective simulator to emulate the response times of short-running jobs in accordance to dynamically changing scheduling algorithms. EdgeTuner trains DRL agents offline by directly interacting with the simulator. We implement EdgeTuner on Kubernetes scheduler and extensively evaluate it on Kubernetes cluster testbed driven by the production traces. Our results show that EdgeTuner outperforms prevailing scheduling algorithms by achieving significant lower job response time while accelerating DRL training speed by more than 180x.","DRL; Edge-cloud workloads; Kubernetes; run-time tuning; scheduling algorithm","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:c75f864b-814f-4f8d-b749-0b503906af15","http://resolver.tudelft.nl/uuid:c75f864b-814f-4f8d-b749-0b503906af15","Quantifying the Uncertainty of Short-Term Vegetation Anomalies Detection Using Eo-Based Coarse-Resolution Vegetation Products","Zhou, J. (TU Delft Optical and Laser Remote Sensing; Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Liu, Xuan (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Xiong, Xuqian (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan); Jia, Li (Chinese Academy of Sciences); Lu, Jing (Chinese Academy of Sciences); Cui, Yilin (Central China Normal University; Key Laboratory for Geographical Process Analysis & Simulation of Hubei Province, Wuhan)","","2022","Satellite-based Earth Observation systems archived a variety of vegetation products during the last 50 years, which can reveal regional to global ecosystem dynamics across diverse spatiotemporal scales. The anomaly metrics such as Vegetation Condition Index (VCI) defined by comparing the current vegetation growth condition to historical average status based on long-term EO-based vegetation products were widely used to delineate abnormal vegetation variation exerted by either climatic or anthropogenic factors (e.g., droughts, wildfires). However, currently available long-term vegetation products may differ from each other in terms of sensors (observational platform or spectral bands), bio-physical definitions (e.g., NDVI, EVI, LAI, and VOD), spatiotemporal resolution, as well as the time-spans, which results in inconsistency across these vegetation products. Taking the VCI as an example, this study evaluated the uncertainty of vegetation anomalies detected based on different vegetation products over the middle reach of the Yangtze River by explicitly considering the effect of sensors, biophysical definitions, and time-spans. The preliminary results showed that VCI derived from NDVI products from different sensors (AVHRR vs. MODIS) induced significant inconsistent anomalies over most landscapes. The differences resulting from products with different biophysical definitions (NDVI vs. EVI, LAI, and VOD) are much lower than those from different sensors but still significant over specific areas. As for the time-spans, the 20-year NDVI based VCI presented a considerable reduction in variance over the study area on average compared to VCI calculated based on 5-year NDVI. In summary, caution should be taken when applying EO-based vegetation products for vegetation anomalies mapping, especially for quantitative assessment.","EO-based vegetation products; uncertainty; vegetation anomalies; Vegetation Condition Index","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Optical and Laser Remote Sensing","","",""
"uuid:79c09da5-f071-45cc-87c6-e2bb54854578","http://resolver.tudelft.nl/uuid:79c09da5-f071-45cc-87c6-e2bb54854578","Timetable Scheduling for Passenger-Centric Urban Rail Networks: Model Predictive Control based on a Novel Absorption Model","Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2022","Timetable scheduling plays a key role in daily operations of urban rail transit systems, as it determines the quality of service provided to passengers. In order to develop efficient timetable scheduling methods, it is necessary to develop a proper model to integrate timetable-related and passenger-related factors in urban rail network efficiently. In this paper, a novel passenger absorption model for passenger- centric urban rail networks is established. The model explicitly integrates time-varying passenger origin-destination demands and the departure frequency of each line for real-time timetable scheduling. Then, a model predictive control (MPC) method for the timetable scheduling problem is proposed based on the developed model. The resulting MPC optimization problem can be formulated as a mixed-integer programming (MILP) problem, which can be solved efficiently by using the existing MILP solvers. The effectiveness of the absorption model and the corresponding MILP-based MPC approach is illustrated through the case study based on two Beijing subway lines.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:7b63cfed-7fb7-4305-be31-80feeda78a47","http://resolver.tudelft.nl/uuid:7b63cfed-7fb7-4305-be31-80feeda78a47","A control-oriented wind turbine dynamic simulation framework which resolves local atmospheric conditions","Feng, Z. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden); Liu, Y. (Electeic Power Research Institute)","Soares, C. Guedes (editor)","2023","Wind turbines may experience local weather perturbation, which is not taken into account by the commonly-used wind turbine simulation packages. Without this information, it is extremely challenging to evaluate the controller performance with regard to the effect of the variation of local atmospheric conditions. On the other side, it is too late and costly to wait until field test time. To fill this gap, in this paper, we develop a control-oriented turbine dynamic simulation framework to evaluate the controller performance considering the perturbation of local atmospheric conditions. This goal is achieved by integrating an internal wind turbine (IWT) model in the Weather Research and Forecasting (WRF) simulation tool. The proposed framework is implemented on a 5MW reference wind turbine, where the effects of the local atmospheric conditions are illustrated. The proposed WRF-IWT model are validated by comparing the results with those derived from the Fatigue, Aerodynamics, Structures, and Turbulence (FAST).","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-02","","","Team Riccardo Ferrari","","",""
"uuid:ee398f73-31f5-4d0c-9c91-842486d6b6b2","http://resolver.tudelft.nl/uuid:ee398f73-31f5-4d0c-9c91-842486d6b6b2","Single-ended DC Fault Location Method For MMC-Based HVDC Power System Using Adaptive Multi-step Levenberg-Marquardt Algorithm","Liu, L. (TU Delft Intelligent Electrical Power Grids); Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Hao, Zhiguo (Xi’an Jiaotong University); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","This article presents an accurate DC fault location method that applies parameter fitting. This technique first discusses the traveling wave (TW) propagation process in the decoupled line-mode network. We obtain the exact fault distance equation based on the analytical expressions for the wavefront of backward line-mode voltage TW. The adaptive multi-step Levenberg- Marquardt's (AMLM) algorithm is used for parameter fitting due to its fast processing speed and accuracy. The exact fault location can then be estimated using the parameter fitting results. The proposed fault location method is validated using a three-terminal HVDC system modeled on a real-time digital simulator (RTDS) platform. Based on the experimental results, the proposed method accurately detects the fault location, with all estimated errors smaller than 1%, and can withstand 40 dB noise interference. Moreover, the proposed method does not need a high sampling frequency and communication device. Its accuracy is independent of fault resistance and type compared to existing methods.","HVDC; VSC; backward traveling wave; DC fault location; parameter fitting; Levenberg-Marquardt; RTDS","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Intelligent Electrical Power Grids","","",""
"uuid:687f8ab6-a392-47ba-98bf-df6064b18cfd","http://resolver.tudelft.nl/uuid:687f8ab6-a392-47ba-98bf-df6064b18cfd","Deklagen met epoxymodificatie voor langere levensduur","Naus, Robbert (Dura Vermeer Infra Participaties); Dekkers, Rudi (Dura Vermeer Infra Participaties); Waarts, Paul (Provincie Noord-Holland); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering)","","2022","In de wegenbouw is duurzaamheid een belangrijk speerpunt. Daarom wordt er gezocht naar nieuwe bindmiddelen en modificaties om duurzame oplossingen te vinden voor de alsmaar groeiende verkeersstromen. Met de introductie van asfalt met epoxygemodificeerde bitumen wordt een grote stap gezet in het realiseren van robuuste wegen met een langere levensduur.
Door de jaren heen is asfalt met epoxybitumen wereldwijd toegepast als een hoogwaardig verhardingsmateriaal met een lange levensduur, vooral als wegdek op stalen brugdekken. In Nieuw-Zeeland wordt sinds 2007 asfalt met epoxybitumen als deklaag gebruikt. Om tot een balans te komen tussen kosten en prestaties wordt daar sinds 2012 gekozen voor een mengvorm van epoxybitumen met gewone bitumen. Inmiddels ligt er al een miljoen vierkante meter ZOAB met epoxygemodificeerde bitumen. In 2017 is door de TU Delft, provincie Noord-Holland en Dura Vermeer het initiatief genomen om epoxygemodificeerde bitumen in Nederland te introduceren.
Aan de TU Delft zijn vanaf 2018 twee PhD’s gestart om epoxygemodificeerde bitumen voor de Nederlandse situatie te onderzoeken. De laboratoriumonderzoeken bij de TU Delft en Dura Vermeer wijzen uit dat de epoxygemodificeerde mengsels in het algemeen betere eigenschappen hebben dan mengsels met standaard bitumen. Asfalt met epoxygemodificeerde bitumen gaat naar verwachting veel langer mee dan de huidige asfaltmengsels. De langere levensduur leidt tot een lagere milieubelasting. De meerkosten ervan zijn aanzienlijk maar vanwege de langere levensduur zijn de life cycle costs lager. Er is minder hinder voor het verkeer omdat de weg minder vaak hoeft te worden voorzien van een nieuwe asfaltlaag. En tenslotte, als het dan uiteindelijk toch versleten is, kan het goed worden hergebruikt.
Het is echter moeilijk om de langere levensduur te kwantificeren, hier is geen algemeen geaccepteerde meetmethode voor. Om de praktijkeigenschappen te monitoren, zijn direct al diverse proefvakken aangelegd. De betere hechting, de betere prestatie na veroudering (zoals vorst-dooi cycli) en de verbeterde weerstand tegen rafeling kunnen duiden op een langere levensduur. Tot nu toe presteren alle proefvakken naar verwachting. De paper beschrijft de proefvakken en enkele proefresultaten.","SMA; ZOAB; epoxygemodificeerde bitumen; langere levensduur; proefvakken","nl","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:1517fcd8-6559-4de9-8c86-244f32e687c6","http://resolver.tudelft.nl/uuid:1517fcd8-6559-4de9-8c86-244f32e687c6","Recyclability of epoxy-modified open-graded porous asphalt","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Naus, R. (Dura Vermeer); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University)","","2023","Epoxy asphalt attracted the attention of road authorities in many countries as a solution for du-rable open-graded porous asphalt (OGPA) surface layers with enhanced longevity. Nevertheless, the recycla-bility of aged epoxy asphalt materials has not been thoroughly studied yet. This research presents an experi-mental program conducted in the laboratory to assess the potential recyclability of epoxy-modified open-graded porous asphalt (EMOGPA) mixes. Results indicate that the aging increased the tensile strength of studied mixes, with the strength and strength development rate of aging EMOGPA mixes to be almost identi-cal to standard OGPA mixes. The EMOGPA mixes have shown higher resistance against water damage than the OGPA mixes supporting the claim that the stone-mastic adhesion is improved with the use of epoxy bind-er. It was also proven that the aged material containing the epoxy binder could be re-melted to produce new pavement materials. The new EMOGPA mixes with the recycled epoxy material exhibited similar durability characteristics with the recycled standard OGPA mixes.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-24","","","Pavement Engineering","","",""
"uuid:8b66a4c1-eabe-49a2-8af1-24ddd275ebbb","http://resolver.tudelft.nl/uuid:8b66a4c1-eabe-49a2-8af1-24ddd275ebbb","Objects do not disappear: Video object detection by single-frame object location anticipation","Liu, X. (TU Delft Pattern Recognition and Bioinformatics); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Nejadasl, Fatemeh Karimi (Universiteit van Amsterdam); Booij, O. (TU Delft Pattern Recognition and Bioinformatics); Pintea, S. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","Objects in videos are typically characterized by continuous smooth motion. We exploit continuous smooth motion in three ways. 1) Improved accuracy by using object motion as an additional source of supervision, which we obtain by anticipating object locations from a static keyframe. 2) Improved efficiency by only doing the expensive feature computations on a small subset of all frames. Because neighboring video frames are often redundant, we only compute features for a single static keyframe and predict object locations in subsequent frames. 3) Reduced annotation cost, where we only annotate the keyframe and use smooth pseudo-motion between keyframes. We demonstrate computational efficiency, annotation efficiency, and improved mean average precision compared to the state-of-the-art on four datasets: ImageNet VID, EPIC KITCHENS-55, YouTube-BoundingBoxes and Waymo Open dataset. Our source code is available at https://github.com/L-KID/Video-object-detection-by-location-anticipation.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Pattern Recognition and Bioinformatics","","",""
"uuid:38545a77-f02a-41df-bbfc-ec3c6bd9f16f","http://resolver.tudelft.nl/uuid:38545a77-f02a-41df-bbfc-ec3c6bd9f16f","A review of vision-based road detection technology for unmanned vehicles","Liu, Chaoyang (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Yang, Fan (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Li, Mengkai (Beijing Institute of Technology)","","2023","With the development of unmanned vehicle technology, unmanned vehicles have played a huge role in logistics transportation, emergency rescue and disaster relief, etc., so the research on unmanned vehicles is becoming more and more important. Road detection is an important part of environmental perception and an important factor in the realization of assisted driving and unmanned driving technology. High-precision road detection technology can provide important environmental information for efficient planning and reasonable decision-making of unmanned vehicles. Firstly, the technical framework of road detection is given, and the road detection process is introduced in detail. Then, the vision-based road detection algorithm is introduced. Finally, some related data sets in the field of road detection are collected, which provides new ideas and methods for road detection researchers.","data sets; road detection; unmanned vehicle; vision","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-07","","","Transport and Planning","","",""
"uuid:f54e922a-bc7e-4af0-8ccd-ad985b5595c7","http://resolver.tudelft.nl/uuid:f54e922a-bc7e-4af0-8ccd-ad985b5595c7","Controlling P and B diffusion during polysilicon formation","Lamers, M.W.P.E. (ECN Solar Energy); Bronsveld, Paula (ECN Solar Energy); Liu, Ji (ECN Solar Energy); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN Solar Energy)","Brendel, Rolf (editor); Poortmans, Jef (editor); Weeber, Arthur (editor); Hahn, Giso (editor); Ballif, Christophe (editor); Glunz, Stefan (editor); Ribeyron, Pierre-Jean (editor)","2018","High quality passivating contacts can be realized by using the combination of a thin interfacial oxide (SiOx) and doped polysilicon (polySi). Recombination losses are minimized by providing very good passivation between the thin hydrogenated oxide and the cSi, a high field effect by the highly doped polySi [1-2], combined with the low level penetration of dopants in the wafer [2-3]. To realize this low level in-diffusion of dopants, several interacting options are evaluated in this work: the quality of the thin oxide layer (growth method), combined with a diffusion blocking method (nitridation), doping concentration levels in the polySi and temperature of diffusion. It is shown that for Phosphorus (P)-doped polySi, in-diffusion can be reduced by adding an i-layer in between the oxide and the highly doped polySi, lowering the overall doping level in the system slightly. For Boron (B)-doped polySi, in-diffusion can be blocked by nitridation of the SiO2 layer.","","en","conference paper","American Institute of Physics","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:1099a43c-9d55-416e-b231-ef1aa7652d8f","http://resolver.tudelft.nl/uuid:1099a43c-9d55-416e-b231-ef1aa7652d8f","Impact of synthetic fibres on asphalt concrete mix","Daniel, C.G. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","Nikolaides, A.F. (editor); Manthos, E. (editor)","2019","The use of synthetic fibres has been reported to enhance the performance of asphalt pavement materials in terms of permanent deformation, fatigue and thermal cracking. However, limited results about the benefits of synthetic fibres in the reinforced warm-mix asphaltic materials, and the exact mechanism of reinforcing the binding part in pavement structures is still unclear. In this contribution, a semi-circular bending test was per-formed by using various fibre amounts as well as fibre length inside the bituminous mix. The results indicate that the inclusion of fibre can improves the warm-mix performance. Tensile strength as the first criterion is en-hanced proportionally by increasing fibre dosage. The reinforcing effect brought by 38-mm fibre is higher than the one with 19-mm.","","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3ae2da81-d8ac-47cc-9ad0-e6b03724c81c","http://resolver.tudelft.nl/uuid:3ae2da81-d8ac-47cc-9ad0-e6b03724c81c","Video BagNet: Short temporal receptive fields increase robustness in long-term action recognition","Strafforello, O. (TU Delft Pattern Recognition and Bioinformatics; TNO); Liu, X. (TU Delft Pattern Recognition and Bioinformatics); Schutte, Klamer (TNO); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics)","Ceballos, Cristina (editor)","2023","Previous work on long-term video action recognition relies on deep 3D-convolutional models that have a large temporal receptive field (RF). We argue that these models are not always the best choice for temporal modeling in videos. A large temporal receptive field allows the model to encode the exact sub-action order of a video, which causes a performance decrease when testing videos have a different sub-action order. In this work, we investigate whether we can improve the model robustness to the sub-action order by shrinking the temporal receptive field of action recognition models. For this, we design Video BagNet, a variant of the 3D ResNet-50 model with the temporal receptive field size limited to 1, 9, 17 or 33 frames. We analyze Video Bag-Net on synthetic and real-world video datasets and experimentally compare models with varying temporal receptive fields. We find that short receptive fields are robust to sub-action order changes, while larger temporal receptive fields are sensitive to the sub-action order.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Pattern Recognition and Bioinformatics","","",""
"uuid:e413dd39-cceb-4e60-b689-11820ad27f04","http://resolver.tudelft.nl/uuid:e413dd39-cceb-4e60-b689-11820ad27f04","Polymer Reinforced Solder Paste for Improving Impact Energy Absorption Capability in Micro LED Laser-Assisted Mass Transfer","Ji, Liangzheng (Fudan University; Heraeus Materials Technology Shanghai Ltd.); Li, Zaihuan (Heraeus Materials Technology Shanghai Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","Micro LED displays offer superior performance compared to traditional LCD and OLED displays. However, challenges in transfer technology, such as high throughput and scalability, must be addressed. Among various mass transfer techniques, stamp transfer and laser-assisted transfer are widely used for Micro LED assembly. The laser-assisted transfer technique enables high-speed and accurate transfer. Anisotropic conductive film (ACF) is commonly used for its energy absorption properties during chip transfer. However, during the subsequent thermocompression bonding process, the ACF film needs to be ruptured, which adds no value to the bonding process. To address limitations, we have developed a polymer-reinforced solder paste that demonstrates high effectiveness in absorbing impact energy during chip dropping, providing performance comparable to ACF-like materials for die receiving. It also possesses typical solder paste characteristics, enabling the formation of reliable solder joints between the chip and substrate. This material facilitates streamlined manufacturing process and providing opportunities for chip rework in subsequent stages.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Electronic Components, Technology and Materials","","",""
"uuid:3631b057-6e20-470a-acec-ceb3476a1137","http://resolver.tudelft.nl/uuid:3631b057-6e20-470a-acec-ceb3476a1137","3ET: Efficient Event-based Eye Tracking using a Change-Based ConvLSTM Network","Chen, Qinyu (University of Zürich; ETH Zürich); Wang, Zuowen (University of Zürich; ETH Zürich); Liu, Shih Chii (University of Zürich; ETH Zürich); Gao, C. (TU Delft Electronics)","","2023","This paper presents a sparse Change-Based Convolutional Long Short-Term Memory (CB-ConvLSTM) model for event-based eye tracking, key for next-generation wearable healthcare technology such as AR/VR headsets. We leverage the benefits of retina-inspired event cameras, namely their low-latency response and sparse output event stream, over traditional frame-based cameras. Our CB-ConvLSTM architecture efficiently extracts spatio-temporal features for pupil tracking from the event stream, outperforming conventional CNN structures. Utilizing a delta-encoded recurrent path enhancing activation sparsity, CB-ConvLSTM reduces arithmetic operations by approximately 4.7× without losing accuracy when tested on a v2e-generated event dataset of labeled pupils. This increase in efficiency makes it ideal for real-time eye tracking in resource-constrained devices. The project code and dataset are openly available at https://github.com/qinche106/cb-convlstm-eyetracking.","Pupil tracking; event cameras; sparsity; ConvLSTM; healthcare; AR/VR","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Electronics","","",""
"uuid:45a37317-20e7-4916-a8c2-cb12b1dc3dce","http://resolver.tudelft.nl/uuid:45a37317-20e7-4916-a8c2-cb12b1dc3dce","CluFlow: Cluster-based Flow Management in Software-Defined Wireless Sensor Networks","Liu, Qingzhi (Eindhoven University of Technology); Ozcelebi, Tanir (Eindhoven University of Technology); Cheng, Long (University College Dublin); Kuipers, F.A. (TU Delft Embedded Systems); Lukkien, Johan (Eindhoven University of Technology)","","2019","Software-defined networking (SDN) is a cornerstone of next-generation networks and has already led to numerous advantages for data-center networks and wide-area networks, for instance in terms of reduced management complexity and more fine-grained traffic engineering. However, the design and implementation of SDN within wireless sensor networks (WSN) have received far less attention. Unfortunately, because of the multi-hop type of communication in WSN, a direct reuse of the wired SDN architecture could lead to excessive commu- nication overhead. In this paper, we propose a cluster-based flow management approach that makes a trade-off between the granularity of monitoring by an SDN controller and the communication overhead of flow management. A network is partitioned into clusters with a minimum number of border nodes. Instead of having to handle the individual flows of all nodes, the SDN controller only manages incoming and outgoing traffic flows of clusters through border nodes. Our proof-of- concept implementations in software and hardware show that, when compared with benchmark solutions, our approach is significantly more efficient with respect to the number of nodes that must be managed and the number of control messages exchanged.","Software-defined networking; Wireless sensor networks","en","conference paper","IEEE","","","","","","","","","","Embedded Systems","","",""
"uuid:500f9f3f-310d-4a7b-b236-5e1d935204de","http://resolver.tudelft.nl/uuid:500f9f3f-310d-4a7b-b236-5e1d935204de","Investigation on the DC CB Performance during a Current Interruption Failure at First Current Zero","Liu, S. (Xi’an Jiaotong University); Geng, Yingsan (Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Wang, Jianhua (Xi’an Jiaotong University); Liu, Zhiyuan (Xi’an Jiaotong University); Zhang, Bojian (Xi’an Jiaotong University)","Falkingham, Lesli T. (editor); Kaneko, Eiji (editor)","2018","The vacuum interrupter is used as the key component of an active DCCB due to its excellent interruption and dielectric recovery characteristics after current zero. The vacuum interrupter can only interrupt the fault currents below the limitation of a critical di/dt and TIV, otherwise it causes a reignition and the interruption failure. In this paper, a detailed active injection DC CB model is developed, considering operation delay of switches, parasitic parameters of switches and thorough control logic. The limitation dielectric strength between the vacuum gap is defined by the cold break down voltage. Based on the numerical modelling, investigation will be performed to see the performance of DC CB with a failure interruption on the first current zero. The simulation results can help to optimize the injection circuit parameters when DC CB has a failure on the first current zero and has to interrupt in the next current zeros. This algorithm will consider predefined threshold of di/dt, chopping current and variable operation time in different scenarios.","active injection; di/dt; fault interruption; HVDC circuit breaker; PSCAD simulation","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-03","","","Intelligent Electrical Power Grids","","",""
"uuid:d1ef55f7-0190-4082-9603-08bec81d6850","http://resolver.tudelft.nl/uuid:d1ef55f7-0190-4082-9603-08bec81d6850","Relaxing the control-gain assumptions of DSC design for nonlinear MIMO systems","Chen, Yong (Air Force Engineering University); Lv, Maolong (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Liu, Zongcheng (Air Force Engineering University); Zhang, Wenqian (Air Force Engineering University); Zhou, Yang (Air Force Engineering University)","","2019","This work focuses on adaptive neural dynamic surface control (DSC) for an extended class of nonlinear MIMO strict-feedback systems whose control gain functions are continuous and possibly unbounded. The method is based on introducing a compact set which is eventually proved to be an invariant set: thanks to this set, the restrictive assumption that the upper and lower bounds of control gain functions must be bounded is removed. This method substantially enlarges the class of systems for which DSC can be applied. By utilizing Lyapunov theorem and invariant set theory, it is rigorously proved that all signals in the closed-loop systems are semi-globally uniformly ultimately bounded (SGUUB) and the output tracking errors converge to an arbitrarily small residual set. A simulation example is provided to demonstrate the effectiveness of the proposed approach.","Adaptive systems; MIMO communication; Stability analysis; Nonlinear systems; Backstepping; Control design","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:cb365e80-edac-4575-b0c6-418ac0145b45","http://resolver.tudelft.nl/uuid:cb365e80-edac-4575-b0c6-418ac0145b45","The internal and external flow fields of a structured porous coated cylinder and implications on flow-induced noise","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Aircraft Noise and Climate Effects); Avallone, F. (TU Delft Wind Energy); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Li, Zhiyong (Southern University of Science and Technology)","","2019","Porous coated cylinders have been shown to reduce the vortex shedding tone and broadband noise of a bare cylinder placed in uniform flow within specific Reynolds number regimes. The processes by which the vortex shedding and thus tone suppression take place are still uncertain despite numerous numerical and experimental studies. It is understood that adding a porous medium to a bare cylinder will have an influence on the Reynolds number of cylinder, yet the increase of outer diameter alone and the influences of surface roughness are insufficient to explain the changes in the shedding tone magnitude and frequency that are observed by many. Investigating the internal flow field of a porous coated cylinder could lead to a deeper understanding of the flow processes that result in the tonal noise reduction. This has not been achieved to date, as commonly used materials such as metal foam and polyurethane possess randomized porous structures, which make investigating the internal flow field nearly impossible without affecting the structure itself. This paper presents a preliminary analysis of the internal and external flow fields of two structured porous coated cylinders. The cylinders were manufactured using solid transparent materials that possess direct lines of sight through the pores in the axial and spanwise directions. Such structured porous coated cylinders have been previously successful in reducing the typical vortex shedding tone. Tomographic and 2-D planar Particle Image Velocimetry (PIV) were used in a water-tunnel facility to visualize the internal and external flow fields. To date only the 2-D planar PIV results have been post-processed that reveal differences in the wake for the two different cylinder types such as recirculation of flow around the pores. Vorticity flow structures are observed to vary along the cylinder span in the same pattern as the porous structure and streamlines at the windward cylinder side reveal the entry of flow into the porous medium.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:7544cd5e-5474-4b64-87ac-c73c504e4d41","http://resolver.tudelft.nl/uuid:7544cd5e-5474-4b64-87ac-c73c504e4d41","Through-Screen Visible Light Sensing Empowered by Embedded Deep Learning","Liu, Hao (Student TU Delft); Ye, Hanting (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2021","Motivated by the trend of realizing full screens on devices such as smartphones, in this work we propose through-screen sensing with visible light for the application of fingertip air-writing. The system can recognize handwritten digits with under-screen photodiodes as the receiver. The key idea is to recognize the weak light reflected by the finger when the finger writes the digits on top of a screen. The proposed air-writing system has immunity to scene changes because it has a fixed screen light source. However, the screen is a double-edged sword as both a signal source and a noise source. We propose a data preprocessing method to reduce the interference of the screen as a noise source. We design an embedded deep learning model, a customized model ConvRNN, to model the spatial and temporal patterns in the dynamic and weak reflected signal for air-writing digits recognition. The evaluation results show that our through-screen fingertip air-writing system with visible light can achieve accuracy up to 91%. Results further show that the size of the customized ConvRNN model can be reduced by 94% with less than a 10% drop in performance.","embedded AI; embedded deep learning; Through-screen sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:fbe87cba-3290-4c31-a66e-6cd5174ae249","http://resolver.tudelft.nl/uuid:fbe87cba-3290-4c31-a66e-6cd5174ae249","Practice of Planning Coordination for Crossborder Spatial Governance in the Yangtze River Delta Region: A Transformative Analysis in Meta-Governance","Su, D. (TU Delft Urban Development Management; Tsinghua University); Liu, Jian (Tsinghua University)","","2023","Nowadays, city clusters have become an important spatial form in the process of global urbanization, characterized by contiguous development across provincial, municipal, and county administrative boundaries, given all-around cross-border circulation of socio-economic factors at the regional scale has become the trend. In order to pursue their own interests, neighboring administrative regions are driven by localism and often deliberately ignore regional level neighborhood issues, especially ecological and environmental issues regarding “public goods”, coupled with weak cross-border spatial governance at the regional level, this has led to prominent negative externalities and constant conflicts in the development of cross-border areas, seriously affecting the developing quality of the region as a whole. This paper attempts to challenge the traditional technical concept of spatial planning, and introduce the meta-governance theory of public administration discipline. The study empirically demonstrates the Yangtze River Delta(YRD), the representative of China’s regional development and pioneer in cross-border ecological governance as an example, and identifies the evolution of the three-stage regional coordination model in the YDR region since the reform and opening up in China, and the successes and failures of planning meta-governance in the cross-border area under different goal-oriented approaches. This paper summarizes a regional planning meta-governance model with Chinese characteristics, to explore the mechanism of spatial planning, as a policy and technical tool for spatial governance, in responding to the ecological governance of crossborder space, and measures how it can effectively play a synergistic role in the regional scale.","Yangtze River Delta; cross-border; spatial governance; meta-governance; regional planning; spatial planning; coordination","en","conference paper","ENSAP Bordeaux","","","","","","","","","","Urban Development Management","","",""
"uuid:dce70188-46ab-44af-bb79-f755a41167c7","http://resolver.tudelft.nl/uuid:dce70188-46ab-44af-bb79-f755a41167c7","Reliability Modeling Considerations for Emerging Cyber–Physical Power Systems: Prepared by Task Force on Reliability Consideration for Emerging Cyber-Physical Energy Systems under IEEE PES Reliability, Risk and Probability Applications Subcommittee","Aravinthan, Visvakumar (Wichita State University); Balachandran, Thanatheepan (Wichita State University); Ben-Idris, Mohammed (University of Nevada); Fei, Wanghao (University of Oklahoma); Heidari-Kapourchali, Mohammad (Wichita State University); Hettiarachchige-Don, Anton (Wichita State University); Liu, Chen-Ching (Virginia Polytechnic Institute and State University); Stefanov, Alexandru (ESB Networks); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2018","Power system operation considering an increasingly complex cyber infrastructure may be one of the key factors of the next generation power systems. The effective operation of a power system in a massively deployed cyber network environment will be affected by cyber network reliability. Therefore, it is vital not only to understand the operation of a cyber network and its reliability, but also it is critical to integrate the interdependency of cyber and power systems into power system planning and operations. This requires a three-layer approach to reliability modeling and evaluation. The cyber and power layers are interconnected by the information layer. The objective of this paper is to define the three-layer model and report a generalized framework for combined reliability modeling.","cyber-physical power system; dependent system reliability modeling; cyber reliability; cyber-intrusion","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-20","","","Intelligent Electrical Power Grids","","",""
"uuid:dadafd2e-823d-453b-8542-a50af6923346","http://resolver.tudelft.nl/uuid:dadafd2e-823d-453b-8542-a50af6923346","Mimosa: Modular Self-folding Hinges Kit for Creating Shape-changing Objects","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2024","We developed a shape-changing constructive kit, named Mimosa1. A key component of the toolkit is the modular hinges, each of which is equipped with two antagonistic shape memory alloy (SMA) wires. One wire deforms the hinge to approach its predetermined angle at high temperature, and another wire drives the hinge back when it cools down. Hinge leaves are available in different materials including acrylic, cardboard and textile, which increases the versatility of the toolkit. Every hinge weighs 2.1-5.4 g, and generates up to 5.7 N actuation force. A Bluetooth control module was developed, enabling remote control of the shape-changing objects. Mimosa aims to inspire designers to explore and create interactive shape-morphing objects with SMAs. A few examples are given such as a gripper, a rolling robot, a butterfly, an airplane and a self-closing pocket. A workshop study with 6 participants showed that Mimosa indeed motivated and inspired the participants to create new ideas.","Crafting; Shape Memory Alloy; Shape-Changing Interfaces; Smart Hinge; Tangible User Interfaces; Toolkit","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:2b4273b0-0729-4473-af1e-5c2e87679ee3","http://resolver.tudelft.nl/uuid:2b4273b0-0729-4473-af1e-5c2e87679ee3","RAP pre-treatment for fast cold in-place recycling","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Yi (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Welvaarts, B. (De Jong Zuurmond); Brouns, K. (De Jong Zuurmond)","Zhang, Yuqing (editor); Airey, Gordon (editor); Rahman, Mujib (editor); Wang, Haopeng (editor)","2024","Developed by Delft University of Technology, the tri-component polyurethane modified cold binder (PMCB) displays impressive durability and strength in asphalt mixtures, showing promise as a reliable binder for cold in-place recycling. However, when applying PMCB for rapid, in-situ recycling, the presence of moisture in reclaimed asphalt pavement (RAP) poses a significant challenge. To address this, an innovative approach involving treatment of the wet RAP with Calcium dioxide (CaO) prior to the integration of PMCB was tested. Evaluation methods used included the Indirect Tensile Test (ITT), followed by the calculation of the Indirect Tensile Strength Ratio (ITSR) to assess moisture susceptibility. Furthermore, Cantabro tests were performed to determine the material loss under abrasion and weathering conditions. These assessments underscored the feasibility of this approach. The treatment of wet RAP with CaO has proven a viable strategy for rapid in-situ recycling with PMCB, contributing to sustainable pavement construction. In addition, the research identified that a 5.5% concentration of the PMCB binder maximizes structural integrity and performance in the considered RAP.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","2024-06-01","","","Pavement Engineering","","",""
"uuid:1c68dd28-63da-4aea-9aca-aeeb6d7ea577","http://resolver.tudelft.nl/uuid:1c68dd28-63da-4aea-9aca-aeeb6d7ea577","Design challenges of direct-drive permanent magnet superconducting wind turbine generators","Liu, Dong (Hohai University); Song, Xiaowei (Vestas Wind System A/S); Wang, X. (TU Delft Transport Engineering and Logistics)","","2020","In recent years, permanent magnet superconducting (PMSC) generators have become a candidate for applying superconducting (SC) generators in large direct-drive wind turbines. This configuration keeps the SC armature winding and its cooling system stationary and eliminates rotational cooling couplings. However, the low excitation by permanent magnets may lead to poor power factors if the armature current is high. Furthermore, the permanent magnets are prone to demagnetization when the armature reaction is strong. This paper investigates the design challenges regarding the power factor, demagnetization and short circuit characteristics by analyzing two PMSC generator designs. The results show that the power factor cannot be as high as 0.9 and a low power factor such as 0.6 can take advantage of the high current carrying capability of the SC armature winding. However, this low power factor will cause demagnetization. The armature current may cause quenching of the SC wires during a three-phase short circuit. Demagnetization of the permanent magnets during the short circuit is strong and could be an intrinsic weakness of a PMSC generator.","Demagnetization; MgB2; Permanent magnet; Short circuit; Superconducting generator; Wind turbine","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:f53b6aea-4799-4404-9cbf-dcf6d5a85242","http://resolver.tudelft.nl/uuid:f53b6aea-4799-4404-9cbf-dcf6d5a85242","Adaptive Risk-Tendency: Nano Drone Navigation in Cluttered Environments with Distributional Reinforcement Learning","Liu, C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","Enabling the capability of assessing risk and making risk-aware decisions is essential to applying reinforcement learning to safety-critical robots like drones. In this paper, we investigate a specific case where a nano quadcopter robot learns to navigate an apriori-unknown cluttered environment under partial observability. We present a distributional reinforcement learning framework to generate adaptive risk-tendency policies. Specifically, we propose to use lower tail conditional variance of the learnt return distribution as intrinsic uncertainty estimation, and use exponentially weighted average forecasting (EWAF) to adapt the risk-tendency in accordance with the estimated uncertainty. In simulation and real-world empirical results, we show that (1) the most effective risk-tendency varies across states, (2) the agent with adaptive risk-tendency achieves superior performance compared to risk-neutral policy or risk-averse policy baselines. Code and video can be found in this repository: https://github.com/tudelft/risk-sensitive-rl.git","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:580e5a49-5028-45fa-bcf6-0747836ecf40","http://resolver.tudelft.nl/uuid:580e5a49-5028-45fa-bcf6-0747836ecf40","An Area-Efficient Ultra-Low-Power Time-Domain Feature Extractor for Edge Keyword Spotting","Chen, Qinyu (University of Zürich); Chang, Yaoxing (University of Zürich); Kim, Kwantae (University of Zürich); Gao, C. (TU Delft Electronics); Liu, Shih Chii (University of Zürich)","","2023","Keyword spotting (KWS) is an important task on edge low-power audio devices. A typical edge KWS system consists of a front-end feature extractor which outputs mel-scale frequency cepstral coefficients (MFCC) features followed by a back-end neural network classifier. KWS edge designs aim for the best power-performance-area metrics. This work proposes an area-efficient ultra-low-power time-domain infinite impulse response (IIR) filter-based feature extractor for a KWS system. It uses a serial architecture, and the architecture is further optimized for a low-cost computing structure and mixed-precision bit selection of the IIR coefficients while maintaining good KWS accuracy. Using a 65 nm process technology and a back-end neural network classifier, this simulated feature extractor has an area of 0.02 mm2 and achieves 3.3 μW @ 1.2 V, and achieves 92.5% accuracy on a 10-keyword, 12-class KWS task using the GSCD dataset.","hardware acceleration; infinite impulse response (IIR); Keyword spotting (KWS); long short-term memory","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Electronics","","",""
"uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","http://resolver.tudelft.nl/uuid:20817bd2-c257-48d8-8efe-0a55993f3fe0","FedKNOW: Federated Continual Learning with Signature Task Knowledge Integration at Edge","Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID data, and the limited scalability on the tasks and edge devices. In this paper, we propose FedKNOW, an accurate and scalable federated continual learning framework, via a novel concept of signature task knowledge. FedKNOW is a client side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedKNOW is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients' current tasks through the global model. We implement FedKNOW in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedKNOW improves model accuracy by 63.24% without increasing model training time, reduces communication cost by 34.28%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex networks.","communication; continual learning; deep neural networks; Federated learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:49124128-422f-4f6e-8ef2-45142046fe5d","http://resolver.tudelft.nl/uuid:49124128-422f-4f6e-8ef2-45142046fe5d","EdgeVisionBench: A Benchmark of Evolving Input Domains for Vision Applications at Edge","Zhang, Qinglong (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Vision applications powered by deep neural networks (DNNs) are widely deployed on edge devices and solve the learning tasks of incoming data streams whose class label and input feature continuously evolve, known as domain shift. Despite its prominent presence in real-world edge scenarios, existing benchmarks used by domain adaptation methods overlook evolving domains and under represent their shifts in label and feature distributions. To address this gap, we present EdgeVisionBench, a benchmark seeking to generate evolving domains of various types and reflect their realistic label and feature shifts encountered by edge-based vision applications. To facilitate evaluating domain adaptation methods on edge devices, we provide an open-source package that automates workload generation, contains popular DNN models and compression techniques, and standardizes evaluations with interactive interfaces. Code and datasets are available at https://github.com/LINC-BIT/EdgeVisionBench.","benchmark; Edge computing; evolving domains; vision applications","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Data-Intensive Systems","","",""
"uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","http://resolver.tudelft.nl/uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","Simulation, Prediction, and Verification of the Corrosion Behavior of Cu-Ag Composite Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","With the popularization of wide band-gap power modules in offshore wind power systems and water surface photovoltaic power stations, packaging materials face challenges of corrosion by salt, blended with high humidity. Copper-silver (Cu-Ag) composite sintered paste was proposed by researchers as a novel die-attach material for a lower cost and anti-electro migration ability. However, the potential difference between copper and silver forms galvanic corrosion in a high-humidity environment, resulting in accelerated failure combined with salt mist. To further promote the application of composite sintered materials, a copper-silver double-sphere galvanic corrosion model based on finite element simulation was proposed in this paper. The relationship between corrosion rate and time of different Cu-Ag particle size combinations under different sintering degrees was predicted by initial exchange current density. Through the electrochemical characterization of the sintered samples, the optimal combination of materials was further discussed. The accuracy of the model was also verified. The conclusions obtained from both the experiments and simulation work provide guidance for future anti-corrosion analysis, as well as the reliability improvement of novel composite sintered materials.","die-attach material; FEM simulation; galvanic corrosion; power electronic packaging","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:488cad9d-badf-4818-8cb2-1b28d5d44c01","http://resolver.tudelft.nl/uuid:488cad9d-badf-4818-8cb2-1b28d5d44c01","The First Multimodal Information Based Speech Processing (Misp) Challenge: Data, Tasks, Baselines And Results","Chen, Hang (University of Science and Technology of China); Zhou, Hengshun (University of Science and Technology of China); Du, Jun (University of Science and Technology of China); Lee, Chin-Hui (Georgia Institute of Technology); Chen, Jingdong (Northwestern Polytechnical University); Watanabe, Shinji (Carnegie Mellon University); Siniscalchi, Sabato Marco (Georgia Institute of Technology; University of Enna Kore); Scharenborg, O.E. (TU Delft Multimedia Computing); Liu, Di-Yuan (iFlytek)","","2022","In this paper we discuss the rational of the Multi-model Information based Speech Processing (MISP) Challenge, and provide a detailed description of the data recorded, the two evaluation tasks and the corresponding baselines, followed by a summary of submitted systems and evaluation results. The MISP Challenge aims at tack-ling speech processing tasks in different scenarios by introducing information about an additional modality (e.g., video, or text), which will hopefully lead to better environmental and speaker robustness in realistic applications. In the first MISP challenge, two bench-mark datasets recorded in a real-home TV room with two reproducible open-source baseline systems have been released to promote research in audio-visual wake word spotting (AVWWS) and audio-visual speech recognition (AVSR). To our knowledge, MISP is the first open evaluation challenge to tackle real-world issues of AVWWS and AVSR in the home TV scenario.","MISP challenge; microphone array; audio-visual; automatic speech recognition; wake word spotting","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:3e54aaa0-46f8-4411-a5ca-351a314d73ce","http://resolver.tudelft.nl/uuid:3e54aaa0-46f8-4411-a5ca-351a314d73ce","The Multimodal Information Based Speech Processing (Misp) 2022 Challenge: Audio-Visual Diarization And Recognition","Wang, Zhe (University of Science and Technology of China); Wu, Shilong (University of Science and Technology of China); Chen, Hang (University of Science and Technology of China); He, Mao-Kui (University of Science and Technology of China); Du, Jun (University of Science and Technology of China); Lee, Chin-Hui (Georgia Institute of Technology); Chen, Jingdong (Northwestern Polytechnical University); Watanabe, Shinji (Carnegie Mellon University); Siniscalchi, Sabato Marco (Georgia Institute of Technology; University of Enna Kore); Scharenborg, O.E. (TU Delft Multimedia Computing); Liu, Diyuan (iFlytek)","","2023","The Multi-modal Information based Speech Processing (MISP) challenge aims to extend the application of signal processing technology in specific scenarios by promoting the research into wake-up words, speaker diarization, speech recognition, and other technologies. The MISP2022 challenge has two tracks: 1) audio-visual speaker diarization (AVSD), aiming to solve ""who spoken when"" using both audio and visual data; 2) a novel audio-visual diarization and recognition (AVDR) task that focuses on addressing ""who spoken what when"" with audio-visual speaker diarization results. Both tracks focus on the Chinese language, and use far-field audio and video in real home-tv scenarios: 2-6 people communicating each other with TV noise in the background. This paper introduces the dataset, track settings, and baselines of the MISP2022 challenge. Our analyses of experiments and examples indicate the good performance of AVDR baseline system, and the potential difficulties in this challenge due to, e.g., the far-field video quality, the presence of TV noise in the background, and the indistinguishable speakers.","MISP challenge; speaker diarization; speech recognition; multimodality","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Multimedia Computing","","",""
"uuid:47defb3b-2b9f-4b0a-9628-6e49ad733b2c","http://resolver.tudelft.nl/uuid:47defb3b-2b9f-4b0a-9628-6e49ad733b2c","Real-time Routing and Scheduling of On-demand Autonomous Customized Bus Systems","Guo, Rongge (University of Huddersfield); Liu, X. (TU Delft Team Bart De Schutter); Bhatnagar, Saumya (University of Huddersfield); Vallati, Mauro (University of Huddersfield)","","2023","The integration of autonomous vehicles and on-demand customized bus systems is expected to be beneficial for responding to real-time demands. This paper investigates the autonomous customized bus (ACB) system that leverages passenger demand prediction to enhance service quality and vehicle utilization. A novel ACB service design optimization model that determines vehicle movements and passenger-to-vehicle assignments is developed for the real-time routing and scheduling problem. Then, a rolling horizon approach, incorporating travel demand prediction, proactive dispatching and reactive adjustment, is proposed to address the studied problem. The performance of the introduced ACB system is evaluated using smartcard data from Beijing and the state-of-the-art machine learning algorithm. Results show that the proposed ACB system can effectively improve system performance and service level in terms of operating cost and passenger waiting time compared to reactive operations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Team Bart De Schutter","","",""
"uuid:3d399b07-466c-4181-8b9d-abee0280415d","http://resolver.tudelft.nl/uuid:3d399b07-466c-4181-8b9d-abee0280415d","Benders Decomposition-Based Optimization of Train Departure Frequencies in Metro Networks","Daman, A. (Student TU Delft); Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Timetables determine the service quality for passengers and the energy consumption of trains in metro systems. In metro networks, a timetable can be made by designing train departure frequencies for different periods of the day, which is typically formulated as a mixed-integer linear programming (MILP) problem. In this paper, we first apply Benders decomposition to optimize the departure frequencies considering time-varying passenger origin-destination demands in metro networks. An ϵ -optimal Benders decomposition approach is subsequently used to reduce the solution time further. The performance of both methods is illustrated in a simulation-based case study using a grid metro network. The results show that both the classical Benders decomposition approach and the ϵ -optimal Benders decomposition approach can significantly reduce the computation time for the optimization of train departure frequencies in metro networks. In addition, the ϵ -optimal Benders decomposition approach can further reduce the solution time compared to the classical Benders decomposition approach when the problem scale increases while maintaining an acceptable level of performance.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:9ea5db52-f242-4030-8095-e3c28ed8d50d","http://resolver.tudelft.nl/uuid:9ea5db52-f242-4030-8095-e3c28ed8d50d","Assessing the Susceptibility of Existing Pipelines to Hydrogen Embrittlement","Boot, T. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Reinton, T.E. (TU Delft Team Vera Popovich); Liu, Ping (IntecSea BV); Walters, C.L. (TU Delft Ship Hydromechanics and Structures); Popovich, V. (TU Delft Team Vera Popovich)","","2021","With fossil fuels being phased out and growing global interest in a hydrogen economy, there is demand for re-purposing existing pipelines for transportation of hydrogen gas. However, hydrogen embrittlement (HE) can limit pipeline steel’s performance. In this study, the effect of hydrogen on the mechanical properties of an X60 base metal (polygonal ferrite/pearlite) and its girth weld (acicular ferrite/pearlite) was measured with a novel slow strain rate tensile (SSRT) test in which hollow pipe-like specimens were internally pressurised with nitrogen and hydrogen gas from 0 to 100 bars. Results showed that exposure to H2 gas at 100 bars reduced the ductility of the base metal by up to 40% and the weld metal by 14%. Reduction in cross-sectional area (%RA) reduced by up to 28% in the base metal and 11% in the weld metal. Fracture surface analysis showed micro-void coalescence as well as quasi-cleavage fracture characteristic of HE. Susceptibility to HE was also observed in the form of secondary longitudinal and internal transverse cracks.","Fractography; Hydrogen embrittlement; In situ testing; Pipeline steel","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-24","","","Team Vera Popovich","","",""
"uuid:3a8595f0-66b1-45a7-92a4-72da989baf69","http://resolver.tudelft.nl/uuid:3a8595f0-66b1-45a7-92a4-72da989baf69","An Artificial Stream Network and Its Application on Exploring the Effect of DEM Resolution on Hydrological Parameters","Liu, H. (TU Delft OLD Department of GIS Technology)","Mansourian, Ali (editor); Pilesjö, Petter (editor); Harrie, Lars (editor); van Lammeren, Ron (editor)","2018","Digital elevation models (DEM) are widely used in various distributed hydrological models. The stream network can be extracted from it so that runoff routing can be calculated. With the advent of remote sensing and computing technologies, the computation based on DEM with high resolution becomes possible. However, there still exist regions with poor resolution, particularly in developing countries. Previous work only conducted comparisons between results by implementing hydrological models for specific basins in the real world and resolutions were only assigned to several fixed values, such as 30 and 90 m. So, the results derived were thus not in a general sense. To roughly understand how DEM resolution influences the hydrologic response, in this paper, first an artificial stream network of which the principle is originated from fractal theory is constructed. Then by implementing calculation on such artificial networks in an iterative way and performing aggregation, the influence of DEM resolution on several hydrological parameters, namely, the number of basins, drainage density of all basins, total stream length, average stream slope and average topographic index used to assess the spatial distribution of soil saturation of the largest basin can thus be acquired. It is found that DEMs of low resolution would reduce drainage density, total stream length and average stream slope, but would increase topographic index. But the effect is insignificant regarding the number of basins. In the end, the results of the simulation as well as the quality of the fractal terrain are validated by referencing field data.","Fractal terrain; DEM; Stream network; Hydrological parameter","en","conference paper","Springer","","","","","Accepted Author Manuscript","","","","","OLD Department of GIS Technology","","",""
"uuid:3e0be03a-0c0c-4b0c-9976-b7249e7a3f5f","http://resolver.tudelft.nl/uuid:3e0be03a-0c0c-4b0c-9976-b7249e7a3f5f","Robust Adaptive Back-Stepping Control Approach Using Quadratic Lyapunov Functions for MMC-Based HVDC Digital Twins","Liu, L. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","Margaria, Tiziana (editor); Steffen, Bernhard (editor)","2022","Due to its excellent performance, VSC-based high voltage direct current (HVDC) power systems draw significant attention. They are being heavily used in modern industrial applications, such as onshore and offshore wind farms, and for interconnection between asynchronous networks. However, the traditional proportional-integral (PI) control method is not robust enough to track the reference signal quickly and accurately during significant system disturbances. This paper proposes a robust adaptive back-stepping control (BSC) method that secures vulnerable power-electronic equipment. The adaptive BSC controller regulates the sum of capacitor energy, and the AC grid current through decoupled and closed control-loop design. The major advantage of the proposed control approach is the smooth transient response and accurate tracking ability, which is superior to classical control methods. In addition, the proposed methods have the merits of systematic and recursive design methodology and demand a low processing burden for Lyapunov functions and control laws. Moreover, the implementation particularities of the proposed approach are illustrated and verified for a power system digital twin using real-time digital simulator (RTDS).","Adaptive back-stepping control; Digital twins; Energy controller; HVDC grids; Lyapunov stability; MMC; Nonlinear robust control; RTDS","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-17","","","Intelligent Electrical Power Grids","","",""
"uuid:01ecc5f8-655b-4224-bada-e05a552efa33","http://resolver.tudelft.nl/uuid:01ecc5f8-655b-4224-bada-e05a552efa33","A Cooperative Protocol for Vehicle Merging Using Bi-dimensional Artificial Potential Fields","Liu, Zhengqiang (Southeast University); Liu, Di (Southeast University; Rijksuniversiteit Groningen); Yu, Wenwu (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","Kim, Jinwhan (editor); Englot, Brendan (editor); Park, Hae-Won (editor); Choi, Han-Lim (editor); Myung, Hyun (editor); Kim, Junmo (editor); Kim, Jong-Hwan (editor)","2022","In recent years, platooning solutions like cooperative adaptive cruise control (CACC) have been deeply studied. It is common in such platooning literature to assume that the vehicles drive on the same lane (longitudinal platooning). At the same time, lateral control during merging maneuvers is commonly addressed as a path planning problem, in which the ego vehicle changes the lane during merging without necessarily cooperating with its neighboring vehicles (i.e. without considering gap closing). The primary objective of this article is to develop a control strategy which involves both longitudinal and lateral vehicle dynamics, where the vehicles merge and form a platoon in a cooperative way without a priori path planning. Appropriately designed bi-dimensional artificial potential fields are used to achieve this goal and the proposed protocol is verified through simulations with CarSim.","Artificial potential fields; Lateral control; Longitudinal control; Merging maneuver; Platooning","en","conference paper","Springer","","","","","Accepted Author Manuscript","","2023-04-01","","","Team Bart De Schutter","","",""
"uuid:691514b3-285d-4a75-af82-12d53b3e16ce","http://resolver.tudelft.nl/uuid:691514b3-285d-4a75-af82-12d53b3e16ce","Maverick Matters: Client Contribution and Selection in Federated Learning","Huang, J. (TU Delft Data-Intensive Systems); Hong, C. (TU Delft Data-Intensive Systems); Liu, Yang (Tsinghua University); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Roos, S. (TU Delft Data-Intensive Systems)","Kashima, Hisashi (editor); Ide, Tsuyoshi (editor); Peng, Wen-Chih (editor)","2023","Federated learning (FL) enables collaborative learning between parties, called clients, without sharing the original and potentially sensitive data. To ensure fast convergence in the presence of such heterogeneous clients, it is imperative to timely select clients who can effectively contribute to learning. A realistic but overlooked case of heterogeneous clients are Mavericks, who monopolize the possession of certain data types, e.g., children hospitals possess most of the data on pediatric cardiology. In this paper, we address the importance and tackle the challenges of Mavericks by exploring two types of client selection strategies. First, we show theoretically and through simulations that the common contribution-based approach, Shapley Value, underestimates the contribution of Mavericks and is hence not effective as a measure to select clients. Then, we propose FedEMD, an adaptive strategy with competitive overhead based on the Wasserstein distance, supported by a proven convergence bound. As FedEMD adapts the selection probability such that Mavericks are preferably selected when the model benefits from improvement on rare classes, it consistently ensures the fast convergence in the presence of different types of Mavericks. Compared to existing strategies, including Shapley Value-based ones, FedEMD improves the convergence speed of neural network classifiers with FedAvg aggregation by 26.9% and its performance is consistent across various levels of heterogeneity.","client selection; data heterogeneity; Federated learning; shapley value; wasserstein distance","en","conference paper","Springer","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:e6245999-4884-497d-a53d-5b7294b64cc6","http://resolver.tudelft.nl/uuid:e6245999-4884-497d-a53d-5b7294b64cc6","Formation Control of Skid-Steered Vehicles Based on Distributed Model Predictive Control","Wang, Yiping (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Songhao (Beijing Institute of Technology); Luan, Tian (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","Fu, Wenxing (editor); Gu, Mancang (editor); Niu, Yifeng (editor)","2023","The skid-steered vehicle has the advantages of simple structure and strong maneuverability. Its formation driving can effectively improve safety, reduce energy consumption and exert its benefits, and has wide application prospects in military and civilian fields. Differential skid steering has strong horizontal and vertical coupling characteristics, so the tracking performance of the vehicle is poor. Therefore, it is of great significance to study horizontal and vertical joint control. Firstly, the mathematical model of the vehicle platoon is established to realize the formation control of skid-steered vehicles. Then, a combined horizontal and vertical control strategy for skid-steered vehicle formation is proposed, and a distributed model predictive controller is designed. Finally, simulation experiments verified that the designed method has good feasibility and stability.","Distributed model predictive control; Formation driving; Lateral and longitudinal control; Skid-steered vehicle","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-10","","","Transport and Planning","","",""
"uuid:4ef8308f-ca44-4701-8253-87790026ce90","http://resolver.tudelft.nl/uuid:4ef8308f-ca44-4701-8253-87790026ce90","Photogrammetric Reconstruction of a Stolen Statue","Liu, Z. (TU Delft Emerging Materials; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Doubrovski, E.L. (TU Delft Mechatronic Design); Geraedts, Jo M.P. (TU Delft Emerging Materials); Yam, Y (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Wang, W. (The Chinese University of Hong Kong; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Wang, C.C.L. (The University of Manchester)","Babaei, V. (editor); Skouras, M. (editor)","2023","In this paper, we propose a method to reconstruct a digital 3D model of a stolen/damaged statue using photogrammetric methods. This task is challenging because the number of available photos for a stolen statue is in general very limited – especially the side/back view photos. Besides using standard structure-from-motion and multi-view stereo methods, we match image pairs with low overlap using sliding windows and maximize the normalized cross-correlation (NCC) based patch-consistency so that the image pairs can be well aligned into a complete model to build the 3D mesh surface. Our method is based on the prior of the planar side on the statue’s pedestal, which can cover a large range of statues. We hope this work will motivate more research efforts for the reconstruction of those stolen/damaged statues and heritage preservation.","Computing methodologies; Reconstruction; Mesh models","en","conference paper","","","","","","","","","","","Mechatronic Design","","",""
"uuid:995dd9ea-d934-41f7-954c-7219f86bffbd","http://resolver.tudelft.nl/uuid:995dd9ea-d934-41f7-954c-7219f86bffbd","Non-Unit DC Line Protection Method for Multi-Terminal MMC-HVDC System Based on Normalized Backward Traveling Waves","Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Liu, L. (TU Delft Intelligent Electrical Power Grids); Hao, Zhiguo (Xi’an Jiaotong University); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","Existing line protection methods for multi-terminal direct current (MTDC) systems are constrained by the placement and values of boundary elements. To overcome this limitation, this paper proposes a non-unit DC line protection method based on the normalized backward traveling waves (BTWs) of the 1-mode voltage. Firstly, this article studies the traveling wave characteristics and derives the expressions for the normalized BTWs. Then, the Levenberg-Marquardt algorithm is used for amplitude fitting and normalization calculation. Based on the normalized BTWs, a non-unit protection method is proposed. Finally, the proposed method is evaluated with a simulation model on the PSCAD/EMTDC platform. The results demonstrate that the proposed method can accurately identify faults of different resistances and distances without requiring boundary devices, and is robust against noise disturbances (35 dB).","Levenberg-Marquardt algorithm; Modular multilevel converter; Multi-terminal DC grid; Non-unit protection; Traveling wave","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Intelligent Electrical Power Grids","","",""
"uuid:59c15a3a-2148-4b9c-8485-5876e34497d4","http://resolver.tudelft.nl/uuid:59c15a3a-2148-4b9c-8485-5876e34497d4","Smart designing of smart systems","Horvath, I. (TU Delft Cyber-Physical Systems); Zeng, Yong (Concordia University); Liu, Y. (Cardiff University); Summers, Joshua (University of Texas at Dallas)","","2021","","","en","contribution to periodical","","","","","","Accepted Author Manuscript","","2021-11-30","","","Cyber-Physical Systems","","",""
"uuid:a73acffb-9714-49a4-9c20-dd20fd777838","http://resolver.tudelft.nl/uuid:a73acffb-9714-49a4-9c20-dd20fd777838","Experimental Testing and Constitutive Modelling of Pavement Materials","Liu, X. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); You, Zhanping (Michigan Technological University); Wang, Linbing (University of Georgia); Zhou, Changhong (Guilin University of Electronic Technology)","","2023","","","en","contribution to periodical","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9b81319e-c113-4a87-bf39-17d9d7bd9324","http://resolver.tudelft.nl/uuid:9b81319e-c113-4a87-bf39-17d9d7bd9324","Modelling and Simulation for Concrete Durability: Mechanism and Prediction","Liu, Qing Feng (Shanghai Jiao Tong University); Šavija, B. (TU Delft Materials and Environment); Xia, Jin (Zhejiang University); Lin, Xiaoshan (Royal Melbourne Institute of Technology University); Hou, Dongshuai (Qingdao University of Technology)","","2021","","","en","contribution to periodical","","","","","","","","","","","Materials and Environment","","",""
"uuid:adc29344-a46c-4448-9ea7-7d83845ccb8d","http://resolver.tudelft.nl/uuid:adc29344-a46c-4448-9ea7-7d83845ccb8d","Sustainable designed pavement materials","Xiao, Yue (Ministry of Transport; Wuhan University of Technology); Erkens, S. (TU Delft Pavement Engineering); Li, Mingliang (Ministry of Transport); Ma, Tao (Southeast University); Liu, X. (TU Delft Pavement Engineering)","","2020","This Special Issue ""Sustainable Designed Pavement Materials"" has been proposed and organized as a means to present recent developments in the field of environmentally-friendly designed pavement materials. For this reason, articles included in this special issue relate to different aspects of pavement materials, from industry solid waste recycling to pavement materials recycling, from pavement materials modification to asphalt performance characterization, from pavement defect detection to pavement maintenance, and from asphalt pavement to cement concrete pavement, as highlighted in this editorial.","Ageing resistance; Modified asphalt materials; Pavement materials; Pavement surfacing; Recycled pavement materials; Recycling; Rejuvenator; Skid resistance; Sustainable designed pavement materials","en","contribution to periodical","","","","","","","","","","","Pavement Engineering","","",""
"uuid:5075bb13-7f36-4075-90fe-7b1144a53d79","http://resolver.tudelft.nl/uuid:5075bb13-7f36-4075-90fe-7b1144a53d79","Science and Technology for Combating Global Water Challenges","Qu, Jiuhui (Tsinghua University; Chinese Academy of Sciences); Liu, Huijuan (Tsinghua University); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences)","","2022","","","en","contribution to periodical","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:8381dce8-7935-4877-ab9a-a8cb56f59997","http://resolver.tudelft.nl/uuid:8381dce8-7935-4877-ab9a-a8cb56f59997","Achievements and prospects of functional pavement: Materials and structures","Zheng, Jian Long (Changsha University of Science and Technology); You, Zhanping (Michigan Technological University); Liu, X. (TU Delft Pavement Engineering)","","2020","In order to further promote the development of functional pavement technology, a Special Issue of “Achievements and Prospects of Functional Pavement” has been proposed by a group of guest editors. To reach this objective, articles included in this Special Issue are related to different aspects of functional pavement, including green roads to decrease carbon emission, noise, and pollution, safety pavement to increase skid resistance by water drainage and snow removal, intelligent roads for monitoring, power generation, temperature control and management, and durable roads to increase service life with new theory, new design methods, and prediction models, as highlighted in this editorial.","Asphalt pavements; Durable road; Functional pavement; Green road; Intelligent road; Pavement materials; Safety pavement","en","contribution to periodical","","","","","","","","","","","Pavement Engineering","","",""
"uuid:1371861d-e39d-4bb1-8532-792526c9224d","http://resolver.tudelft.nl/uuid:1371861d-e39d-4bb1-8532-792526c9224d","Dynamics of elevated jack-up structures","Liu, Ping","","1991","","offshore","","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:03cc13d9-6445-4fce-9185-8798dce1293a","http://resolver.tudelft.nl/uuid:03cc13d9-6445-4fce-9185-8798dce1293a","Travel time prediction for urban networks","Liu, H.","Van Zuylen, H.J. (promotor); Van Lint, H. (promotor)","2008","","travel time prediction; urban networks; state space neural networks","en","doctoral thesis","","","","","","","","","Trail","","","","",""
"uuid:bad09af1-fa51-445a-a575-9c429fae54ec","http://resolver.tudelft.nl/uuid:bad09af1-fa51-445a-a575-9c429fae54ec","Characterization and Identification of Bituminous Materials Modified with Montmorillonite Nanoclay","Liu, G.","Molenaar, A.A.A. (promotor); Wu, S.P. (promotor)","2011","Montmorillonite (Mt) nanoclay is a layered silicate mineral with a 2:1-type layer structure, two tetrahedrals sandwiching one octahedral. In recent decades, it is successfully introduced into polymer systems to form polymer-clay nanocomposites (PCN) in which the silicate layers of the Mt are randomly and homogeneously dispersed at a molecular level in the polymer matrix. Due to the addition of Mt, some properties of PCN, such as the mechanical, thermal and gas barrier properties, were superior to those of pristine polymers. Based on this idea, natural and organo Mts were used to modify bitumens with different penetration grades in this study. Some rheological, ageing and fatigue properties of the modified bitumens and mortars were characterized. High-shearing mixing method was used to prepare the modified bitumen; X-ray diffraction and micro-CT scanning were employed to observe structures of Mts in bitumen; DSR equipment was adopted to characterize the rheological properties; the rolling thin film oven (RTFO) and pressure ageing vessel (PAV) methods were used to simulate the short term and long term ageing on base and Mt modified bitumens, respectively. Fatigue tests were performed on bitumen and mortar samples which consisted of bitumen, filler and sand at a weight ratio of 0.34:0.30:0.36, as well as on a dense graded asphalt mixture. XRD results indicated an intercalated structure of organo Mts in the bituminous matrix. A phase separated structure of natural Mt was found in the base bitumen. Micro-CT images further proved that natural Mt acted like a conventional filler at micrometer level. The interaction between the Mts layers and bitumen changed its viscosity and dynamic response as characterized by means of the DSR. Reinforced shear thinning was observed on the modified bitumens at a liquid state, which implies a better drainage resistance of asphalt mixture during transportation. The results indicated that organo Mts improve the short term ageing resistance of base bitumen. The main reason for this improvement is that the barrier properties of Mt particles hinder the penetration of oxygen. Meanwhile, the reduction of volatilization of the oil components of bitumen due to these barrier properties can be another reason. However, a less effective improvement was observed for the long term ageing using the PAV. That is because organo Mt platelets automatically accumulated to reduce their surface area under tough testing conditions in the PAV. This accumulation weakened the barrier properties of the Mts. It is believed however that organo Mts will improve the long term ageing behaviour of bitumen in the field because the state of the Mt will be very stable and no accumulation as occurred in the PAV will happen. The addition of organo Mts can change the fatigue properties of bitumen and even mortar. This change was influenced by the type of the surfactant on the Mt which determined the interfacial interaction between the bitumen and the Mt. Because of the heterogeneous character of asphalt mixtures and their complicated failure mechanism, the fatigue test on the asphalt mixture with the modified bitumen only gave a limited improvement of this property. Finally, some important aspects are given for further research. Based on the present research results, the organo Mt can be an alternative to modifiers used in the bitumen to sustain the durability of asphalt pavements.","Bitumen; Montmorillonite; Ageing; Rheology; Fatigue","en","doctoral thesis","","","","","","","","2011-12-12","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:6c2f1476-c703-431e-a573-42ead7a88f12","http://resolver.tudelft.nl/uuid:6c2f1476-c703-431e-a573-42ead7a88f12","On the prediction of damage and fracture strength of notched composites","Liu, C.","Marissen, R. (promotor); Ernst, L.J. (promotor)","2004","","","en","doctoral thesis","","","","","","","","","Design, Engineering and Production","","","","",""
"uuid:dea292f3-15ee-490a-a59c-5365df63bc16","http://resolver.tudelft.nl/uuid:dea292f3-15ee-490a-a59c-5365df63bc16","Induction Healing of Porous Asphalt Concrete","Liu, Q.","Schlangen, E. (promotor)","2012","Porous asphalt shows excellent performance in both noise reduction and water drainage. Although porous asphalt has these great qualities, its service life is much shorter (sometimes only half) compared to dense graded asphalt roads. Ravelling, which is the loss of aggregate particles from the surface layer, is the main damage mechanism of porous asphalt surface wearing courses. In this research, an induction healing approach (namely, activating the healing process of asphalt concrete through induction heating) was developed to enhance the durability of the porous asphalt roads. Steel fibers are added to a porous asphalt mixture to make it electrically conductive and suitable for induction heating. When micro cracks are expected to occur in the asphalt mastic of the pavement, the temperature of the mastic can be increased locally by induction heating of the steel fibers so that porous asphalt concrete can repair itself and close the cracks through the high temperature healing of the bitumen (diffusion and flow). The closure of micro cracks will prevent the formation of macro cracks. In such a way, ravelling can be avoided or delayed in the end. To make asphalt mastic and porous asphalt concrete electrically conductive and suitable for induction heating, steel (wool) fibers were incorporated into them. The electrical conductivity and induction heating speed of asphalt mastic and porous asphalt concrete were first studied in this research. Asphalt mastic and porous asphalt concrete with steel fibers can be heated with induction energy. There is an optimal volume content of steel fiber in asphalt mastic or porous asphalt concrete to obtain the highest induction heating speed. Adding more steel fiber above this optimal volume content does not increase the induction heating speed anymore. Furthermore, the highest induction heating speed corresponds to the minimum electrical resistivity. However, porous asphalt concrete does not need to be fully conductive for induction heating. Every single steel wool is a heating unit. Nonconductive samples with steel fiber can still be heated with induction heating, but at a low heating speed. The diameter, length and content of steel wool fiber are important for the conductivity and heating speed of asphalt concrete matrix. It is proven that induction heating does not cause extra ageing to bitumen. Addition of steel wool also reduces the binder drainage problem in porous asphalt concrete. The mechanical properties of porous asphalt concrete with steel wool fiber were studied in this research. Adding moderate percentage steel wool to porous asphalt concrete reinforce it by increasing its particle loss resistance, indirect tensile strength and fracture energy, water damage resistance, stiffness and fatigue resistance. The steel wool was optimized to obtain the best particle loss resistance in porous asphalt concrete. 8% steel wool type 00 (volume fraction of bitumen) was considered as the optimal content. The healing potential of porous asphalt concrete with steel wool fiber was also evaluated in this research with both cylinder and beam samples. Damaged porous asphalt concrete with steel wool fiber can greatly restore its stiffness, strength and fatigue life with induction heating, which proves that the healing capacity of porous asphalt concrete with steel wool fiber is enhanced by induction heating. The optimal induction heating temperature is 85 ºC for porous asphalt concrete to obtain the best healing rate. Reheating does not decrease the healing rate of porous asphalt concrete, which means that heating can be repeated when cracks appear again. To apply the induction healing technology in real porous asphalt road, a trial section was constructed on Dutch motorway A58 in December 2010. This trial section survived the past two winters perfectly. Experiments were done on the cores drilled from the trial section and the results coincided with those on the laboratory made samples. The field cores showed good particle loss resistance, high strength, good fatigue resistance and high induction healing capacity. Based on the laboratory experiments and field experiences, induction healing can be a very good approach to enhance the durability of porous asphalt pavement. Finally, some recommendations are given for further research. Steel fiber, mixing technology and induction generator need to be optimized. Modeling work is necessary to fully understand the mechanisms involved in induction healing.","healing; induction heating; steel fiber; porous asphalt concrete","en","doctoral thesis","","","","","","","","2012-10-02","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:af1f1ad0-2066-48e0-949a-6b17291c111d","http://resolver.tudelft.nl/uuid:af1f1ad0-2066-48e0-949a-6b17291c111d","Global gravity field recovery from satellite-to-satellite tracking data with the acceleration approach","Liu, X.","Klees, R. (promotor)","2008","","leo orbit dertermination; global gravity field modeling; kinematic orbit; kbr measurements; acceleration approach; water storage variation","en","doctoral thesis","","","","","","","","","Aerospace Engineering","","","","",""
"uuid:e9562632-361f-4d36-b77f-5459d6123cf6","http://resolver.tudelft.nl/uuid:e9562632-361f-4d36-b77f-5459d6123cf6","A pattern directed approach towards an object adaptive decision support environment for water resources management","Liu, Y.","Kopperlaar, H. (promotor); Van der Veer, P. (promotor)","1996","","decision support system; geographical information system; object oriented design; pattern directed analysis; knowledge engineering; water management","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:121dda1b-2c69-497f-b9ce-33634ae12ded","http://resolver.tudelft.nl/uuid:121dda1b-2c69-497f-b9ce-33634ae12ded","Non-linear vibrations of imperfect thin-walled cylindrical shells","Liu, D.K.","Arbocz, J. (promotor)","1988","","","en","doctoral thesis","","","","","","","","","Aerospace Engineering","","","","",""
"uuid:3db90695-a6cb-475a-aa87-6a33d874996c","http://resolver.tudelft.nl/uuid:3db90695-a6cb-475a-aa87-6a33d874996c","Whole Body Activity Retentions in the Peptide Receptor Radionuclide Therapy with Lu-177","Liu, B.","Wolterbeek, H.T. (promotor)","2013","The patients with the neuroendocrine tumours (liver, spleen, etc.) often need treatment by the Peptide Receptor Radionuclide Therapy with 177Lu. The amount of 177Lu activity in the body of patients has to be known accurately for assessment of the dosimetry and for evaluation of the effectiveness of the therapy. Whole-body activities at the given time after administration can be derived from measurements of the activity of a sub-sample from the excreted urine, collected by the patient him/herself. This approach has to be abandoned because it increases the radiation dose of analysts and nurses involved. Moreover, the collection is patient unfriendly; there is a high risk of contamination by spills, and therefore, a risk of incomplete collection. As such, it is not unlikely that the urine collection method results in an underestimated indication of the total amount of activity actually excreted. As a consequence, the urine collection method may render an overestimated value of the whole-body activity. On the other hand, it is an intrinsic problem that the measurement uncertainty of the whole-body activity derived from the urine collection method increases when the whole-body activity decreases with time. As an example, the expanded uncertainties of the whole-body activity were about ±4% (the coverage factor k=2) shortly after administration and up to >±20% at 24 h; however all expanded uncertainties of the activity of the collected urine were about ±3.4%. The objective of the research described in this thesis was to develop a patient friendly technique for an accurate estimate of the whole-body activity of 177Lu as an alternative for the collection of the excreted urine by patient themselves. Two methods, (i) direct measurement of the activity of the excreted urine in the toilet pot and (ii) paired whole-body measurements, have been developed to overcome the concerns. In the first method, the activity of the excreted urine was measured in the toilet pot itself. A small CeBr3 detector is positioned on the side wall of the toilet room, aligned to centre of the water in the toilet pot. The patient uses the toilet as normal but does not need to flush it. The only modification for the current toilet system is to replace the mechanical flushing by a delayed flushing. The activity of the excreted urine is measured directly in the toilet pot after the patient has left the room. This approach is friendly and comfortable for patients and does not lead to an enhanced radiation dose to the staff that otherwise need handling the collected urine. The effects of urine volume and the voiding flow rate were investigated with 177Lu mock-up urine solutions. The expanded uncertainty varied from ±5 to ±10 %, dependent on the occurrence of diarrhea or extreme voiding styles. Therefore measurement uncertainties of the derived whole-body activity were equivalent to the values derived from the urine collection method or even a little bit worse. As an alternative, a radiation measurement system was designed by which the activity in the patient’s body can be measured directly by positioning the patient between two CeBr3 detectors for simultaneous measurements. Whole-body activities during subsequent measurements are commonly normalized to the administered activity before the first voiding. The detector responses of the measurement system are affected by the fully filled bladder during this measurement before the first voiding and by activity redistributions in between subsequent measurements. This was confirmed by measurements with patients, in which the geometric mean value of the count rates of the two detectors after voiding differed from that before the next voiding and by comparison with the results from the urine collection method. This problem could be largely overcome by a series of paired measurements before and after each voiding consecutively, from which time-dependent detector responses were derived. The whole-body activities were then determined accurately using these time-dependent responses, with measurement uncertainties of about ±7%. The results around 1 h after administration have been validated by measurements of the collected first excreted urine from 5 patients. The uncertainty of the whole-body dose is an essential quantity in the individual dosimetry of radionuclide therapy and is mainly dependent on the time-integrated activity coefficient, which is calculated by the bi-exponential regression of the time-activity curve. Using the trapezoidal area under the measurement curve as a reference, the uncertainty of the time-integrated activity coefficient was estimated from the three components, e.g. the uncertainty of the trapezoidal area under the measurement curve, the sum of squares of fitting residuals and the bias between the fitting and trapezoidal areas. The expanded uncertainty in measurement is about ±4% for the new paired measurements method. The toilet measurement set-up is in principle ready for implementation but requires additional modifications of the logistics in the use of the toilet, such as a delayed flushing and blocking of the access during the measurements. The whole-body measurement system is ready for implementation. Handling of radioactive urine is not needed any more. The approaches meet the objective: a reduction of the burden to radiotherapy patients and analysts in the assays of the amount of activity in the patient body.","PRRT; whole-body dose; activity in the excreted urine; activity in the whole-body; whole-body measurements; uncertainty in measurement","en","doctoral thesis","IOS Press","","","","","","","","Applied Sciences","Radiation, Radionuclides & Reactors","","","",""
"uuid:ed6f1b38-e29e-4e84-b536-12a096e587fc","http://resolver.tudelft.nl/uuid:ed6f1b38-e29e-4e84-b536-12a096e587fc","Biomass-powered Solid Oxide Fuel Cells: Experimental and Modeling Studies for System Integrations","Liu, M.","Verkooijen, A.H.M. (promotor)","2013","Biomass is a sustainable energy source which, through thermo-chemical processes of biomass gasification, is able to be converted from a solid biomass fuel into a gas mixture, known as syngas or biosyngas. A solid oxide fuel cell (SOFC) is a power generation device that directly converts the chemical energy of a fuel to electricity. Therefore, biomass-powered SOFCs could be highly efficient. Typically, in addition to carbon dioxide and water vapor, the major components of syngas produced from biomass gasification include hydrogen, carbon monoxide and methane which are potential fuels for SOFCs, which make integration possible between SOFCs and biomass gasifiers. However, the syngas is also comprised of trace species such as tars, H2S, HCl, and alkali compounds, among others, which could be detrimental to SOFCs if they are contained within the feeding syngas stream. Therefore, the syngas must be pretreated in order to reduce these trace species to a level that SOFCs are able to tolerate. With various gas treatments, the overall system performance would fluctuate, and therefore, the influence of the gas treatment methods on the system performance must be understood. The most prominent among the trace species is tar. The effect of tars on the performance of SOFCs has yet to be studied, however, it is known that, even though tar can possibly poison the fuel cell through carbon deposition, it may also become a fuel for SOFCs. Furthermore, SOFC systems are currently designed in general for employing natural gas. Due to the fact that SOFC systems are very sensitive to the fuel types, it is necessary to completely understand the system response when switching from natural gas to biosyngas to enable a better controllability for future experiments. The research scope of this thesis is limited to the aforementioned issues. The objective of this thesis is to provide a fundamental study to ensure a safe and efficient system integration. The study is limited to an existing downdraft fixed-bed gasifier and a 5 kWe SOFC CHP system due to these two units entering the commercial market. The approach utilized, however, could be further adopted for the large scale power plants based on biomass gasifiers and SOFCs. The research begins with the evaluation of technologies involved biomass-powered SOFCs in chapter 2. Technologies regarding biomass gasification, gas cleanup and fuel cells are discussed based on literature surveys. The review begins by briefly summarizing conventional gasifiers including fixed-bed and fluidized bed gasifiers, which are implented for biomass gasification. Following that, details are indicated for SOFC performance affected by the trace species such as particulates, H2S and available cleaning technologies. The combination of biomass gasifiers with fuel cells including proton exchange membrane fuel cells (PEMFC), molten carbonate fuel cells (MCFC), and SOFCs is then reviewed with an emphasis on the development of SOFC technology and the study of integration between biomass gaisifers and SOFCs. Chapter 3 presents a thermodynamic study of the influence of cleaning technology on the energetic and exergetic performance of the integrated gasifier–SOFC system with distinctive system configurations. Two gas cleaning systems, specifically, a combined high and low temperature gas cleaning system and a high temperature gas cleaning system are considered to connect the gasifier with the SOFC system. The influence of the steam addition for the suppression of carbon deposition and various heat sources for steam generation on the system performance is evaluated. The performance of the SOFC system operating with natural gas and biosyngas is also compared. The installed SOFC system, particularly the embedded pre-reformer and anode off-gas recirculation was initially designed for natural gas. This design is desirable as it effectively uses the steam in the anode off-gas and the heat generated in the stack. As SOFC performance is very sensitive to gas composition and operating conditions, both of which are affected by the anode recirculation, an evaluation of the recirculation behavior on safety issues regarding carbon deposition and nickel oxidation and system performance are presented in chapter 4. An important finding is that, by not implementing the recirculation, the biosyngas-fueled SOFC system effectuates a much higher net electrical efficiency, less initial investment and simpler system configuration in comparison to that when recirculation is implemented. Tolerance of SOFCs to the trace species from biomass gasification is not yet fully understood. The influence of biomass gasification tars on SOFC performance and mitigation of carbon deposition are experimentally evaluated in chapter 5&6. Well-controlled operational conditions assist in the suppression of carbon deposition. Chapter 5 presents the influence of operating conditions including steam levels, current density and time on stream on the performance of SOFCs with Ni–YSZ anodes fueled by tar-containing biosyngas at 800 °C. Changes in impedance spectra and polarization curves of SOFCs following tar exposure were analyzed to assess the cell performance. The biosyngas composition and the tar concentration employed in these measurements were identical to those measured from the commercial air-blown biomass gasifier that is to be connected to the studied SOFC system. Operating this type of SOFC with the tar concentrations could result in severe damage to the cell due to carbon formation on the anodes. Scanning Electron Microscopy (SEM) indicated carbon deposition which affected the performance of the SOFC, as is exhibited by the impedance spectra and anode polarization curves of the cells after exposure to tars. However, the risk of carbon deposition could be alleviated by increasing steam levels and current loads. Chapter 6 presents a similar study of the effects of tar on SOFC performance, but possesses a focus on Ni–GDC anodes and various operating temperatures levels (700, 800 and 900 °C) under both dry and wet conditions. Polarization behavior, electrochemical impedance spectroscopy, and cell voltage degradation were analyzed to evaluate the cell performance. It is most likely that the cells with Ni–GDC anodes did not suffer from carbon deposition under the wet conditions studied. Dry tar-containing syngas for SOFCs is unlikely to cause carbon formation under a mild current load; however, it may induce carbon formation at open circuit. The effect of carbon dioxide that is capable of suppressing carbon deposition was experimentally investigated, and an enhanced performance was observed under the conditions studied. Under carbon risk-free operating conditions, the cell voltage increases when raising the feeding tar concentration, indicating that tar performs as fuel for SOFCs. Numerical simulation is an efficient tool for the evaluation of SOFCs’ response when switching fuels. Chapter 7 presents such a numerical study with the focus on the evaluation of kinetic models for methane steam reforming for SOFCs operation with multiple fuels. Three frequently employed kinetic models were selected in order to examine their impacts on the performance of a tubular SOFC. The resulting thermo-electrochemical behaviors derived from these models were compared. It was discovered that all three kinetic models are reasonably accurate in terms of the polarization behavior, but they significantly affected the local thermo-electrochemical performance. A more rapid kinetic model was adopted based on the evaluation of these three kinetic models in order to evaluate the performance of the tubular SOFC in terms of local electrochemical performance, anode oxygen partial pressure and overall SOFC performance when performing with multiple fuels. Chapter 8 draws the conclusions regarding the work presented in this dissertation, and recommendations are suggested for future research activities.","SOFC; biomass gasification; renewable energy; power plant","en","doctoral thesis","Delft University of Technology","","","","","","","2013-04-18","Mechanical, Maritime and Materials Engineering","Process and Energy","","","",""
"uuid:239b19f7-59d0-47b3-a3e3-dd1aeb19701f","http://resolver.tudelft.nl/uuid:239b19f7-59d0-47b3-a3e3-dd1aeb19701f","Diffusion in Liquids: Equilibrium Molecular Simulations and Predictive Engineering Models","Liu, X.","Vlugt, T.J.H. (promotor); Bardow, A. (promotor)","2013","The aim of this thesis is to study multicomponent diffusion in liquids using Molecular Dynamics (MD) simulations. Diffusion plays an important role in mass transport processes. In binary systems, mass transfer processes have been studied extensively using both experiments and molecular simulations. From a practical point of view, systems consisting more than two components are more interesting. However, experimental and simulation data on transport diffusion for such systems are scarce. Therefore, a more detailed knowledge on mass transfer in multicomponent systems is required. The presence of multiple components in a system introduces difficulties in studying diffusion in experiments. Investigating the concentration dependence of diffusion coefficients seriously increases the required experimental effort. In this thesis, we will use MD simulation based on classical force fields to study multicomponent diffusion in liquids. Diffusion can be described using both Fick and Maxwell- Stefan (MS) diffusion coefficients. Experiments provide Fick diffusion coefficients while simulations usually provide MS diffusion coefficients. Fick and MS diffusivities are related via the matrix of thermodynamic factors. A brief survey on methods for studying liquid diffusion and their limitations is presented in chapter 1 In chapter 2, we study the diffusion in the ternary system n-hexane-cyclohexanetoluene. The existing models for predicting MS diffusivities at finite concentrations (i:e: the Vignes equation) as well as the predictions at infinite dilution (i:e: predictions of Ðxk!1 i j using the so-called WK, KT, VKB, DKB and RS models) are tested using MD simulations. We find that (1) the Vignes equation only results in reasonable predictions for MS diffusivities yielding differences of 13% compared to the actual diffusion coefficients; (2) the best predictive model (the KT model) for calculating MS diffusivities at infinite dilution results in differences of 8% compared to the actual diffusion coefficients. It is important to note that the differences of 8% can be a coincidence since KT model is empirical and does not have a theoretical basis. This limitation makes KT model unreliable for other systems. To overcome the difficulties in predicting ternary MS diffusivities at infinite dilution (i:e: Ðxk!1 i j ), we derive the so-called LBV model based on the Onsager relations. MS diffusivities at infinite dilution can be expressed in terms of binary and pure component self-diffusivities and integrals over velocity cross-correlation functions. By neglecting the latter terms, we obtain the LBV model. In chapter 3, the LBV model is validated for WCA fluids and the ternary systems n-hexane-cyclohexane-toluene and methanol-ethanol-water. We find that: (1) for ideal mixtures i:e: the WCA system, as well as the n-hexane-cyclohexane-toluene system, the LBV model is accurate and superior compared to the existing models for predicting ternary MS diffusivities at infinite dilution (i:e: the WK, KT, VKB, DKB and RS models); (2) in mixtures containing associating components, i:e: the ethanol-methanol-water system, the LBV model indicates that in this system the integrals over velocity cross-correlation functions are important and cannot be neglected. Moreover, the LBV model provides an explanation why the MS diffusivity describing the friction between adsorbed components in a porous material is usually very large. In chapter 4, we focus on describing the values of MS diffusivities at finite concentration. A multicomponent Darken model for describing the concentration dependence of MS diffusivities is derived from linear response theory and the Onsager relations. In addition, a predictive model for the required self-diffusivities in the mixture is proposed leading to the so-called predictive Darken-LBV model. We compare our novel models to the existing generalized Vignes equation and the generalized Darken equation. Two systems are considered: (1) ternary and quaternary WCA systems; (2) the ternary system n-hexane-cyclohexane-toluene. Our results show that in all studied systems, our predictive Darken-LBV equation describes the concentration dependence better than the existing models. The physically-based Darken-LBV model provides a sound and robust framework for prediction of MS diffusion coefficients in multicomponent mixtures. In chapter 5, diffusion in more complex ionic liquid (IL) systems are investigated. Previous research reported in literature has largely focused on self-diffusion in ILs. For practical applications, mutual (transport) diffusion is by far more important than self-diffusion. We compute the MS diffusivities in binary systems containing 1-alkyl- 3- methylimidazolium chloride (CnmimCl), water and/or dimethyl sulfoxide (DMSO). The dependence of MS diffusivities on mixture composition are investigated. Our results show that: (1) For solutions of ILs in water and DMSO, self-diffusivities decrease strongly with increasing IL concentration. For the system DMSO-IL, an exponential decay is observed for this; (2) For both water-IL and DMSO-IL, MS diffusivities vary by a factor of 10 within the concentration range which is still significantly smaller than the variation of the self diffusivities; (3) The MS diffusivities of the investigated IL are almost independent of the alkyl chain length; (4) ILs stay in a form of isolated ions in CnmimCl-H2O mixtures, however, dissociation into ions is much less observed in CnmimCl-DMSO systems. This has a large effect on the concentration dependence of MS diffusivities; (5) The LBV model for predicting the MS diffusivity at infinite dilution described in chapter 3 suggests that velocity cross-correlation functions in ionic liquids cannot be neglected and that the dissociation of ILs into ion pairs has a very strong influence on diffusion. In experiments, Fick diffusion coefficients are measured and molecular simulation usually provides MS diffusivities. These approaches are related via the matrix of thermodynamic factors which is usually known only with large uncertainties. This leaves a gap between theory and application. In chapter 6, we introduce a consistent and efficient framework for the determination of Fick diffusivities in liquid mixtures directly from equilibrium MD simulations by calculating both the thermodynamic factor and the MS diffusivity. This provides the missing step to extract Fick diffusion coefficients directly from equilibrium MD simulations. The computed Fick diffusivities of acetone-methanol and acetone-tetrachloromethane mixtures are in excellent agreement with experimental values. The suggested framework thus provides an efficient route to model diffusion in liquids based on a consistent molecular picture. In chapter 7, we validate our method for computing Fick diffusivities using equilibrium MD simulations for the ternary system chloroform - acetone - methanol. Even though a simple molecular model is used (i:e: rigid molecules that interact by Lennard-Jones and electrostatic interactions), the computed thermodynamic factors are in close agreement with experiments. Validation data for diffusion coefficients is only available for two binary sub-systems. In these binary systems, MD results and experiments do agree well. For the ternary system, the computed thermodynamic factors using Molecular Dynamics simulation are in excellent agreement with experimental data and better than the ones obtained from COSMO-SAC calculations. Therefore, we expect that the computed Fick diffusivities should also be comparable with experiments. Our results suggest that the presented approach allows for an efficient and consistent prediction of multicomponent Fick diffusion coefficients from MD simulations. Now, a tool for guiding experiments and interpreting multicomponent mass transfer is available.","Diffusion","en","doctoral thesis","","","","","","","","2013-01-21","Mechanical, Maritime and Materials Engineering","Process & Energy","","","",""
"uuid:90df47f1-197b-4ae4-a759-3f9796f2784a","http://resolver.tudelft.nl/uuid:90df47f1-197b-4ae4-a759-3f9796f2784a","A Method for Transmission Network Expansion Planning: A Monte-Carlo and Lagrangian Multiplier-based Optimisation Approach","Liu, Z.","Van der Sluis, L. (promotor); Papaefthymiou, G. (promotor)","2014","Power systems conventionally have been designed and operated to facilitate electrical energy transportation from large centralised power plants to distant load centres. It is currently under development towards the purpose of being able to facilitate more distributed generation from renewable energy sources (RES), for instance wind and solar energy. Increasing the share of RES would allow us to replace carbon-intensive energy sources and achieve significant reduction of the greenhouse gas emissions, establish vast and inexhaustible energy supply, and offer more affordable electricity price amongst others. On the other hand, the integration of RES to the existing power systems brings additional challenges to system planners and operators. Transmission system operators (TSOs) are already facing operational challenges of high power flows starting in the areas with large wind power installations in Germany to the remote load centres, observing substantial loop flows through Poland and the Czech Republic. As a consequence, the daily operation of Europe's electricity system is increasingly threatened by the risks of blackouts or component failures with wide-reaching impact. According to ENTSO-E the changing generation mix will contribute to upcoming congestion situations, resulting in a limitation in possible exports. The Commission's Priority Interconnection Plan also points out the danger arising from the operation of networks closer to their physical limits. It is of utmost importance to develop a methodology that is able to identify transmission network bottlenecks, i.e. those components with a high potential to be the origin of a major blackout or cascading event, meanwhile incorporating the uncertainties caused by the RES integration as well as the diversified energy policies in terms of future generation mixes. The improvement of the transmission and distribution infrastructure begins with the identication of its current shortcomings. Another aspect of power system assessment is to investigate transmission congestions, which is labelled as the 'symptom' of the insufficient transfer capacity when the existing capacity cannot facilitate the desired electricity demand. The reduction of congestions is an indicator of social and economic welfare assuming equitable distribution of benefits under the goal of the European Union to develop an integrated market as stated in the ENTSO-E Ten Year Development Plan. A relative small number of additional capacity could lead to major economic benefits for many consumers, as advised by US Department of Energy. For such demanding requests on the power system assessment, there is strong need to translate the explained challenges into an engineering problem, which requires a clear technical vision of the aforementioned challenges in the power system operation and planning, in addition to a clear understanding of power system modelling with substantial supporting material of mathematics. To substantiate the knowledge of both engineering and mathematics, the thesis provides a structured way of elaborating the engineering background of power systems as well as the mathematical formulations that are essential for understanding the novelty of the proposed methods (Chapters 2, 3 and 4 of this thesis). Chapter 5 provides a method for the transmission network assessment taking into account the wind stochasticity using a unified Monte-Carlo method and Copula approach. Two main reasons of using the Monte-Carlo method are a) the anti-aliasing property and b) the ability to quickly approximate the answer that otherwise would be very computation-intensive. The methodology is firstly elaborated and applied to a single scenario study, and further enhanced to a more general approach that allows taking into account multiple scenarios caused by uncertainties raised from energy policy perspectives. The solution set of the multiple scenario study captures the impact of uncertainties of all energy policy perspectives without increasing the size of stochastic infeed inputs. A new method for the transmission expansion planning problem is presented in Chapter 6 and 7, which separate the topic into snapshot-based and multi-stage expansion planning methods. Actively optimising Lagrangian multipliers as 'primal' variables in the optimization problem is used as a tool for the network expansion, providing the copper-plate topology from either a congested or an infeasible grid configuration. The method also emphasizes the over-investment issues by introducing a maximum allowable overloading factor, to prevent a large amount of inefficient investment on 'minor' congestions. The multi-stage expansion planning method further strengthens the snapshot-based method by proposing the optimal network topology at different time horizon chronologically, taking into account the possible scenarios of conventional generation mix, load and wind energy infeed at each stage. The modular approach of functionally partitioning the multi-stage planning methodology offers additional advantages including a) reducing computational effort, b) allowing easy modification of the existing modules, and c) allowing adaptation of other modules for enhancement, etc. The final optimal expansion plan at each stages guarantees the copper-plate network structure subject to various scenarios and wind generation infeeds at the lowest operational and investment costs.","","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Power Engineering","","","",""
"uuid:7a2dcf0b-e88e-4da6-baa9-59cfc4cc63ad","http://resolver.tudelft.nl/uuid:7a2dcf0b-e88e-4da6-baa9-59cfc4cc63ad","Robustness and Optimization of Complex Networks: Reconstructability, Algorithms and Modeling","Liu, D.","Van Mieghem, P. (promotor)","2013","The infrastructure networks, including the Internet, telecommunication networks, electrical power grids, transportation networks (road, railway, waterway, and airway networks), gas networks and water networks, are becoming more and more complex. The complex infrastructure networks are crucial to our human society, and it has been a hot research
eld to make our complex infrastructure networks more robust and optimize the performance of them. Besides man-designed infrastructure networks, complex networks also cover many natural networks, such as social networks, ecological networks, and biological networks. In order to tackle some of the di¢ cult social issues, ecological problems, and unsolved medical problems, we must learn how these natural complex networks organize, operate, and function. Complex networks can be represented by graphs. A graph consists of a collection of nodes and a collection of links that connect the nodes. A graph is uniquely described by its adjacency matrix, of which the entry on row i and column j is one only if node i and node j in the graph is connected by a link, otherwise the entry is zero. Each adjacency matrix is associated to a unique set of eigenvalues and corresponding eigenvectors. The eigenvalues and corresponding eigenvectors of a graph, also called the spectrum of the graph, contains all the information of the graph, and the topological/physical meanings of some eigenvalues and eigenvectors are already known. The knowledge on the spectra of networks is of crucial importance to the many aspects of the researches on complex network, such as connectivity of networks and virus spreading in networks. The line graph l (G) of a graph G has a set of nodes mapping the set of links in G, and two nodes in l (G) are adjacent if and only if the corresponding links in G have a node in common. Some problems of graphs can be transformed to much easier ones in the domain of line graphs. For example, partitioning the nodes to
nd the overlapping communities in a graph can be done by partitioning the links in the line graph of the concerned graph. Moreover, the line graphs often share common features with real-world complex networks, like highly clustered and assortative mixing. Hence, the line graphs are considered by many to model real-world complex networks. The robustness and optimization of complex network is a rather broad research fi
eld. We focus on the reconstruction of complex networks from the spectral domain and the line graph domain. This thesis is organized as follows. We
first study the reconstruction of networks from their eigenvalues and eigenvectors and the spectral properties of networks. In the second part of this thesis, we present two algorithms which reconstruct networks from the line graph domain, the properties of the line graphs, and a random line graph model. We at last give the research results on two types of real-world networks. The adjacency matrix of a graph can be computed with its eigenvalues and eigenvectors. When some of the eigenvalues are set to zero, the adjacency matrix can still be correctly computed. We propose a measure, the reconstructability coefficient, de
fined as the maximum number of eigenvalues that can be removed. We
find that the reconstructability coefficient is linear function of the size of the network for all networks that we have studied. We give some results on the spectral metric, the energy of a graph, which is de
fined by the sum of the absolute value of all the eigenvalues. We also explore the relations between graph energy and the topological metric, assortativity, for many different types of networks. For the reconstruction of networks from the line graph domain, we propose two algorithms Marinlinga and Iligra. While all previous algorithms rely on Whitney's theorem, Marinlinga is based on the principle of link relabeling and endnode recognition. Iligra reconstructs the graphs from the line graph domain with the linear time complexity. This thesis extends the researches in the line graph domain. We fi
nd that the number of links in a line graph with a fi
xed number of nodes can not take some consecutive natural numbers, and these numbers are called a bandgap of the line graph. We present the exact expressions of the bands and bandgaps of the number of links in line graphs. In order to facilitate the researches in the line graph domain, we propose a model which randomly generates line graphs. The essence of our model is to merge step by step a pair of nodes in cliques, subjecting to some rules to ensure that the resulting graphs are line graphs. Thanks to the random line model, a method to generate a serial of graphs of which the assortativity increases linearly has been invented. This thesis studies two types of real-world networks: social networks and human brain networks. We characterize the overlapping community structure of the social networks of ArXiv coauthorship, IMDB actors collaboration and SourceForge collaboration, and propose a growing hypergraph model, based on preferential attachment. The proposed hypergraph model captures the fundamental properties including the power-law distributions of group size, group degree, overlapping depth, individual degree and interest-sharing number of real-world affiliation networks, and reproduces the properties of high clustering, assortative mixing and short average path length of social networks. To study brain networks, we propose a spectral randomness metric to quantize the randomness of networks. Based on the randomness measuring method, we have found that the brain networks of Alzheimers disease are statistically more random than the healthy brain networks.","complex networks; graph spectra; reconstructability; line graphs; social networks; community structure; hypergraphs; brain networks; randomness","en","doctoral thesis","Next Generation Infrastructures Foundation","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:bacef458-dc8a-49b3-bfd9-609517b0ef49","http://resolver.tudelft.nl/uuid:bacef458-dc8a-49b3-bfd9-609517b0ef49","Generation Y Interactions: Making the Office Catch Up","Liu, W.","Stappers, P.J. (promotor)","2014","The rapid development of information technology in the past decade has enabled the introduction of a number of new communication tools and platforms in everyday life, such as instant messaging, podcasting, blogging and social networking. These tools offer people new ways of interacting, enabling them to create, retrieve and broadcast large amounts of digital information, using a great variety of devices, techniques and media. As a result of this constant stream of information, people have become more socially active as well as become more capable and ready to integrate their virtual world with their physical world, using highly interactive devices, such as mobile phones, laptops and multi-touch tablets. So far, however, this kind of interactive behavior has mainly manifested itself in people’s private context, while in the more public work context the rich interactions that all these new technologies are offering do not seem to be supported to a great extent yet. Whereas office applications have increased sometimes dramatically in functionality, the ways of interacting with all these functionalities have evolved much more slowly. As a consequence, most office work is thus still done through the ubiquitous, almost 40-year old, set-up of keyboard, display and mouse, which only supports limited behaviors, such as keyboard tapping and mouse clicking. This lack of richness in interaction is becoming more evident, now that a new generation of workers is quickly entering the market. This so-called Generation Y, born in the 1980s and early 90s, are digital natives, who have experienced digital technology their entire lives. Thus they have developed new ways and habits of interacting with their (digital) world, putting very high demands on the applications, services, devices and networks that enable and support these interactions. An interesting challenge therefore presents itself to designers and researchers: How to bring the qualities of the interactions that people currently experience in the private context of their homes and friends into the more public context of their offices and colleagues? In this thesis this challenge is taken on through a number of studies, in which the following research questions were addressed: 1. What are Generation Y styles of interaction in home life and office work? 2. What are the interaction qualities that make up Generation Y styles of interaction? 3. How are these interaction qualities experienced within home and office context? 4. What are opportunities to design office tools or services that support Generation Y styles of interaction? 5. How are the interaction qualities of these new designs experienced? The research framework of this thesis distinguishes three major components: 1) people (Generation Y), 2) technology and 3) context (home vs. work). On the intersections of these three components are the interactions that are at the core of the research. From the beginning and throughout the whole research, prototypes that are rich in aesthetic, expressive and experiential quality were built and tested in real contexts. In the first phases of the project emphasis was in the exploration of new ways of interacting, while later on in the project the focus shifted to applying these new interactions within the office context. Chapter 2 addresses research questions 1 and 2 through literature review, as well as a qualitative study, aimed at exploring Generation Y styles of interaction, behaviors and interaction qualities. In a series of contextual interviews with office workers, we found that they put very high demands on the applications, services, devices, and networks that enable and support collaborative work. A set of examples of activities representative of what people currently do in their home and office context was gathered and grouped into a style of interaction, which we have labeled as ‘Generation Y’. This style of interaction seemed to be more prominent in the home situation than in the office context. Six interaction qualities (instant, expressive, playful, collaborative, responsive and flexible) were identified to make up the Generation Y style of interaction. The interviews strengthened the impression that these qualities were better represented in the home context, through activities such as gaming or chatting, than in the office context. Chapter 3 focuses on interactive technology design, designing and developing a number of experiential prototypes as a first exploration. It explores how to use interaction qualities to guide the design of Generation Y styles of interaction by combining functional, experience and technology approaches. In an educational setting a number of interactive prototypes were built by students in which specific interaction qualities were explored and demonstrated. From designing, building and testing these prototypes, we learned to use interaction qualities to explicitly guide and constrain the design process. Interaction qualities were found to be able to integrate all three design approaches, and thus can be introduced as a possible new principle into design research and education. Chapter 4 addresses research question 3. In follow-up interviews, we explored how office workers experienced and judged the interaction qualities in their home and the office situations in order to develop interaction design guidelines. The interviews and discussions showed that the six interaction qualities made sense to users and designers alike. Designers are more used to talk about interactions and qualities as abstract things, whereas other people will refer to their direct experiences. The six interaction qualities, together with their corresponding guidelines were subsequently used by the author and by design students, to design new types of interactions in the work context. Chapter 5 deals with research question 4 by implementing the interaction qualities into a new design, which uses the knowledge and experience gained from the previous chapters and integrates them into a working prototype. An office phone was chosen as the product to bring the Generation Y interaction qualities into an office context. The YPhone prototype was developed to demonstrate the interaction qualities with new ways of working, e.g., pushing down hard on a contact to send an urgent mood while calling. YPhone prototype is being developed using Max/MSP, Phidgets sensors and Arduino. A pair of prototypes was built to demonstrate and to evaluate the Generation Y interaction style in office work. Chapter 6 focuses on synthesis again to answer research question 5. This chapter presents findings on the prototype’s performance on the interaction qualities in a lab setup and in a real office work context. The YPhone prototype was demonstrated, evaluated and discussed at a series of venues, with respondents trying out scenarios such as placing an urgent call, or relaying an incoming message. The prototype worked in demonstrating the intended Generation Y interaction qualities. Moreover, the evaluation results indicated that the interactions would fit into work contexts and enrich people’s work situations. These findings indicate that the interaction qualities can give guidance in designing Generation Y type of interactions. Chapter 7 starts by reflecting on the answers on the five research questions. This is followed by a general discussion of the research: what has been learned and what are the possible impact of its results. The aim of this research was to contribute to the existing body of knowledge in the domains of interaction design and design research. By taking interaction qualities as a driver in the design and research process, the intention was to bring Generation Y interaction qualities from the home context to the work context. We believe and hope that interaction qualities can serve as a tool to guide the design process, and that the six interaction qualities will become a valuable instrument to guide designers in developing new office tools and applications that are rich and engaging in interaction.","generation Y; interaction qualities; work context; design research","en","doctoral thesis","","","","","","","","","Industrial Design Engineering","Industrial Design","","","",""
"uuid:d333e02f-64a9-4ba0-8dde-4d46a5f996cc","http://resolver.tudelft.nl/uuid:d333e02f-64a9-4ba0-8dde-4d46a5f996cc","Quantum Dots and Andreev Reflections in Graphene","Liu, X.L.","Vandersypen, L.M.K. (promotor)","2010","Graphene is an exceptionally thin semiconductor that consists of only one atomic layer of carbon atoms. The electrons in graphene live in a strictly two-dimensional (2D) world. In addition to this remarkable 2Dness, it is also peculiar that the behavior of the electrons in graphene is governed by the Dirac equation rather than the well known Schrödinger’s equation, leading to the discovery of several new physics phenomena. Such unusual properties of graphene have stirred up great excitements since it was first isolated in the lab about five years ago. In this thesis, we investigate the low temperature transport properties of the electrons and holes in several graphene based nano-devices. Overall, two topics are explored in this thesis. First we engineer an energy gap in graphene, which is naturally a zero-gap semiconductor, and further form quantum dot devices on the gapped graphene. The low temperature electronic transport properties of the confined electrons are then studied experimentally in such graphene dots. In a second project,we fabricated Josephson junction devices on graphene using a high critical field superconductor as leads. Here the goal is to research on the interactions between the electrons from graphene and the Cooper pairs from the superconductor in the quantum Hall regime.","nano-devices; graphene; mesoscopic physics; quantum dots; double quantum dots; Andreev reflection; quantum Hall effect","en","doctoral thesis","","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience Delft","","","",""
"uuid:4e48d7ca-1781-41a0-9911-14846eb2ec45","http://resolver.tudelft.nl/uuid:4e48d7ca-1781-41a0-9911-14846eb2ec45","Dynamics of elevated jack-up structures","Liu, P.","Wolters, J.G. (promotor); Blaauwendraad, J. (promotor)","1991","","","en","doctoral thesis","Delft University Press","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:2ee2b93d-d3e9-41e7-888a-a52f231070c8","http://resolver.tudelft.nl/uuid:2ee2b93d-d3e9-41e7-888a-a52f231070c8","Self-healing supramolecular nanocomposites","Liu, Z.","Picken, S.J. (promotor); Besseling, N.A.M. (promotor)","2015","The aim of this thesis is to execute a bottom-up design of the intrinsically self-healing nanocomposites. We briefly introduced the self-healing materials in chapter 1, covering classification and basic self-healing mechanism. In chapter 2, we have synthesized polyborosiloxane (PBS) according to the last century recipe as the self-healing supramolecular matrix. Additionally, we provided the long existing recipe with exclusive supplementary details, such as reaction kinetics, structural refinement and characterization, mechanical performance, glass transition temperature, etc. We have denoted the refined PBS, in which the end groups of PBS primarily exhibit in the well-defined borono structure (B(OH)2), rPBS. In chapter 3, the molecular interaction of PBS molecules that leads to the self-healing behavior was investigated with modern techniques. Besides the well-known physically cross-linked H-bonds, PBS molecules also cross-link via forming reversible covalent boroxane structures (B-O-B). Chapter 4 and 5 focus on the reinforcing effects of rigid nanoclay particles (Cloisite 20A) and flexible graphene oxide (GO), respectively. As PBS molecules bear the hydrophilic borono end groups, the incorporation of natural clay and graphene oxide leads to softened nanocomposites due to their hydrophilic surfaces. GO platelets efficiently drive the GO/rPBS nanocomposite into thixotropic material readily at a mass fraction of 0.001. However, natural clay surface is not as hydrophilic as GO. The softening effect is not only less pronounced, but also quickly compensated due to the formation of the particle network. Nevertheless, it results in a paste-like thixotrope when the mass fraction of natural clay reaches 0.6. Cloisite 20A and OMGO are surface modified natural clay and GO, with almost the same alkyl modifier. They behave as ordinary reinforcement additives and may efficiently enhance the rheological properties of the nanocomposites.","self-healing; mechanism; nanocomposites; particle network; graphene oxide; thixotropic","en","doctoral thesis","","","","","","","","","Applied Sciences","Chemical Engineering","","","",""
"uuid:e8a46e6a-542f-4da3-8be0-bf0742aba538","http://resolver.tudelft.nl/uuid:e8a46e6a-542f-4da3-8be0-bf0742aba538","Microbiological water quality in drinking water distribution systems: Integral study of bulk water, suspended solids, loose deposits, and pipe wall biofilm","Liu, G.","Van Dijk, J.C. (promotor); Medema, G. (promotor)","2013","","","en","doctoral thesis","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:21b9ea98-b9f0-4520-9460-3cfdc14601f2","http://resolver.tudelft.nl/uuid:21b9ea98-b9f0-4520-9460-3cfdc14601f2","Satellite radar interferometry: Estimation of atmospheric delay","Liu, S.","Hanssen, R.F. (promotor)","2012","","satellite radar interferometry; atmospheric delay; numerical weather model; least-squares collocation; deformation","en","doctoral thesis","Delft University of Technology","","","","","","","","Aerospace Engineering","Geoscience and Remote Control","","","",""
"uuid:17e908d2-d4bb-4d59-90a1-9c4decd2ecde","http://resolver.tudelft.nl/uuid:17e908d2-d4bb-4d59-90a1-9c4decd2ecde","Numerical Modelling of Porous Media Response Under Static and Dynamic Load Conditions","Liu, X.","Blaauwendraad, J. (promotor)","2003","","","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:f6a61773-4173-4728-a2e3-ce320228753a","http://resolver.tudelft.nl/uuid:f6a61773-4173-4728-a2e3-ce320228753a","Optical Coherence Tomography for Material Characterization","Liu, P.","Benedictus, R. (promotor)","2014","Optical coherence tomography (OCT) is a non-invasive, contactless and high resolution imaging method, which allows the reconstruction of two or three dimensional depth-resolved images in turbid media. In the past 20 years, OCT has been extensively developed in the field of biomedical diagnostics, while OCT in the non-destructive testing (NDT) field is lagging far behind. The aim of this thesis is to use OCT as a novel NDT technique for material structure characterization and damage detection. Besides an overview of the OCT fundamentals and developments, the thesis is mainly driven by three tasks: instrument design, signal processing, and applications. An integrated OCT system working at a wavelength of 1550 nm has been built. It combines time domain (TD) and Fourier domain (FD) OCT to make the both types of measurement available in one instrument. TD-OCT has the advantage of a large A-scan range and high SNR, while FD-OCT system has tremendous superiority in fast imaging due to no movement of the reference mirror. These two kinds of measurement can be implemented based on the user request in the developed hybrid OCT system, e.g. improved imaging depth or speed. In TD-OCT, the envelope detector was selected as the ideal method for the demodulation of each axial signal. A bandpass filter and 2D median filter are applied before and after demodulation, respectively, to reduce OCT system and speckle noise. In FD-OCT, raw data was first processed to remove the influence from the optical source and dark noise of the CCD detectors. It was then linearly resampled to convert to evenly spaced intervals of wavenumber, instead of wavelength. With an inverse Fourier transform, one depth profile was recovered and a cross-sectional image was constructed by accumulating a series of depth profiles. The quality of cross-sectional images can be further improved by merging multiple images with different pathlength offsets. The application of the designed OCT system was mainly focused on glass fiber composites and the microstructure of the specimens was displayed by either cross-sectional or volumetric images. Special attention was given to delamination growth in a glass fiber composite for wind turbine blade applications. The glass fiber composite was tested by incremental loading. Volumetric images obtained by OCT were further processed to reconstruct 3D crack surface profiles, from which a full field view of the delamination crack was given, providing substantial information for the study of crack growth in the composites. Additionally, the study explored the use of optical coherence elastography (OCE) for the deformation measurement of glass fiber composites, for the first time to the best of our knowledge. The developed OCE system based on speckle tracking was first evaluated by a test of ridge body translation. Then experiments were implemented for a set of glass fiber composites under tensile testing and three point bending. The results show that OCE can measure the internal displacements of a glass fiber composite in the range from a few micrometers to hundreds of micrometers. Besides, other applications are also presented in the thesis. These include defects and thickness measurement of polymer coating and the microstructure characterization of a wooden-panel painting. The results show the designed OCT system also has high potential for these alternative applications. Recommendations for further improvement to the OCT design and the applications are presented at the end of the thesis.","Optical Coherence Tomography; Non-destructive Testing; Glass Fiber Composites; Delamination","en","doctoral thesis","","","","","","","","","Aerospace Engineering","Aerospace Structures and Materials","","","",""
"uuid:d3a1fdd4-1b8e-4a3c-93bd-6e6c256c654e","http://resolver.tudelft.nl/uuid:d3a1fdd4-1b8e-4a3c-93bd-6e6c256c654e","Modeling Perceived Quality for Imaging Applications","Liu, H.","Heynderickx, I.E.J.R. (promotor)","2011","People of all generations are making more and more use of digital imaging systems in their daily lives. The image content rendered by these digital imaging systems largely differs in perceived quality depending on the system and its applications. To be able to optimize the experience of viewers of this content understanding and modeling perceived image quality is essential. Research on modeling image quality in a full-reference framework – where the original content can be used as a reference – is well established in literature. In many current applications, however, the perceived image quality needs to be modeled in a no-reference framework at real-time. As a consequence, the model needs to quantitatively predict perceived quality of a degraded image without being able to compare it to its original version, and has to achieve this with limited computational complexity in order to enable real-time application. Although human beings effortless judge image quality in a real-time no-reference framework, developing a model to simulate this perception is still an academic challenge partly due to our limited understanding of the human visual system. This thesis presents some achievements in designing no-reference objective quality metrics, which have the aim to automatically and quantitatively predict perceived image quality. Two different approaches are used. In one approach the perception of some specific image degradations is modeled. This approach is applied to the perception of blockiness and ringing, two degradations typically occurring as a consequence of signal compression. The resulting metrics are based on a two-steps framework: a first step, in which the artifacts are located and a second step, in which the local visibility of the artifact is estimated. Both components include aspects of human vision with which the reliability of the metrics in predicting perceived artifact annoyance is improved, while keeping the computational effort limited. In a second approach the overall perceived quality of images is predicted. An accurate and computationally efficient way to do so exists of combining a simplified feature extraction strategy – resulting in features based on aspects of the artifact specific metrics – with an adaptive neural network. After having trained the overall quality estimation system off-line, the metric can be very easily implemented in real-time devices. Whether the artifacts in an image attract the viewer’s attention also affect the viewer’s quality estimation. Hence, in a final study the improvement in quality prediction performance of various metrics by including visual attention is evaluated. In these metrics local quality information is weighted with the attention given locally by the averaged viewer. Results show that when using ground-truth attention obtained from eye-tracking recordings the degree to which the quality estimation is improved, depends on the type of metric and kind of image content.","","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Mediamatics","","","",""
"uuid:940a2414-bfaf-402f-8d87-11cbe204d407","http://resolver.tudelft.nl/uuid:940a2414-bfaf-402f-8d87-11cbe204d407","On Chain Coding of Line Drawings","Liu, K.","Arnbak, J.C. (promotor)","1992","","","en","doctoral thesis","Delft University Press","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:bbe1aa0b-a680-4d94-9ec9-b7ed33200b6e","http://resolver.tudelft.nl/uuid:bbe1aa0b-a680-4d94-9ec9-b7ed33200b6e","Phase transformations in iron-based interstitial martensites","Liu, C.","Mittemeijer, E.J. (promotor); Korevaar, B.M. (promotor)","1990","","","en","doctoral thesis","","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:2a1f0bd2-ac41-4707-8d3a-b7f2c74d8ad4","http://resolver.tudelft.nl/uuid:2a1f0bd2-ac41-4707-8d3a-b7f2c74d8ad4","Impacts of rudder configurations on inland vessel manoeuvrability","Liu, Jialun","Hopman, H. (promotor)","2017","","hydrodynamics","","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Design, Production and Operation","","",""
"uuid:c2d123b9-7cf9-4ffd-b495-06a53fe727c2","http://resolver.tudelft.nl/uuid:c2d123b9-7cf9-4ffd-b495-06a53fe727c2","Cooperative Urban Driving Strategies at Signalized Intersections","Liu, M. (TU Delft Transport and Planning)","Hoogendoorn, S.P. (promotor); Wang, M. (copromotor); Delft University of Technology (degree granting institution)","2022","Growth in the number of vehicles causes excessive traffic congestion and travel delay on urban roads, especially at signalized intersections. The recent advances in connected and automated vehicle (CAV) technology and the upgrade of Vehicle-to-Vehicle (V2V), Vehicle-to-Infrastructure (V2I), and Infrastructure-to-Vehicle (I2V) communications have been proposed as potential solutions to efficient and effective urban transportation. CAVs enable the capability to share data, communicate with neighboring vehicles and roadside infrastructures, and connect to traffic control systems, and therefore offer the benefits to reduce congestion and pollution levels and improve comfort and road safety. CAV platoons can coordinate member vehicles for a common goal in platooning. In this way, vehicles can be cooperative to accelerate/decelerate facing the traffic signal controllers on urban roads. The challenge is posed by the diversity of signal control approaches, such as fixed-timing, actuated, and adaptive signals. However, the benefits and effectiveness of CAV platoon trajectory optimization for all those various systems in the vicinity of signalized intersections remain unclear in research and also practice...","","en","doctoral thesis","","978-90-5584-307-7","","","","","","","","","Transport and Planning","","",""
"uuid:e1bebcdd-185a-4515-b352-76d68f65ace8","http://resolver.tudelft.nl/uuid:e1bebcdd-185a-4515-b352-76d68f65ace8","Purifying Radionuclides with Microfluidic Technology for Medical Purpose: Simulating multiphase flows inside a microfluidic channel with the phase field method","Liu, Z. (TU Delft RST/Reactor Physics and Nuclear Materials)","Rohde, M. (promotor); Kloosterman, J.L. (promotor); Delft University of Technology (degree granting institution)","2022","","Microfluidic solvent extraction; Radionuclide; Phase field method; Multiphase flow; Moving contact line; Apparent contact angle","en","doctoral thesis","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:9f380f03-5842-45a0-87d4-4a8372e88dd5","http://resolver.tudelft.nl/uuid:9f380f03-5842-45a0-87d4-4a8372e88dd5","nD-PointCloud Data Management: continuous levels, adaptive histograms, and diverse query geometries","Liu, H. (TU Delft GIS Technologie)","van Oosterom, P.J.M. (promotor); Meijers, B.M. (copromotor); Delft University of Technology (degree granting institution)","2022","In the Geomatics domain, a point cloud refers to a data set which records the coordinates and other attributes of a huge number of points. Conceptually, each of these attributes can be regarded as a dimension, representing a specific type of information. Apart from routinely concerned spatio-temporal dimensions for coordinates, other dimensions such as intensity and classification are also widely used in spatial applications. In fact, more dimensions can be involved. For instance, a point in the hydraulic modelling grid also records the flow direction, speed, sediment concentration, and other related attributes. As these point cloud data can be directly collected, computed, stored and analyzed, this thesis proposes the term – nD-PointCloud, as a general spatial data representation to cover them.
At present, drastically increasing production of nD-PointCloud data raises essential demand for smart and highly efficient data management and querying solutions. However, we lack effective tools. Prevalent software for nD-PointCloud processing, analyzing and rendering are built on file-based systems, requiring substantial development of data structures and algorithms. To make things worse, when other data types are involved, multiple formats, libraries and systems need enormous effort to be integrated. Aimed at generic support for diverse applications, DataBase Management Systems (DBMSs) on the other hand avoid these issues to a large extent. However, since they are initially developed to resolve 2D or 3D issues, they do not provide native support for nD data indexing and operations. Yet the 2D and 3D operators cannot be easily extended to nD.
This thesis aims at developing a generic yet efficient solution for managing and querying nD-PointCloud data. The work is based on an existing solution called PlainSFC, which maps nD data into 1D space. PlainSFC is implemented in the DBMS, adopting space filling curve based clustering and B+-tree indexing strategies. Besides, PlainSFC applies an advanced querying mechanism which recursively refines hypercubic nD spaces to 1D ranges to approach the query geometry for primary filtering. This achieves high querying efficiency. However, the solution still has drawbacks, and this research focuses on resolving them by developing and using novel methods:
• A continuous Level of Importance (cLoI) method for data organization to eliminate visual artifacts of density shocks in points' rendering, which is introduced by conventional tree structures such as Quadtree or Octree. The cLoI method computes an importance value for every point according to an ideal distribution generalized from the discrete distributions of those tree structures. This forms an additional cLoI dimension, and each point actually represents a level. By integrating the cLoI dimension into PlainSFC, smooth and efficient rendering is realized.
• An nD-histogram approach to improve querying efficiency on non-uniformly distributed data. PlainSFC decomposes the nD space into sub-spaces recursively to approach the query geometry without considering point distribution. This is not optimal when the distribution of points is severely skewed. To improve this, an nD-histogram which records the number of points inside each nD sub-space is established as a representation of data distribution. The developed solution called HistSFC decomposes and refines the nD space more smartly, which improves the accuracy and efficiency of primary filtering.
• A convex polytope querying function. Besides orthogonal window queries, the polytope query, which is the extension of the widely adopted polygonal query in 2D, also plays a critical role in many nD spatial applications. To address this type of query, an easy-to-use polytope formulation for querying is firstly proposed. Then, based on PlainSFC and HistSFC, efficient intersection algorithms are developed for convex polytope querying on nD point clouds. These algorithms are tested through experiments with up to 10D point data. Using this newly developed function, applications including perspective view selections and flood risk queries are resolved more efficiently, achieving sub-second performance.
Additionally, other optimization techniques such as parallelization are developed and experimented with, which also bring performance gain. To verify the whole framework, several benchmark tests devised by considering real applications are conducted, and comparisons with different state-of-the-art solutions are performed. The result shows that the newly developed solution outperforms the others, overall. In certain cases, the solution can be applied without further optimizations. However, this will not be the end. Rapidly arising high tech such as cloud computing platforms can boost the solution further to incorporate more data and users. Potential nD-PointCloud based applications still need to be explored, prototyped and tested to serve the society in practice.
and investigated the possibility that using the radiation power as a trigger for drug release from the micelles. In the second part, we focus more on the cooperation of radionuclides and PCL-PEO micelles. So far we developed a chelator-free method to radiolabel micelles for determining their in vivo behavior, as well as evaluated the possibility to combine chemotherapy with radionuclide therapy using the micelles as a nanoplatform. In both parts we attempted to unravel mechanisms behind the observed phenomena to be able to adjust the
nano-carriers accordingly.
Our first main contribution is related to temporal correlations. In most of the studies, the influence of time in the SIS spreading process is omitted because the specific value of the infection and curing rates does not influence the first-moment metastable properties, such as the infection probability of each node. Only the ratio between the two rates matters. In this dissertation, we show that the temporal correlation can be analyzed with the mean-field approaches, although mean-field methods are meant to only analyze first-moment properties. We derive the autocorrelation of the nodal infection state both in the steady and transient states under the mean-field approximation. By analyzing the autocorrelation, we indicate the influence of the underlying network and the value of the infection and curing rates on the temporal properties of the spreading process. We also show that the infection and curing rates can be calculated by measuring the infection state of each node.
Second, we relax the Markovian assumption in the SIS process by extending the Poisson infection process to a Weibull renewal process. The Poisson infection process is just a special case of the Weibullian renewal process. Under this Weibullian framework, we can parameterize the non-Markovian infection behavior and show some new features raised by it. We specifically focus on an extreme (limiting) case of the Weibullian SIS process where the distribution of the infection time is a Dirac delta function. The analysis of the extreme case leads to the largest possible epidemic threshold for non-Poissonian infection processes. We further discuss the epidemic threshold for different infection processes with Weibull, lognormal and Gamma distributed infection time, which fit realistic spreading phenomena well, under a previous non-Markovian mean-field method based on renewal theory. We show consistency between our results and previous theory and that those different infection processes behave similarly.
Third, we dive into the localization phenomena in networks from the viewpoint of SIS spreading processes. Localization of the spreading process appears just above the epidemic threshold in networks whose principal eigenvector of the adjacency matrix is localized. In the localized spreading, the prevalence (order parameter), which is the expected fraction of infected nodes, converges to zero with the increase of network size but the number of infected nodes is non-zero. Thus, the localized spreading forms an interesting phase different from the all-healthy phase (no infection) and the endemic phase (non-zero prevalence). We evaluate the above-mentioned extreme case of the Weibullian SIS process where the time-dependent prevalence is periodic in the long-run. Near the epidemic threshold, the ratio between the steady-state maximum and minimum prevalence, which equals to the largest eigenvalue of the adjacency matrix, diverges in some networks, but the spreading process is still localized. In other words, the divergent ratio of prevalence, determined by the largest eigenvalue of the network, cannot amplify a zero-prevalence to a non-zero one in the thermodynamic limit. The result indicates that the localization of spreading processes may be only determined by the network structure but not the specific infection process.
Finally, we study the curing strategy for the control of the spreading process, specifically, the pulse curing strategy. Compared to the classical asynchronous curing strategy (for instance Poissonian), pulse strategy is an optimized method of suppressing the spreading and applied broadly in disease control. Here, we study the model which is composed of a susceptible-infected process and a periodical pulse curing process with a successful curing probability below one. We derive the mean-field epidemic threshold. Based on our analysis, the pulse strategy reduces the number of curing operations by $36.8\%$ compared to traditional asynchronous curing strategies in the Markovian SIS model.
All the above-mentioned theoretical analyses are verified by directly simulating SIS processes.","Spreading Process; Complex Networks; Stochastic Simulation","en","doctoral thesis","","978-94-6384-074-3","","","","","","","","","Network Architectures and Services","","",""
"uuid:b0547662-21c7-4da6-ae91-b30facf3aa01","http://resolver.tudelft.nl/uuid:b0547662-21c7-4da6-ae91-b30facf3aa01","Protection of multi-terminal HVDC systems: Algorithm development and performance verification by EMT simulations","Liu, L. (TU Delft Intelligent Electrical Power Grids)","Popov, M. (promotor); van der Meijden, M.A.M.M. (promotor); Delft University of Technology (degree granting institution)","2019","In recent decades, the electrical power system has evolved into a new phase, in which the renewable energy resources are massively integrated into the grid. This change is mainly inspired by global policies that intend to reduce greenhouse gas emissions and decrease the society’s reliance on fossil fuels by replacing them with sustainable energy sources. The good examples are the European Network of Transmission System Operators for Electricity (ENTSO-E) that intends to integrate a high degree of renewables in Europe’s energy system, and the West-East Electricity Transmission Project that delivers wind energy from the northwest to the southeast of China. One important technology used to connect renewable energy resources is the high voltage direct current (HVDC) system based on the voltage source converter (VSC). Aside from the simple point-to-point HVDC link, the multi-terminal HVDC (MTDC) system is another option to connect these remote energy resources. In the MTDC system, the generation units are usually unsynchronized turbines that are interfaced with powerelectronic- based converters. As such, the responses of theMTDC system after faults occur are drastically different fromthe conventional AC systems that are based on synchronized generators. Since the development of an MTDC system is an important process, the research on the matter must be carried out. In an electrical power system, the transient events refer to a system’s response shortly after disturbances occur, such as the generation loss, the load shedding, the transmission line tripping, and the fault. This thesis focuses on the MTDC system’s protection based on the system’s transient events after faults. Due to the low impedance of the DC system and the low inertia of the HVDC converter, a fault in the DC system can spread quickly throughout both the DC and AC sides. Usually, the transient behavior of the HVDC system must be observed within severalmilliseconds, and it is a challenge to simulate the transient phenomena of a large HVDC system. The reason is that the accuracy of the electromagnetic transient (EMT) simulation heavily depends on how detailed the modeling system is: an extremely detailed system, such as one based on physical features of the semiconductor, cannot be modeled smoothly in the EMT application, while a too much simplified system cannot ensure accurate simulation results. Therefore, it means that a compromise must be made between modeling efficiency and accuracy. Consequently, this thesis implements an efficient method that ensures the efficient simulation of large-scaleMTDC system and its accurate transient phenomena. By using this method, the responses of an HVDC link after faults occur can be determined. More importantly, they can be classified into different stages, and the thesis explains themechanism of each stage. Furthermore, the thesis discusses the impact of grounding methods on the HVDC converter’s post-fault responses.","Multi-terminal HVDC; HVDC converter; DC circuit breaker; Power systemtransients; Protection","en","doctoral thesis","","978-94-028-1825-3","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:3762e136-0fbf-4e7a-b701-36399a3c2cd0","http://resolver.tudelft.nl/uuid:3762e136-0fbf-4e7a-b701-36399a3c2cd0","The hydrocarbon-pool chemistry of methanol conversions in zeolite catalysts","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering)","Pidko, E.A. (promotor); Kapteijn, F. (promotor); Uslamin, E. (copromotor); Delft University of Technology (degree granting institution)","2022","The MTH process is recognized as one of the key ingredients of the methanol (MeOH) economy concept enabling the production of crucial hydrocarbon building blocks, such as light olefins and aromatics, starting from CO2 as the primary carbon source. Besides the driving force of reducing reliance on fossil fuels, the highly tunable nature of this process in response to rapidly changing market demands attracts continuous process development by industry. In academia, proposing comprehensive structure-performance relationships relying on a deeper understanding of the complex reaction pathways makes MTH a perfect model reaction system for new porous material synthesis and catalyst design...","","en","doctoral thesis","","978-94-6384-379-9","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:7e395294-c8da-4f71-8422-7d0f8a2be9c8","http://resolver.tudelft.nl/uuid:7e395294-c8da-4f71-8422-7d0f8a2be9c8","Catalysts and Operating Conditions for Electrochemical Reduction of Carbon Dioxide","Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Smith, W.A. (promotor); Dam, B. (promotor); Burdyny, T.E. (promotor); Delft University of Technology (degree granting institution)","2022","The urgent threat of global warming and the demand for sustainable fuels have made the electroreduction of CO2 a priority, due to its possibility in closed-loop cycle of carbon. The energy conversion and strategy can diminish the content of CO2 in the atmosphere, convert renewable electricity into chemical energy and store it in chemical bonds, and gain high-value fuels or chemicals formed from CO2 conversion. However, there is much room for the improvement of the efficiency of electrocatalytic reduction of CO2. The inertness of CO2 determines a large negative potential needed for sufficient electron and proton transfer. Hence, this thesis focuses on research how the catalysts and operating conditions electrochemical influence the electrochemical CO2 reduction...","","en","doctoral thesis","","978-94-6458-605-3","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:291baefe-c4b9-46ea-b250-a6c8f4e6ece8","http://resolver.tudelft.nl/uuid:291baefe-c4b9-46ea-b250-a6c8f4e6ece8","Pressure-assisted CU sintering for SiC Die-attachment application","Liu, X. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); Ye, H. (copromotor); Microelectronics (degree granting institution); Delft University of Technology (degree granting institution)","2023","","nano Cu sintering; Silicon carbide power electronics packaging; Shear Strength; Mechanical reliability; Thermal conductivity; Molecular dynamics; Static and dynamic test; Nanoindentation","en","doctoral thesis","","978-94-6473-018-0","","","","","","2025-01-30","","","Electronic Components, Technology and Materials","","",""
"uuid:de97d58f-5d0e-47a6-b649-827062216fae","http://resolver.tudelft.nl/uuid:de97d58f-5d0e-47a6-b649-827062216fae","Customized 3D and 4D Design for Machine Knitting","Liu, Z. (TU Delft Emerging Materials)","Wang, C.C. (promotor); Geraedts, Jo M.P. (promotor); Doubrovski, E.L. (copromotor); Delft University of Technology (degree granting institution)","2023","Garments, one of the human basic needs, were customized and handmade before the Industrial Revolution. After the realization of mass production, the cost of a piece of clothing became lower, but some disadvantages arose. Garments were no longer made to measure and overproduction caused environmental problems. The new developments in digital garment design and digital customization target addressing these limitations.
The computational design of knitting attracted increased attention in recent years. In this dissertation, we consider the customized design and fabrication of 3D and 4D garments as knitwears. The 3D knitwear fits the target human body, and the 4D knitwear also considers comfort during body movement. The main research question (RQ) is: How to design customized 3D and 4D knitwear and generate instructions for a digital knitting machine?
In this dissertation, we researched computational knitwear design methods. We considered not only 3D fitting but also comfort during motion (4D). Our research can be applied in garment production (especially mass customization) or other knitting applications. Garment designers and other industrial designers can use the proposed methods to generate knitting instructions for free-form 3D surfaces. Our 4D design method helps designers place elastic or other varied knitting structures while keeping the intended 3D shape. This dissertation presents new perspectives on computational approaches to existing manufacturing techniques. It also provides enough details to further develop such design systems to be applied in practice.","knitting; computational design; computational fabrication; 3D garment; 4D garment","en","doctoral thesis","","978-94-6384-423-9","","","","","","","","","Emerging Materials","","",""
"uuid:09ce864a-18d8-496e-8ff9-e0144e26bba5","http://resolver.tudelft.nl/uuid:09ce864a-18d8-496e-8ff9-e0144e26bba5","Graph filter designs and implementations","Liu, J. (TU Delft Signal Processing Systems)","Leus, G.J.T. (promotor); Delft University of Technology (degree granting institution)","2021","The ability to model irregular data and the interactions between them have
extended the traditional signal processing tools to the graph domain. Under
these circumstances, the emergence of graph signal processing has offered a
brand new framework for dealing with complex data. In particular, the graph
Fourier transform (GFT) lets us analyze the spectral components of a graph signal in the graph frequency domain. Based on the GFT, graph filters provide useful tools to modify or extract spectral parts in terms of different objectives, e.g., using a low-pass graph filter to construct graph signals without noise. This thesis mainly focuses on designing and implementing graph filters. Similar to traditional signal processing, we investigate two types of graph filters: finite impulse response (FIR) and infinite impulse response (IIR) graph filters. Moreover, this thesis takes both undirected and directed graphs into account for the design methods and implementations.
Inland vessels should be designed in such a way that they should always be capable of manoeuvring without significantly harming the cost-effectiveness of operations. One of the biggest differences between seagoing ships and inland vessels is the rudder configuration. Conventionally, seagoing ships have similar single-rudder configurations while inland vessels have more complex multiple-rudder configurations. Although multiple-rudder configurations can have a positive effect on manoeuvrability, they often have a negative effect on resistance and, therefore, also a negative effect on the fuel consumption.
Quantitative impacts of the rudder configuration on ship manoeuvrability have not been fully understood, especially for multiple-rudder configurations with complex rudder profiles. These differences in the rudder configuration may significantly change the ship manoeuvring behaviours and, therefore, should require further research. Moreover, to compare and evaluate the manoeuvring performance of inland vessels with different configurations, the existing manoeuvring tests and standards for inland vessels are less elaborate than those for seagoing ships. The above-mentioned considerations formulate the following main research question: What are the proper rudder configurations to achieve well manoeuvrable inland vessels without significant loss of navigation efficiency?
The main research question of this thesis can be answered through resolving four key research questions as follows:
Q1. What are the practical manoeuvres to evaluate and compare the manoeuvring performance of inland vessels?
Q2. How does the rudder configuration affect the rudder hydrodynamic characteristics?
Q3. How do changes in the rudder configuration affect the ship manoeuvrability in specific manoeuvres?
Q4. How to choose a proper rudder configuration according to the required manoeuvring performance?
An accurate estimation of rudder forces and moments is needed to quantify the impacts of the rudder configurations on ship manoeuvring performance. This thesis applied Reynolds-Averaged Navier-Stokes (RANS) simulations to obtain rudder hydrodynamic characteristics and integrated the RANS results into manoeuvring models. Additionally, new manoeuvres and criteria have been proposed for prediction and evaluation of inland vessel manoeuvrability. Simulations of ships with various rudder configurations were conducted to analyse the impacts of rudder configurations on ship manoeuvrability in different classic and proposed test manoeuvres. Accordingly, guidance on rudders for inland vessel manoeuvrability has been summarised for practical engineers to make proper design choices.
Through the research presented in this thesis, it is clear that different rudder configurations have different hydrodynamic characteristics, which are influenced by the profile, the parameters, and the type of a specific configuration. New regression formulas have been proposed for naval architects to quickly estimate the rudder induced forces and moments in manoeuvring. Furthermore, an integrated manoeuvring model has been proposed and validated for both seagoing ships and inland vessels. Using the proposed regression formulas and manoeuvring model, the impacts of rudder configurations on inland vessel manoeuvrability have been studied.
The manoeuvring performance of a typical inland vessel can be improved by 5% to 30% by changing the rudder configuration. The rudder configuration should be capable of providing sufficient manoeuvring forces and then optimised to reduce the rudder induced resistance. In general, well-streamlined profiles are good for efficiency but not as good as high-lift profiles for effectiveness. As a summary, the ship manoeuvring performance can be improved by using effective profiles, enlarging the total rudder area, accelerating the rudder inflow velocity, increasing the effective rudder aspect ratios, and enlarging the spacing among multiple rudders.","inland vessels; inland vessel manoeuvrability; ship manoeuvrability; rudder configurations; manoeuvring simulations; rudder profiles; rudder prarameters; rudder design; rudder hydrodynamic characteristics; Computational Fluid Dynamics (CFD)","en","doctoral thesis","","978-94-6233-5622","","","","","","2021-08-27","","","Ship Design, Production and Operations","","",""
"uuid:74dd005a-cef5-4427-84c6-123cf31b5b18","http://resolver.tudelft.nl/uuid:74dd005a-cef5-4427-84c6-123cf31b5b18","Mechanics and thermodynamics of suspended two-dimensional membranes","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems)","Steeneken, P.G. (promotor); Verbiest, G.J. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis provides a comprehensive research of both the mechanics and thermodynamics of suspended two-dimensional (2D) membranes, such as tunable mechanical resonance, membrane deformation, heat transport, phonon scattering, and energy dissipation. These characteristics make nanomechanical resonators, made of a suspended 2D membrane, promising candidates for both fundamental studies and engineering applications. This thesis is composed of eight chapters in total.","Two-dimensional materials; nanomechanics; thermal transport; acoustic phonons; tunability","en","doctoral thesis","","978-94-6469-648-6","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:b501ee35-170e-4b3f-b1e9-0087cc995c49","http://resolver.tudelft.nl/uuid:b501ee35-170e-4b3f-b1e9-0087cc995c49","Interoperable Protection and control of multi-terminal HVDC systems","Liu, L. (TU Delft Intelligent Electrical Power Grids)","Popov, M. (promotor); Lekić, A. (copromotor); Delft University of Technology (degree granting institution)","2024","The MMC-based MTDC systems are considered a promising solution for long-distance power transmission, integration of renewable energy sources, and interconnection of power grids. Nowadays, MMC-based MTDC systems have been successfully developed in various projects worldwide and are expected to play a significant role in future electrical power transmission systems.
Despite the benefits provided by the MMC-based MTDC system, various technical problems emerge. For example, in case of a DC fault on HVDC transmission lines, the DC voltage suffers a deep sag, and the fault current increases to the peak value after several milliseconds, the system stability is seriously affected. The fault currents will easily damage the power electronics and may lead to a collapse of the entire system if the faults are not cleared promptly. Thus, it is crucial to implement a fast, selective, and reliableDC fault protection technology in the system for fault detection. Once the fault is cleared, it is important to know the exact fault location to repair the faulty sections and to restore the system. Hence, an accurate DC fault location technique is of utmost importance for the MTDC system, which would significantly minimize electricity loss and expedite the system restoration process in the event of power outages. In addition, there is a lack of standardization in MMC control, and the majority of HVDC projects are constructed in a vendor-specific manner. As of today, it is unclear how MMC converters from different manufacturers will interoperate with each other. These pose new challenges to the performance of HVDC protection and MMC control and need to be addressed to manage, safeguard, and accelerate the practical feasibility of this system.
The research in this thesis aims to address the shortcomings that have not been addressed in the state of the art, mainly related to the challenges arising when DC faults occur in the MMC MTDC systems and, as such, could provide promising solutions for future practicalMTDCapplications. The main topics areMMC control&interoperability, Protection, and Fault location for the MMC-based MTDC system. The thesis deals with designing a robust protection scheme, a fault location method, and an investigation of the interoperableMMC controllers...
This thesis presents a series of studies focusing on wind induced hydrodynamic circulation in large shallow lake, with the implication of Taihu Lake from lake scale hydrodynamic study, to lake scale water quality implication, and to basin scale implication. The proposed modelling approach could serve as a basis and provide information on lake scale wind effects on hydrodynamic circulation and catchment scale urbanization implication on water environment for management and planning of Taihu Lake and Taihu Basin.
v and the multiaxial loading factor for tensile resistance ξt.","Analysis and design; ductile fracture; General; high-strength bolts; Materials; multiaxial loading; ultimate capacity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-26","","","Steel & Composite Structures","","",""
"uuid:4d80f443-e995-4bf6-ae60-64d6af03d911","http://resolver.tudelft.nl/uuid:4d80f443-e995-4bf6-ae60-64d6af03d911","Load reduction for wind turbines: an output-constrained, subspace predictive repetitive control approach","Liu, Y. (TU Delft Team Riccardo Ferrari; Electric Power Research Institute (EPRI) Europe); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","Individual pitch control (IPC) is a well-known approach to reduce blade loads on wind turbines. Although very effective, IPC usually requires high levels of actuator activities, which significantly increases the pitch actuator duty cycle (ADC). This will subsequently result in an increase of the wear on the bearings of the blades and a decrease of the wind turbine reliability. An alternative approach to this issue is to reduce the actuator activities by incorporating the output constraints in IPC. In this paper, a fully data-driven IPC approach, which is called constrained subspace predictive repetitive control (cSPRC), is introduced. The output constraints can be explicitly considered in the control problem formulation via a model predictive control (MPC) approach. The cSPRC approach will actively produce the IPC action for the necessary load reduction when the blade loads violate the output constraints. In this way, actuator activities can be significantly reduced. Two kinds of scenarios are simulated to illustrate the unique applications of the proposed method: wake–rotor overlap and turbulent sheared wind conditions. Simulation results show that the developed cSPRC is able to account for the output constraints into the control problem formulation. Since the IPC action from cSPRC is only triggered to prevent violating the output constraints, the actuator activities are significantly reduced. This will help to reduce the pitch ADC, thus leading to an economical viable load control strategy. In addition, this approach allows the wind farm operator to design conservative bounds to guarantee the safety of the wind turbine control system.","","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:f4160d66-be20-4480-8a20-483be3f50644","http://resolver.tudelft.nl/uuid:f4160d66-be20-4480-8a20-483be3f50644","Adaptive Integral Sliding Mode Control in the Presence of State-Dependent Uncertainty","Li, Peng (Southeast University); Liu, Di (Southeast University; Rijksuniversiteit Groningen); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2022","Adaptive integral sliding mode control (AISMC) is an extension of adaptive sliding mode control which is a way to ensure sliding motion while handling system uncertainties. However, conventional AISMC formulations require to different extent a priori knowledge of the system uncertainty: either the upper bound of the uncertainty or of its time derivative are assumed to be bounded a priori, or the uncertainty is assumed to be parametrized by some structure-dependent factorization. This work proposes a variant of AISMC with reduced a priori knowledge of the system uncertainty: it is shown that Euler-Lagrange dynamics typical of sliding mode literature admit a structure-independent parametrization of the system uncertainty. This parametrization is not the result of structural knowledge, but it comes from basic properties of Euler-Lagrange dynamics, valid independently on the structure of the system. The AISMC control method arising from this parametrization is analyzed in the Lyapunov stability framework, and validated in systems with different structures: a surface vessel and an aerial vehicle.","Adaptive integral sliding mode; aerial vehicle; Euler-Lagrange dynamics; IEEE transactions; Mechatronics; Sliding mode control; Standards; state-dependent uncertainty; Surface treatment; Uncertainty; Upper bound","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:98c5325f-53c0-466f-a1ce-749a48bb9a63","http://resolver.tudelft.nl/uuid:98c5325f-53c0-466f-a1ce-749a48bb9a63","Adaptive strategies to platoon merging with vehicle engine uncertainty","Jain, V. (TU Delft Intelligent Vehicles); Liu, Di (Southeast University; Rijksuniversiteit Groningen); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2021","While several synchronization-based protocols have been provided for formation-keeping of cooperative vehicles, the problem of synchronized merging is more challenging. Challenges associated to the merging scenario include the need for establishing bidirectional interaction (in place of unidirectional look-ahead interaction), and the need for considering different engine dynamics (in place of homogeneous engine dynamics). This work shows how such challenges can be tackled via a newly proposed strategy based on adaptive control with bidirectional error: the adaptive control framework autonomously adapts to different engine dynamics, while the bidirectional error seamlessly allows the vehicle that wants to merge to interact with both the front and the rear vehicles, in a similar way as humans do.","adaptive control; Autonomous vehicles; cooperative adaptive cruise control; platoon merging maneuvers","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:51d90eb9-c6ee-46dc-80c2-c4bc70f7743a","http://resolver.tudelft.nl/uuid:51d90eb9-c6ee-46dc-80c2-c4bc70f7743a","A Markov Traffic Model for Signalized Traffic Networks Based on Bayesian Estimation","Liu, S. Y. (University of Chinese Academy of Sciences); Lin, S. (University of Chinese Academy of Sciences); Wang, Y. B. (Zhejiang University); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Lam, W. H.K. (The Hong Kong Polytechnic University)","","2021","In order to better understand the stochastic dynamic features of signalized traffic networks, we propose a Markov traffic model to simulate the dynamics of traffic link flow density for signalized urban traffic networks with demand uncertainty. In this model, we have four different state modes for the link according to different congestion levels of the link. Each link can only be in one of the four link state modes at any time, and the transition probability from one state to the other state is estimated by Bayesian estimation based on the distributions of the dynamic traffic flow densities, and the posterior probabilities. Therefore, we use a first-order Markov Chain Model to describe the dynamics of the traffic flow evolution process. We illustrate our approach for a small traffic network. Compared with the data from the microscopic traffic simulator SUMO, the proposed model can estimate the link traffic densities accurately and can give a reliable estimation of the uncertainties in the dynamic process of signalized traffic networks.","Bayesian; Markov traffic model; Traffic signals; Urban traffic network","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:b6f346f2-69ca-4988-9853-826aa19d4ea2","http://resolver.tudelft.nl/uuid:b6f346f2-69ca-4988-9853-826aa19d4ea2","Talking about landscape spaces. Towards a spatial-visual landscape design vocabulary","Liu, M. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture)","","2022","Spatial-visual landscape design vocabulary is important for landscape architects to understand, design, and communicate about landscape spaces. Despite the importance, there is no comprehensive overview available. This article aims to fill this gap by reviewing and categorising the spatial-visual design vocabulary for the field of landscape architecture and to provide a systematic framework for understanding landscape spaces inter-subjectively. Based on the analysis of the vocabulary used in the extensive body of literature available in landscape architecture and related disciplines, four dominant categories are selected in describing spatial and visual organisation. The categories identified and discussed are sequence, orientation, continuity, and complexity. In addition, a landscape design syntax is developed to understand and to describe the visual manifestation of landscape spaces, how space is organised, and what ordering principles play a role from both qualitative and quantitative perspectives.","Design vocabulary; landscape design; landscape metrics; landscape spaces; spatial composition; spatial-visual organisation","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:73c23531-a896-419e-8150-8fc36c434255","http://resolver.tudelft.nl/uuid:73c23531-a896-419e-8150-8fc36c434255","Toward the long-term aging influence and novel reaction kinetics models of bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2022","This study aimed to explore the long-term aging influence on chemo rheological properties and develop novel consecutive models for the long-term aging reaction kinetics of bitumen. The results revealed that the aging index was significantly dependent on the types of selected parameters. The Zero-order model was suitable to describe the long-term aging reaction kinetics of bitumen based on the oxygen containing functional groups with the reaction rate constants in 0.7–3.3*10−4 (mol L−1·h−1). In the SARA-based consecutive reaction model, the most optimum kinetics model for aromatic fraction was the Third-order reaction model and the corresponding reaction kinetics constant (k1) was 0.02 (mol·L−1)−2(h)−1. The Zero-order model could well fit the generation kinetics of asphaltene molecules with the reaction rate constant k2 of 3.85*10−4 mol·(L·h)−1. Further, the transformation reaction from the resin to asphaltene molecules was the control step of the whole consecutive reaction model. In this study, when one-unit resin fraction was generated, the consumption amount of aromatic fraction was about 2.82 units. Meanwhile, when one-unit resin fraction was consumed, only 0.58-unit asphaltene could be generated. The developed reaction kinetics models could be beneficial to predict the functional groups distribution and SARA fractions in aged bitumen with different aging degrees.","Long-term aging; SARA fractions; chemo-rheological characterization; consecutive kinetics model; quantitative conversion relationship","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:c10ca898-4c0f-449c-957b-3ebf28e3f2bd","http://resolver.tudelft.nl/uuid:c10ca898-4c0f-449c-957b-3ebf28e3f2bd","BIM-integrated portfolio-based strategic asset data quality management","Fang, Zigeng (University College London (UCL)); Liu, Y. (TU Delft Integral Design & Management); Lu, Qiuchen (University College London (UCL)); Pitt, Michael (University College London (UCL)); Hanna, Sean (University College London (UCL)); Tian, Zhichao (Tongji University)","","2022","A building's strategic asset management (SAM) capability has traditionally been limited by its site-based management. With the emergence of needs from clients about delivering a long-term portfolio-based building asset management plan that minimizes the asset risk and optimizes the value of their asset portfolios, SAM Units have emerged as a new business form to provide various SAM services to their clients. However, the quality of their current data model is still hindered by many issues, such as missing important attributes and the lack of customized information flow guidance. In addition, there is a gap in integrating their existing data collection with various data sources and Building Information Modeling (BIM) to enhance their data quality. By evaluating a SAM Unit's portfolio case study, this paper identifies the factors limiting the quality of SAM Units' data model and develops a guide to integrating various data sources better. We develop a BIM-integrated portfolio-based SAM information flow framework and a detailed hierarchical portfolio-based non-geometric data structure. The proposed framework and data structure will help SAM professionals, building asset owners, and other facilities management professionals embrace the benefits of managing the portfolio-based SAM data.","Building information modeling (BIM); Data quality management; Portfolio management; Strategic asset management (SAM)","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:1f4029b5-2648-4fdc-8a1b-d114228f35f2","http://resolver.tudelft.nl/uuid:1f4029b5-2648-4fdc-8a1b-d114228f35f2","Fuzzy Adaptive Output-Feedback Constrained Trajectory Tracking Control for HFVs with Fixed-Time Convergence","Zuo, Renwei (Air Force Engineering University China); Li, Yinghui (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Liu, Zongcheng (Air Force Engineering University China); Zhang, Fan (Sun Yat-sen University)","","2022","This article proposes an output-feedback fixed-time trajectory tracking control methodology for hypersonic flight vehicles subject to asymmetric output constraints. In contrast to the state of the art, the most distinguishing feature of our control design lies in avoiding using conventional recursive design methods (e.g., backstepping technique, dynamic surface control, etc.) and in not relying on full-state availability. In the velocity control loop, an asymmetric integral barrier Lyapunov function is adopted to confine velocity variable within a well-defined compact set all the time. In the altitude control loop, after utilizing its cascaded property and proposing a novel scaling function, the original constrained system is transformed to an unconstrained one, which facilitates the control design and stability analysis. Moreover, the proposed control algorithm only involves one fuzzy logic approximator as well as one fixed-time differentiator in the transformed system and guarantees that the tracking errors of velocity and altitude converge into the user-defined residual sets within fixed time. Several comparative simulations have been conducted to highlight the superiorities of the developed method.","Aerodynamics; asymmetric output constraints; Control design; Convergence; fixed-time differentiator; Fuzzy logic; Hypersonic flight vehicles; Lyapunov methods; output-feedback control; Trajectory tracking; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:6e7bfe2f-652a-4fc5-9cfb-8dbdd11baf61","http://resolver.tudelft.nl/uuid:6e7bfe2f-652a-4fc5-9cfb-8dbdd11baf61","Switchable Metamaterial with Terahertz Buffering and Absorbing Performance","Liu, Chenxi (College of Electronic Science; National University of Defense Technology); Xu, Yanlin (National University of Defense Technology); Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Lin, Mingtuan (National University of Defense Technology); Zha, Song (National University of Defense Technology)","","2021","A terahertz metamaterial with switching characteristics from optical buffering to absorbing performance is realized by incorporating a phase-change film of vanadium dioxide. By introducing the electromagnetically induced transparency behavior based on simple strip pairs, the slow light effect with group delay up to 3.5 ps is obtained. When vanadium dioxide is in the insulator state, the remarkable delay can be observed as the incident pulse transmits through the designed structure. Once the vanadium dioxide film is tuned to the metallic state, the metamaterial is switched to a terahertz absorber and the maximum absorption rate of 94% is observed at 1.04 THz. The switching mechanism is discussed by analyzing the electric field and power loss distributions, as well as the impedance matching principle. Moreover, the buffering capability and the absorption performance both remain noticeable within a wide range of the incidence angle. This work offers a strategy for the function-switching metamaterial which provides potential applications in terahertz detecting, switching and slow light devices.","absorbing; buffering; Switchable metamaterial; vanadium dioxide","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:b28ffd2a-809c-4cf7-8bac-59e9baec38ee","http://resolver.tudelft.nl/uuid:b28ffd2a-809c-4cf7-8bac-59e9baec38ee","Analysis of Urban Built Environment Impacts on Outdoor Physical Activities: A Case Study in China","Li, Bo (Central South University China); Liu, Qiuhong (Central South University China); Wang, T. (TU Delft Design & Construction Management); He, He (Central South University China); Peng, You (Eindhoven University of Technology); Feng, Tao (Hiroshima University)","","2022","Outdoor physical activities can promote public health and they are largely influenced by the built environment in different urban settings. Understanding the association between outdoor physical activities and the built environment is important for promoting a high quality of life. Existing studies typically focus on one type of outdoor activity using interview-based small samples and are often lack of systematic understanding of the activities' intensity and frequency. In this study, we intend to gain deeper insight into how the built environment influences physical activities using the data extracted from individual's wearables and other open data sources for integrated analysis. Multi-linear regression with logarithm transformation is applied to perform the analysis using the data from Changsha, China. We found that built environment impacts on outdoor physical activities in Changsha are not always consistent with similar studies' results in other cities. The most effective measures to promote outdoor physical activities are the provision of good arterial and secondary road networks, community parks, among others in Changsha. The results shed light on future urban planning practices in terms of promoting public health","public health; urban planning; GPS tracking; intensity and frequency; trajectory analysis; smart wearables","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:c0b4c533-7338-441c-86a5-d005e1fa250a","http://resolver.tudelft.nl/uuid:c0b4c533-7338-441c-86a5-d005e1fa250a","Integrated CO2capture and selective conversion to syngas using transition-metal-free Na/Al2O3dual-function material","Sasayama, Tomone (National Institute of Advanced Industrial Science and Technology (AIST)); Kosaka, Fumihiko (National Institute of Advanced Industrial Science and Technology (AIST)); Liu, Yanyong (National Institute of Advanced Industrial Science and Technology (AIST)); Yamaguchi, Toshiaki (National Institute of Advanced Industrial Science and Technology (AIST)); Chen, Shih Yuan (National Institute of Advanced Industrial Science and Technology (AIST)); Mochizuki, Takehisa (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Kuramoto, Koji (National Institute of Advanced Industrial Science and Technology (AIST))","","2022","Integrated CO2 capture and conversion (ICCC) using dual-function materials (DFMs) is one of the key technologies for addressing critical global environmental and energy issues. DFMs generally consist of alkali or alkaline earth metals for CO2 capture and transition metal catalysts for CO2 conversion. In this study, we studied the ICCC to CO using transition-metal-free DFMs to demonstrate their potential to directly produce syngas from atmospheric-level CO2. Among the DFMs prepared herein, Na/Al2O3 exhibited excellent performance and achieved a CO2 conversion exceeding 90% and CO selectivity exceeding 95% at a reaction temperature of 450-500 °C. Na/Al2O3 maintained its capture and conversion capacity throughout a 50-cycle stability test without significant deactivation. Furthermore, in the scale-up experiments using Na/Al2O3 DFM, a syngas-like mixture an H2/CO molar ratio of 3.3 (48.1 vol% H2 and 14.5 vol% CO) was directly obtained from 400 ppm CO2. These results suggest that ICCC using the transition-metal-free Na/Al2O3 DFM may be practicable provided the CO2 capture capacity of the DFM is further improved while maintaining the aforementioned advantages.","COutilization; Dual-function material; Reverse water gas shift; Syngas","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Catalysis Engineering","","",""
"uuid:cc581cc8-7409-4294-823f-f01b4ad23c95","http://resolver.tudelft.nl/uuid:cc581cc8-7409-4294-823f-f01b4ad23c95","A flock-like two-dimensional cooperative vehicle formation model based on potential functions","Hao, R. (TU Delft Transport and Planning; Tongji University); Liu, M. (TU Delft Transport and Planning); Ma, Wanjing (Tongji University); van Arem, B. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning; Technische Universität Dresden)","","2022","Due to the manoeuvre complexity, models describing the platoon formation process on urban roads are lacking in the literature. Inspired by flocking behaviours in nature, we proposed a two-dimensional model to describe connected automated vehicle (CAV) group dynamics based on the potential theory, which is composed of the elastic potential energy for the inter-vehicle spring-mass system and the cross-section artificial potential field. The inter-vehicle elastic potential energy enables CAVs to attract each other at long distances, and repel each other otherwise. It also generates incentives for lane changes. The cross-section artificial potential field is able to mimic the lane-keeping behaviour and creates resistance to avoid unnecessary lane changes at very low incentives. These modelling principles can also be applied to human-driven vehicles in a mixed traffic environment. The behavioural plausibility of the model is demonstrated analytically and further verified in a simulation of typical driving scenarios.","connected and automated vehicle; microscopic model; platoon formation; Platoon management","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:6bb7abeb-90e3-4b1a-bb41-d4da94cb6d70","http://resolver.tudelft.nl/uuid:6bb7abeb-90e3-4b1a-bb41-d4da94cb6d70","Characterizing CO2 Reduction Catalysts on Gas Diffusion Electrodes: Comparing Activity, Selectivity, and Stability of Transition Metal Catalysts","Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage); Sassenburg, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); de Rooij, R. (Student TU Delft); Nessbit, Nathan (Student TU Delft); Kas, R. (TU Delft ChemE/Materials for Energy Conversion and Storage); Chandrashekar, S. (TU Delft ChemE/Materials for Energy Conversion and Storage); Firet, N.J. (TU Delft ChemE/Materials for Energy Conversion and Storage); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Blommaert, M.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Kolen, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Ripepi, D. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2022","Continued advancements in the electrochemical reduction of CO 2 (CO 2RR) have emphasized that reactivity,selectivity, and stability are not explicit material properties butcombined effects of the catalyst, double-layer, reaction environ-
ment, and system configuration. These realizations have steadily built upon the foundational work performed for a broad array of transition metals performed at 5 mA cm−2, which historically guided the research field. To encompass the changing advancements and mindset within the research field, an updated baseline at elevated current densities could then be of value. Here we seek to
re-characterize the activity, selectivity, and stability of the five most utilized transition metal catalysts for CO2 RR (Ag, Au, Pd, Sn, and Cu) at elevated reaction rates through electrochemical operation, physical characterization, and varied operating parameters to provide a renewed resource and point of comparison. As a basis, we have employed a common cell architecture, highly controlled catalyst layer morphologies and thicknesses, and fixed current densities. Through a dataset of 88 separate experiments, we provide comparisons between CO-producing catalysts (Ag, Au, and Pd), highlighting CO-limiting current densities on Au and Pd at 72 and 50 mA cm−2, respectively. We further show the instability of Sn in highly alkaline environments, and the convergence of product selectivity at elevated current densities for a Cu catalyst in neutral andalkaline media. Lastly, we reflect upon the use and limits of reaction rates as a baseline metric by comparing catalytic selectivity at 10
versus 200 mA cm−2. We hope the collective work provides a resource for researchers setting up CO 2RR experiments for the first time.","CO2 reduction; gas diffusion electrode; catalyst comparison; silver; gold; palladium; tin; copper","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:971ec81f-962f-4524-acdd-9ea405e15e39","http://resolver.tudelft.nl/uuid:971ec81f-962f-4524-acdd-9ea405e15e39","Micromechanics-based viscoelasticity predictions of crumb rubber modified bitumen considering polymer network effects","Wang, H. (TU Delft Pavement Engineering; The University of Nottingham); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University); Leng, Zhen (The Hong Kong Polytechnic University); Airey, G.D. (The University of Nottingham)","","2022","Crumb rubber modified bitumen (CRMB) can be regarded as a binary composite system in which swollen rubber particles are embedded in the bitumen matrix. Previous study has successfully implemented the micromechanics models in predicting the complex moduli of CRMB binders using more representative constituent parameters. In the regime of master curves, while the micromechanics models used predicted well in the high-frequency range, they underestimated the complex modulus in the low-frequency range. The current study aims to further improve the prediction accuracy of micromechanics models for CRMB by considering the interparticle interactions. To accomplish this goal, a new reinforcement mechanism called chain entanglement effect was introduced to account for the interparticle interaction effect. Results show that the polymer chain entanglement effect accounts for the underestimation of complex modulus and lack of elasticity (overestimation of phase angle) for CRMB at high temperatures/low frequencies. The mechanical properties of bitumen matrix and entangled polymer network can be determined based on the rubber content. The introduction of the entangled polymer network to the generalized self-consistent model significantly improved the prediction accuracy for both complex modulus and phase angle in the whole frequency range. In summary, by incorporating the physio-chemical interaction mechanism into the currently available models, a new dedicated micromechanics model for predicting the mechanical properties of CRMB has been developed. The predicted viscoelastic behaviors can thereafter be used as inputs for an improved mix design.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:ab79da81-f7d0-4f00-b97e-810b3541993f","http://resolver.tudelft.nl/uuid:ab79da81-f7d0-4f00-b97e-810b3541993f","Characterizing near-surface structures at the Ostia archaeological site based on instantaneous-phase coherency inversion","Liu, J. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics)","","2022","Traditional least-squares full-waveform inversion (FWI) suffers from severe local minima problems in case of the presence of strongly dispersive surface waves. Additionally, recorded wavefields are often characterized by amplitude errors due to varying source coupling and incorrect 3D-to-2D geometrical-spreading correction. Thus, least-squares FWI is considered less than suitable for near-surface applications. In this paper, we introduce an amplitude-unbiased coherency measure as a misfit function that can be incorporated into FWI. Such coherency was earlier used in phase-weighted stacking (PWS) to enhance weak but coherent signals. The benefit of this amplitude-unbiased misfit function is that it can extract information uniformly for all seismic signals (surface waves, reflections, and scattered waves). Using the adjoint-state method, we show how to calculate the gradient of this new misfit function. We validate the robustness of the new approach using checkerboard tests and synthetic data contaminated by random noise. We then apply the new FWI approach to a field dataset acquired at an archaeological site located in Ostia, Italy. The goal of this survey was to map the unexcavated archaeological remains with high-resolution. We identify a known tumulus in the FWI results. The instantaneous-phase coherency FWI results also establish that the shallow subsurface under the survey lines is quite heterogeneous. The instantaneous-phase coherency FWI of near-surface data can be a promising tool to image shallow small-scale objects buried under shallow soil covers, as found at archaeological sites.","archaeology; coherency; Full-waveform inversion; near surface; Rayleigh wave","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:a81a0777-c50e-48e9-a825-1f17eb879fe8","http://resolver.tudelft.nl/uuid:a81a0777-c50e-48e9-a825-1f17eb879fe8","Chemical characterizations and molecular dynamics simulations on different rejuvenators for aged bitumen recycling","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering)","","2022","Molecular dynamics (MD) simulation is an advanced tool to explore the interaction mechanism between aged bitumen and rejuvenators at the nanoscale. However, the general MD molecular structures of rejuvenators led to the lower quantify and inaccuracy of the simulation outputs. This study aims at developing more realistic molecular models to represent the generic rejuvenators for MD simulation of aged bitumen recycling. Four types of rejuvenators (bio-oil, engine-oil, naphthenic-oil, and aromatic-oil) are characterized in terms of element analysis, functional groups distribution observed from Attenuated total reflectance-Fourier-transform infrared (ATR-FTIR) spectroscopy, and average molecular weight. Afterward, the average molecular structures of rejuvenators are determined and validated. Further, the MD simulations are performed to predict the energetic, dynamic, volumetric,
and structural properties of various rejuvenators. Based on the chemical characteristics, the average chemical formula of bio-oil, engine-oil, naphthenic-oil, and aromatic-oil is derived as C19H36O2, C22H44, C26H48, C30H40. From MD simulations, the ranking of density and glass transition temperature for four different rejuvenators is AO > NO > BO > EO, which is same as the experimental results. It proves that the established average molecular structures of four rejuvenators are reasonable. Various rejuvenators display different thermodynamics and structural properties. The aromatic-oil exhibits the highest potential energy, cohesive energy density, and solubility parameter. Besides, the order of expansion coefficient and diffusion coefficient of the four rejuvenators is the same as BO > EO > NO > AO, while the viscosity presents the opposite sequence. Moreover, the fractional free volume values follow EO > BO > NO > AO. The occurrence probability between bio-oil and aromatic-oil molecules is higher than engine-oil and naphthenic-oil. This study develops the representative average molecular models for generic rejuvenators and helps understand the difference in chemo-physical and thermodynamics properties among various rejuvenators.","Molecular models; Rejuvenators; Chemical characterizations; Molecular dynamics simulation; Thermodynamics properties","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:aa47fde7-12cf-4953-9eac-60ae0acbbbd9","http://resolver.tudelft.nl/uuid:aa47fde7-12cf-4953-9eac-60ae0acbbbd9","Ballast fouling inspection and quantification with ground penetrating radar (GPR)","Guo, Y. (TU Delft Railway Engineering); Liu, Guixian (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Qu, Jianjun (China Academy of Railway Sciences); Wang, Shilei (China Academy of Railway Sciences); Qiang, Weile (China Academy of Railway Sciences)","","2022","Ground penetrating radar (GPR) has been applied for ballast layer inspection for two decades, mainly for the analysis of ballast layer fouling levels. However, some issues that affect the inspection quality remain unsolved, such as issues involving the GPR equipment quality (antenna) and the correlation between the GPR indicator and fouling index. With the aim of solving these two issues, in this paper, we investigated the difference between the results of two different antennas, the GPR data processing technique, indicators for the fouling level (by GPR signal processing) and the correlation between the indicators and fouling index (obtained by sieving). The results show that the antenna quality determines the inspection quality. The indicators can reflect the ballast layer fouling level, and they correlate the best with the fouling index (obtained by the percentage of particles passing through a 5 mm sieve size). This study is helpful for the future modification of railway ballast maintenance standards.","ballast fouling; GPR; Ground penetrating radar; railway ballast; track geometry; track inspection","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e6b2d56d-b5f6-4234-ba50-4693418a2a98","http://resolver.tudelft.nl/uuid:e6b2d56d-b5f6-4234-ba50-4693418a2a98","Influence of swelling-degradation degree on rheological properties, thermal pyrolysis kinetics, and emission components of waste crumb rubber modified bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2022","This study investigated the effects of swelling-degradation degree on the rheological properties and thermal pyrolysis behaviors of crumb rubber modified bitumen (CRMB). The equilibrium viscosity of CRMB in 160 ◦Cswelling process is 10.5 Pa·s at 8 h. During the 200℃-degradation procedure, the viscosity increased to a maximum value of 20.58 Pa·s at 7.5 h and gradually reduced to a stable value of 11.09 Pa·s after 35 h. The swelling and degradation processes exhibit the opposite influence on the rheological properties of CRMB. Moreover, the degradation process accelerated the pyrolysis rate of CRMB but hindered the release of toxic gas CO and hydrocarbons.","Crumb rubber modified bitumen; Swelling-degradation behaviors; Rheological properties; Thermal pyrolysis kinetics; Volatile components","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:afd6e0cd-588d-4bdb-a527-30e69572ce80","http://resolver.tudelft.nl/uuid:afd6e0cd-588d-4bdb-a527-30e69572ce80","Multi-component analysis, molecular model construction, and thermodynamics performance prediction on various rejuvenators of aged bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering)","","2022","The molecular dynamics (MD) simulation method is proved as an efficient tool to explore the intermolecular interaction between rejuvenators and aged bitumen, but the simple “singlemolecule” model of rejuvenator would bring the inaccuracy to simulation outputs due to a huge difference with its realistic multi-component chrematistic. This study aims to in-depth analyze the chemical components of four commonly-used rejuvenators with the Gas chromatographymass spectrometry (GC-MS) method, and propose their multi-component molecular models for the first time. Further, MD simulations are performed on the multi-component models of various rejuvenators to anticipate and compare their atomic-level properties. The GC-MS results reveal that the chemical components of petroleum-based rejuvenators are more complicated than the bio-oil (BO). The alkane, naphthenic, and aromatic molecules are the main constituents of engine-oil (EO), naphthenic-oil (NO), and aromatic-oil (AO) rejuvenators. The experimental density results validate the reliability of these multi-component molecular models of four rejuvenators. From the MD simulations outputs, there is a significant difference in the energetic indices, cohesive energy density (CED), solubility parameter δ, volumetric parameters, dynamic behaviors, structural indicators, expansion coefficient (α and β), and
isobaric heat capacity (Cp) between the multi-component models of four rejuvenators. However,the multi-component molecular model of aromatic-oil based on the GC-MS method is not accurate because the polycyclic aromatic molecules with heavy-weight are not detected and considered. This study detects the difference in chemical components and thermodynamics properties between four rejuvenators and proposes their more realistic multi-component molecular models for further MD simulations on the rejuvenation of aged bitumen.","Rejuvenators; GC–MS characterization; Multi-component molecular model; Molecular dynamics simulation; Thermodynamics performance","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4e534758-362d-4ee8-8497-de8f3efca8d3","http://resolver.tudelft.nl/uuid:4e534758-362d-4ee8-8497-de8f3efca8d3","Accelerated evaluation of membranes for pavement structures on orthotropic steel bridge decks","Anupam, K. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); van Aggelen, M. (TU Delft Pavement Engineering)","","2022","Membranes of sufficient bonding characteristics could improve the integrity of the multi-layer structures on orthotropic steel deck bridges (OSBDs), enhancing thus the structural response of these systems and, ultimately, their service life. In this research, full-scale experiments were performed at the LINTRACK accelerated pavement testing facility of the Delft University of Technology to evaluate the performance of two surfacing systems commonly used in the Netherlands, giving emphasis on assessing the interface response of membranes with the surrounding materials. Results indicated that the tensile strains remain almost uniform at the top of porous asphalt, in both transverse and longitudinal directions, as no appreciable loss in stress-carrying capabilities was seen even at the end of the testing program. The sections exhibited similar behaviour in terms of strains, with some differences in strains indicating the impacts of membranes at interfaces. The importance of membranes of the desired bonding characteristics was also reflected by the relative displacement measurements. The relative interlayer slip had been higher in the transverse direction than the longitudinal one, with slightly higher displacements in one of the test sections. Overall, no cracking was observed on either section, and the current findings support the use of membranes between surfacing layers on OSBDs.","accelerated pavement testing; orthotropic steel bridge deck; membrane; monitoring; asphalt","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3a4e3a7e-e7d4-4f16-a763-47bd3aff6796","http://resolver.tudelft.nl/uuid:3a4e3a7e-e7d4-4f16-a763-47bd3aff6796","Robust Locomotion Exploiting Multiple Balance Strategies: An Observer-Based Cascaded Model Predictive Control Approach","Ding, J. (TU Delft Learning & Autonomous Control); Han, Linyan (Southeast University); Ge, Ligang (Ubtech Robotics Corporation); Liu, Yizhang (Ubtech Robotics Corporation); Pang, Jianxin (Ubtech Robotics Corporation)","","2022","Robust locomotion is a challenging task for humanoid robots, especially when considering dynamic disturbances. This article proposes a disturbance observer-based cascaded model predictive control (MPC) approach for bipedal locomotion, with the capability of exploiting ankle, stepping, hip and height variation strategies. Specifically, based on the variable-height inverted pendulum model, a nonlinear MPC that is run at a low frequency is built for 3-D locomotion (i.e., with height variation) while accounting for the footstep modulation as well. Differing from previous works, the nonlinear MPC is formulated as a convex optimization problem by semidefinite relaxation. Subsequently, assuming a flywheel at the pelvis center, a linear MPC that is run at a high frequency is proposed to regulate angular momentum (e.g., through rotating the upper body), which is solved by convex quadratic programming. To run the cascaded MPC in a closed-loop manner, a high order sliding mode observer is designed to estimate system states and dynamic disturbances simultaneously. Simulation and hardware experiments demonstrate the walking robustness in real-world scenarios, including 3-D walking with varying speeds, walking across non-coplanar terrains and push recovery.","Bipedal locomotion; Computational modeling; convex optimization; disturbance observer; Foot; Hip; Legged locomotion; model predictive control; Predictive control; reactive walking; Solid modeling; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:95f80159-0f49-4a0c-be67-0137018c8f5a","http://resolver.tudelft.nl/uuid:95f80159-0f49-4a0c-be67-0137018c8f5a","Bilevel Model for Protection-Branch Measurements-Based Topology Attack Against DC and AC State Estimations","Gao, Shibin (Southwest Jiaotong University); He, Zonglun (Southwest Jiaotong University); Wei, Xiaoguang (Southwest Jiaotong University); Liu, Y. (TU Delft Intelligent Electrical Power Grids); Huang, Tao (Politecnico di Torino); Lei, Jieyu (Southwest Jiaotong University)","","2022","A topology attack, as a special class of false data injection attacks, tampers with topology information of a system to mislead the decision of the control center. This article conducts an in-depth study on topology attacks that aim to interfere with the judgment in topology information and pose potential damage by tampering with measurement data and protection information on branches, namely, protection-branch measurements-based topology attacks (PBT attacks). To achieve PBT attacks in actual networks, we study the protection settings and mechanisms in term of branches including transformers and transmission lines. Then, for the first time, we develop a bilevel model based on the protection configuration from the perspective of security-constrained economic dispatch. Meanwhile, since a bilevel model is constructed against dc state estimation, a conversion method in constructing attack vectors under PBT attacks against ac power system is proposed, which makes PBT attacks more suitable for actual power systems and more concealed. In a set of case studies on an IEEE 14-bus system, the simulation results verify the effectiveness of the model we proposed, analyze the vulnerability of network under PBT attacks, and then identify some critical branches that are defended to cope with PBT attacks. In addition, the comparison between PBT attacks and traditional cyber-overloaded attacks also shows a stronger threat of the studied attacks.","Bilevel model; conversion method; protection information; topology attack","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:dc417e62-58bd-4bd7-b1fc-68662770e36e","http://resolver.tudelft.nl/uuid:dc417e62-58bd-4bd7-b1fc-68662770e36e","Hydrogenated Boron Phosphide with the excellent tunability of electronic properties and Current-Voltage responses","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Zhou, Quan (Chongqing University); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Shenzhen Institute of Wide-bandgap Semiconductors; Southern University of Science and Technology; Chongqing University); Wu, Qibao (ShenZhen Institute of Information Technology)","","2022","Combining the first principles calculations and the non-equilibrium Green’s function formalisms, we decipher the structural, electronic, and transport properties of boron phosphide (BP) with hydrogenation. Hydrogenated BP monolayer is an indirect semiconductor with a wide-bandgap of 3.76 eV that is favorable in power devices. We find that the electronic properties are dependent of the stacking orders and the binding strength of the AA-, AB-, and AE-stacked patterns are strongest in the investigated configurations. Under the external E-field, the bandgaps of hydrogenated BP bilayer show a quasi-parabolic function and a feature of the semiconductor-metallic transition. Besides, when we apply a tensile strain on hydrogenated BP bilayer, its bandgap linearly decreases with the increasing of the strain strength along the zigzag and armchair directions. The strain energies further confirm that hydrogenated BP has an excellent characteristic of elastic deformation, being independent of the stacking orders and strain orientation. The transport calculations exhibit various responses to the different two-probe configurations, which indicates that hydrogenated BP possesses the feature of transmission anisotropy. Owing to the nontrivial tunability and transport feature, the hydrogenated BP materials may have tremendous prospects to be applied in micro-/nano-devices with high consumption.","First principles calculations; NEGF; hydrogenation; Boron phosphide; External stimulus; Transport feature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:b3a38f35-b85e-45e9-a26f-d7dc02bd004d","http://resolver.tudelft.nl/uuid:b3a38f35-b85e-45e9-a26f-d7dc02bd004d","Influence of top water on SAGD steam chamber growth in heavy oil reservoirs: An experimental study","Lyu, X. (TU Delft Reservoir Engineering); Liu, Huiqing (China University of Petroleum - Beijing); Tian, Ji (CNOOC Research Institute); Zheng, Qiang (CNOOC Research Institute); Zhao, Wei (China Petroleum Technology & Development Corporation)","","2021","Steam-assisted gravity drainage (SAGD) is one efficient and mature technology for recovering heavy oil and bitumen resources. The key underlying mechanism is the growth of the steam chamber after injecting steam. However, due to the complex geological environment, the thief zones exist and have a prejudicial effect on the development of the steam chamber, thus impacting the ultimate heavy-oil recovery. In this work, our objective is to investigate the effect of a top-water thief zone (i.e., water zone overlies the oil sand) on SAGD performance and further to understand the crucial mechanisms that control the heat loss during steam injection. A large-scale three-dimensional experimental apparatus is used to carry out the SAGD process with a top aquifer. Based on the similarity criterion, the field-scale model is transformed into a laboratory elemental model. To evaluate the SAGD performance quantitatively, the dynamic growth of the steam chamber is measured using the thermal detectors and the production data is recorded. The results show that the steam chamber exhibits three distinguished stages, that is, upward spread, lateral extension, and downward development in the presence of top-water zone. The bottom-water zone has less impact on the steam-chamber growth. The existence of a confined top-water zone, however, significantly affects SAGD performance, especially the lateral expansion of the steam chamber. The lateral propagation of the steam front is hindered by the top thief zone due to the heat exchange with the top water. Once the steam chamber reaches the boundary, the accumulation of energy in the water thief zone, in turn, can reduce the remaining oil saturation along the topwater-oil interface. This study provides us some key insights into the development of heavy oil resources with top thief zones when implementing SAGD technology.","Experiments; Heavy oil; Steam chamber; Steam-assisted gravity drainage (SAGD); Top water","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:ed046ecd-6720-466d-82db-3c1895038248","http://resolver.tudelft.nl/uuid:ed046ecd-6720-466d-82db-3c1895038248","Secure Control for Cyber-Physical Systems under Malicious Attacks","Wu, Chengwei (Harbin Institute of Technology); Yao, Weiran (Harbin Institute of Technology); Pan, W. (TU Delft Robot Dynamics); Sun, Guanghui (Harbin Institute of Technology); Liu, Jianxing (Harbin Institute of Technology); Wu, Ligang (Harbin Institute of Technology)","","2021","This article investigates the secure control problem for cyber-physical systems when the malicious data are injected into the cyber realm, which directly connects to the actuators. Based on moving target defense (MTD) and reinforcement learning, we propose a novel proactive and reactive defense control scheme. First, the system (A,B) is modeled as a switching system consisting of several controllable pairs (A,Bl) to facilitate the construction of the MTD control scheme. The controllable pairs (A,Bl) can be altered to update system dynamics under certain unpredictable switching probabilities for each subsystem, which can prevent the adversaries from effective attacks. Second, both attack detection and isolation schemes are designed to accurately locate and exclude the compromised actuators from a switching sequence. Third, a reinforcement learning algorithm based on the zero-sum game theory is proposed to design the defense control scheme when there exist no controllable subsystems to switch. To demonstrate the effectiveness of the defense control scheme, a three-tank system under unknown cyber attacks is illustrated.","Actuator attacks; Actuators; Control systems; Cyber-physical systems; Detectors; Heuristic algorithms; Moving target defense; Proactive and reactive control; Reinforcement learning; Switches; Symmetric matrices; System dynamics","en","journal article","","","","","","Accepted Author Manuscript","","","","","Robot Dynamics","","",""
"uuid:7c7e01c9-d953-4ec8-8463-93dc9a286eec","http://resolver.tudelft.nl/uuid:7c7e01c9-d953-4ec8-8463-93dc9a286eec","Learning Tracking Control for Cyber-Physical Systems","Wu, C. (Harbin Institute of Technology); Pan, W. (TU Delft Robot Dynamics); Sun, Guanghui (Harbin Institute of Technology); Liu, Jianxing (Harbin Institute of Technology); Wu, Ligang (Harbin Institute of Technology)","","2021","This paper investigates the problem of optimal tracking control for cyber-physical systems (CPS) when the cyber realm is attacked by denial-of-service (DoS) attacks which can prevent the control signal transmitting to the actuator. Attention is focused on how to design the optimal tracking control scheme without using the system dynamics and analyze the impact of DoS attacks on tracking performance. First, a Riccati equation for the augmented system including the system model and the reference model is derived under the framework of dynamic programming. The existence and uniqueness of its solution are proved. Second, the impact of the successful DoS attack probability on tracking performance is analyzed. A critical value of the probability is given, beyond which the solution to the Riccati equation cannot converge. The tracking controller cannot be designed. Third, reinforcement learning is introduced to design the optimal tracking control schemes, in which the system dynamics are not necessary to be known. Finally, both a dc motor and an F16 aircraft are used to evaluate the proposed control schemes in this paper.","Actuators; Cyber-physical systems; DoS attacks.; Mathematical model; Optimal tracking control; Reinforcement learning; Riccati equations; Signal to noise ratio; System dynamics; Trajectory","en","journal article","","","","","","Accepted Author Manuscript","","","","","Robot Dynamics","","",""
"uuid:7b76dd49-6809-4a32-9ca1-6196d2434017","http://resolver.tudelft.nl/uuid:7b76dd49-6809-4a32-9ca1-6196d2434017","From cyclic sand ratcheting to tilt accumulation of offshore monopiles: 3D FE modelling using SANISAND-MS","Liu, H. (Norwegian Geotechnical Institute); Kementzetzidis, E. (TU Delft Offshore Engineering); Abell, J. A. (Universidad de los Andes); Pisano, F. (TU Delft Geo-engineering)","","2021","Serviceability criteria for offshore monopiles include the estimation of long-term, permanent tilt under repeated operational loads. In the lack of well-established analysis methods, experimental and numerical research has been carried out in the last decade to support the fundamental understanding of monopile-soil interaction mechanisms, and the conception of engineering methods for monopile tilt predictions. With a focus on the case of monopiles in sand, this work shows how step-by-step/implicit, three-dimensional (3D) finite-element (FE) modelling can be fruitfully applied to the analysis of cyclic monopile-soil interaction and related soil deformation mechanisms. To achieve adequate simulation of cyclic sand ratcheting and densification around the pile, the recently proposed SANISAND-MS model is adopted. The link between local soil behaviour and global monopile response to cyclic loading is discussed through detailed analysis of model prediction. Overall, the results of numerical parametric studies confirm that the proposed 3D FE modelling framework can reproduce relevant experimental evidence about monopile-soil interaction, and support future improvement of engineering design methods.","constitutive relations; finite-element modelling; footings/foundations; offshore engineering; repeated loading","en","journal article","","","","","","Accepted author manuscript","","2022-03-08","","","Offshore Engineering","","",""
"uuid:33f4b1ba-761e-44e4-89c8-8776fca3d35d","http://resolver.tudelft.nl/uuid:33f4b1ba-761e-44e4-89c8-8776fca3d35d","Loess-Like Dust Appearance at 40 Ma in Central China","Meijer, Niels (Institute of Physics and Astronomy); Dupont-Nivet, Guillaume (Institute of Physics and Astronomy; Géosciences Rennes; Peking University); Barbolini, Natasha (Stockholm University; Institute for Biodiversity and Ecosystem Dynamics - Amsterdam); Woutersen, Amber (Institute for Biodiversity and Ecosystem Dynamics - Amsterdam); Rohrmann, Alexander (Freie Universität Berlin); Zhang, Yang (Peking University); Liu, Xiang Jun (Northwest Normal University); Licht, Alexis (University of Washington); Abels, H.A. (TU Delft Applied Geology)","","2021","Asian mineral dust has been studied extensively for its role in affecting regional-to global-scale climate and for its deposits, which enable reconstructing Asian atmospheric circulation in the past. However, the timing and origin of the dust deposits remain debated. Numerous loess records have been reported across the Asian continent with ages varying from the Miocene to the Eocene and linked to various mechanisms including global cooling, Tibetan Plateau uplift and retreat of the inland proto-Paratethys Sea. Here, we study the Eocene terrestrial mudrocks of the Xining Basin in central China and use nonparametric end-member analysis of grain-size distributions to identify a loess-like dust component appearing in the record at 40 Ma. This is coeval with the onset of high-latitude orbital cycles and a shift to predominant steppe-desert vegetation as recognized by previous studies in the same record. Furthermore, we derive wind directions from eolian dune deposits which suggest northwesterly winds, similar to the modern-day winter monsoon which is driven by a high pressure system developing over Siberia. We propose that the observed shifts at 40 Ma reflect the onset of the Siberian High interacting with westerly derived moisture at obliquity timescales and promoting dust storms and aridification in central China. The timing suggests that the onset may have been triggered by increased continentality due to the retreating proto-Paratethys Sea.","aridification; dust; loess; middle Eocene; Siberian High; winter monsoon","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:6a253a71-3c07-48cb-bb93-cdb12afa7886","http://resolver.tudelft.nl/uuid:6a253a71-3c07-48cb-bb93-cdb12afa7886","Micromechanics-based complex modulus prediction of crumb rubber modified bitumen considering interparticle interactions","Wang, H. (TU Delft Pavement Engineering; The Hong Kong Polytechnic University); Zhang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University); Leng, Zhen (The Hong Kong Polytechnic University)","","2021","Crumb rubber modified bitumen (CRMB) can be regarded as a binary composite system in which swollen rubber particles are embedded in the bitumen matrix. The current study aims to further improve the prediction accuracy of micromechanical models for CRMB by considering the interparticle interactions. To accomplish this goal, two different strategies were used. Firstly, the (n+1)-phase model was applied to the CRMB system by considering the multilayer properties of swollen rubber particles. Secondly, a new micromechanical scheme called the J-C model was used to account for the interparticle interaction issue. Results show that the (n+1)-phase models slightly increase the prediction accuracy but the underestimation of complex modulus at lower frequencies remains unsolved. The J-C model remedies the underestimation of modulus in the low-frequency range by other models and provides an overall improvement for the relative prediction accuracy by properly addressing the interparticle interactions from the perspective of particle configuration.","complex shear modulus; Crumb rubber modified bitumen; interparticle interaction; micromechanics; radial distribution function","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:dc8d11e3-3097-4396-a8de-a4abe41c6e33","http://resolver.tudelft.nl/uuid:dc8d11e3-3097-4396-a8de-a4abe41c6e33","Improving Annual Energy Production of Doubly-Fed Induction Generators","Wang, X. (TU Delft Transport Engineering and Logistics); Liu, Dong (Hohai University); Polinder, H. (TU Delft Transport Engineering and Logistics)","","2021","Doubly fed induction generator (DFIG) based wind turbines are most employed for onshore applications because of their cost-effectiveness. The drivetrain improvement is barely studied due to the maturity of the DFIG based systems. This paper investigates two methods for improving the annual energy production (AEP) of the DFIG based wind turbines. They are referred to as short-circuited and -Y-connected DFIGs. The origins of the AEP improvement are elaborated from the drivetrain perspective. The improvement is quantified by the aerodynamic model of the turbine and the steady-state model of the DFIG. The two methods are then compared when applied to six regions with different wind speed distributions. The AEP improvements at six regions are evaluated and compared to derive the feasibility of the methods for different locations.","aerodynamic model; annual energy production (AEP); Delta-Y connection; Doubly-fed induction generator (DFIG); short-circuited connection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-car Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-03","","","Transport Engineering and Logistics","","",""
"uuid:ccd741ed-c338-44a0-bff3-17208de8cc2e","http://resolver.tudelft.nl/uuid:ccd741ed-c338-44a0-bff3-17208de8cc2e","Short-circuit characteristics of superconducting permanent magnet generators for 10 MW wind turbines","Liu, Dong (Hohai University); Song, Xiaowei (Vestas Wind System A/S); Wang, X. (TU Delft Transport Engineering and Logistics); Elhindi, Mohamed (Hohai University); Hasanov, Urfan (Hohai University); Gou, Xiaofan (Hohai University); Ye, Changqing (Hohai University)","","2021","Superconducting permanent magnet generators (SCPMGs) are a potential candidate for 10 MW direct-drive wind turbine applications. This paper presents two 10 MW SCPMG designs using MgB<sub>2</sub> cables for the armature winding and investigates the short-circuit characteristics of the designed SCPMGs. The first part of the results shows that the SCPMGs can double the shear stress of a conventional low-speed permanent magnet (PM) generator (from 65 kPa to 130 kPa) whilst avoiding demagnetization of the PMs in rated-load operation. However, the power factor has to drop to a range of 0.7-0.8. The second part of the results shows that during a sudden three-phase short circuit, the superconducting armature winding is prone to quench and the PMs are likely to be demagnetized in both proposed designs.","Demagnetization; MgB2; permanent magnet; short circuit; superconducting generator; wind turbine","en","journal article","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:45b5c984-68b9-4799-af32-c182e74bd297","http://resolver.tudelft.nl/uuid:45b5c984-68b9-4799-af32-c182e74bd297","A Gravity Assist Mapping Based on Gaussian Process Regression","Liu, Y. (TU Delft Astrodynamics & Space Missions); Noomen, R. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Astrodynamics & Space Missions)","","2021","We develop a Gravity Assist Mapping to quantify the effects of a flyby in a two-dimensional circular restricted three-body situation based on Gaussian Process Regression (GPR). This work is inspired by the Keplerian Map and Flyby Map. The flyby is allowed to occur anywhere above 300 km altitude at the Earth in the system of Sun-(Earth+Moon)-spacecraft, whereas the Keplerian map is typically restricted to the cases outside the Hill sphere only. The performance of the GPR model and the influence of training samples (number and distribution) on the quality of the prediction of post-flyby orbital states are investigated. The information provided by this training set is used to optimize the hyper-parameters in the GPR model. The trained model can make predictions of the post-flyby state of an object with an arbitrary initial condition and is demonstrated to be efficient and accurate when evaluated against the results of numerical integration. The method can be attractive for space mission design.","Circular restricted three-body problem; Gaussian process regression; Gravity assist","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:a3fd79db-b382-42bf-9817-f327f69d581e","http://resolver.tudelft.nl/uuid:a3fd79db-b382-42bf-9817-f327f69d581e","Effect of recycling agents on rheological properties of epoxy bitumen","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Naus, Robbert (Dura Vermeer Infra Participaties); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2021","The rejuvenation of epoxy modified bituminous binders is a topic of much debate because such binders may not be able to melt at high temperatures and restore their properties using recycling agents. This paper presents the results of an experimental program developed to evaluate the re-usability of an epoxy modified bitumen, or epoxy bitumen, by using three recycling agents: an aromatic-based agent, an aliphatic-based agent, and a soft binder. The impact of these agents on the response of aged binders was assessed by performing analyses in a Fourier Transformed Infrared spectroscopy and a Dynamic Shear Rheometer. Results indicated that diluting the epoxy binder with a base bitumen can be a feasible method for producing aging resistant binders of long curing time lengths. The most severely oxidized samples were blended with the recycling agents, and the aromatic agent has been proved as the most effective to recover the rheology of aged binders. Significant potential to recover the relaxation characteristics of epoxy bitumen has been demonstrated by using the soft binder. As a result, the epoxy bitumen has shown a similar ‘rejuvenation’ attribute with that of a conventional bitumen, and thus, it might be re-utilized through the standard asphalt recycling processes.","Bitumen; epoxy asphalt; curing; aging; recycling","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a085f70c-92a5-49cc-956e-a945a2c7f6c4","http://resolver.tudelft.nl/uuid:a085f70c-92a5-49cc-956e-a945a2c7f6c4","Investigating the High- And Low-Temperature Performance of Warm Crumb Rubber-Modified Bituminous Binders Using Rheological Tests","Wang, H. (TU Delft Pavement Engineering; The Hong Kong Polytechnic University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Wang, Di (Technical University of Braunschweig); Leng, Zhen (The Hong Kong Polytechnic University); Lu, Guoyang (The Hong Kong Polytechnic University); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2021","Rubberized asphaltic materials have been frequently combined with warm-mix asphalt technologies to tackle the issues of high energy consumptions and emissions during construction. Effective and accurate characterization of binder properties is conducive to the improvement of long-term pavement performance. The current study aims to quantify the effects of rubber content and warm-mix additives on rutting and thermal cracking performance of crumb rubber-modified bitumen (CRMB), and explore the rubber and additives modification mechanisms and their impacts on the binder performance. CRMBs containing different rubber contents and warm-mix additives after long-term aging were subject to multiple stress creep and recovery (MSCR) tests and low-temperature frequency sweep tests using a dynamic shear rheometer (DSR) with 4-mm loading plate to investigate the high- and low-temperature performance, respectively. Rheological tests were also conducted on the bitumen and rubber phases of CRMB to understand the rubber modification mechanism. Results show that CRMB binders have superior rutting and thermal cracking resistance due to rubber modification. The improvement of high- or low-temperature performance is more prominent at higher rubber concentrations. The effects of warm-mix additives on the rutting and thermal cracking performance are different. Generally, the wax-based additive improves the rutting resistance but negatively affects the low-temperature performance. In contrast, the chemical-based additive has an opposite effect except for the high-temperature performance of neat bitumen. The stiffening of the bitumen phase and the contribution of swollen rubber particles in the bitumen matrix together contribute to the peculiar viscoelastic response of CRMB, i.e., stiffer/softer and more elastic at high/low temperatures. This modification mechanism explains the superior rutting and thermal cracking performance of CRMB.","Bitumen; Crumb rubber; Rheology; Rutting; Thermal cracking; Warm-mix additives","en","journal article","","","","","","Accepted Author Manuscript","","","","","Pavement Engineering","","",""
"uuid:662aa022-5eb9-43d6-8f70-ae4d52a0310b","http://resolver.tudelft.nl/uuid:662aa022-5eb9-43d6-8f70-ae4d52a0310b","A Contribution to the Development of High-Voltage dc Circuit Breaker Technologies: A Review of New Considerations","Liu, Zhou (Aalborg University); Mirhosseini, S.S. (TU Delft Intelligent Electrical Power Grids); Liu, L. (External organisation); Popov, M. (TU Delft Intelligent Electrical Power Grids; TU Delft Electrical Engineering Education); Ma, Kaiqi (Aalborg University); Hu, Weihao (University of Electronic Science and Technology of China); Jamali, Sadegh (Iran University of Science and Technology); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Chen, Zhe (Aalborg University)","","2022","To promote the integration of renewable energy resources into modern energy systems, high-voltage dc (HVdc) and circuit breaker (CB) technologies have become critical to achieving secure and efficient energy transmission. This article reviews the technical development of the related areas, compares diverse breaker concepts and topologies, investigates possible coordination and testing solutions, and points out the remaining challenges as well as future needs. The time-domain simulation and comparative analysis are adopted in this article to analyze and compare the performances of different HVdc CBs. By making use of different selectivity levels of multiterminal HVdc (MTdc) grids, the suitable planning and placement of HVdc CBs can be conducted. Furthermore, by providing insights into the performance of HVdc CBs, the work presented in this article can serve as a useful asset for the upcoming standardization and industrial application process of HVdc grid and CB design and testing.","Circuit breakers; Fault currents; HVDC transmission; Inductors; Rectifiers; Renewable energy sources; Testing","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:5ade4a31-f6ff-4685-ad20-1a599f2ac667","http://resolver.tudelft.nl/uuid:5ade4a31-f6ff-4685-ad20-1a599f2ac667","Optimal Estimate of Global Biome: Specific Parameter Settings to Reconstruct NDVI Time Series with the Harmonic ANalysis of Time Series (HANTS) Method","Zhou, J. (TU Delft Optical and Laser Remote Sensing; Central China Normal University); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Liu, Xuan (Central China Normal University)","","2021","Terrestrial remote sensing data products retrieved from radiometric measurements in the optical and thermal infrared spectrum such as vegetation spectral indices can be heavily contaminated by atmospheric conditions, including cloud and aerosol layers. This contamination results in gaps or noisy observations. The harmonic analysis of time series (HANTS) has been widely used for time series reconstruction of remote sensing imagery in recent decades. To use HANTS model, a series of parameters, such as number of frequencies (NF), fitting error tolerance (FET), degree of over-determinedness (DoD), and regularization factor (Delta), need to be defined by users. These parameters provide flexibilities, but also make it difficult for non-expert users to determine appropriate settings for specific applications. This study systematically evaluated the reconstruction performance of the model under different parameter setting scenarios by simulating pixel-wise reference and noisy NDVI time series. The results of these numerical experiments were further used to identify optimal settings and improve global NDVI reconstruction performance. The results suggested optimal settings for different areas (local optimization). If a user opts to use unique settings for global reconstruction, the setting NF = 4, FET = 0.05, DoD = 5, and Delta = 0.5 can produce the best performance across all setting scenarios (global optimization). In addition, several internal improvements, such as dynamic weighting scheme, polynomial and inter-annual harmonic components, and ancillary attributes of input data can be used to further improve the performance of reconstruction. With these results, future non-expert users can easily determine appropriate settings of HANTS for specific applications in different regions.","NDVI; HANTS; harmonic analysis; gap-filling; time series","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:728f5be2-4dc5-4857-b6e1-ba81c5366bee","http://resolver.tudelft.nl/uuid:728f5be2-4dc5-4857-b6e1-ba81c5366bee","Maximizing Thermal Energy Recovery from Drinking Water for Cooling Purpose","Ahmad, J.I. (TU Delft Sanitary Engineering; National University of Science and Technology (NUST)); Giorgi, Sara (Waternet); Zlatanovic, L. (TU Delft Sanitary Engineering; PWN Drinking Water Supply Company; Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet; PWN Drinking Water Supply Company)","","2021","Drinking water distribution networks (DWDNs) have a huge potential for cold thermal energy recovery (TED). TED can provide cooling for buildings and spaces with high cooling requirements as an alternative for traditional cooling, reduce usage of electricity or fossil fuel, and thus TED helps reduce greenhouse gas (GHG) emissions. There is no research on the environmental assessment of TED systems, and no standards are available for the maximum temperature limit (Tmax) after recovery of cold. During cold recovery, the water temperature increases, and water at the customer’s tap may be warmer as a result. Previous research showed that increasing Tmax up to 30 °C is safe in terms of microbiological risks. The present research was carried out to determine what raising Tmax would entail in terms of energy savings, GHG emission reduction and water temperature dynamics during transport. For this purpose, a full-scale TED system in Amsterdam was used as a benchmark, where Tmax is currently set at 15 °C. Tmax was theoretically set at 20, 25 and 30 °C to calculate energy savings and CO2 emission reduction and for water temperature modeling during transport after cold recovery. Results showed that by raising Tmax from the current 15 °C to 20, 25 and 30 °C, the retrievable cooling energy and GHG emission reduction could be increased by 250, 425 and 600%, respectively. The drinking water temperature model predicted that within a distance of 4 km after TED, water temperature resembles that of the surrounding subsurface soil. Hence, a higher Tmax will substantially increase the TED potential of DWDN while keeping the same comfort level at the customer’s tap.","energy transition; cold recovery; cooling; carbon footprints reduction; drinking water distribution networks; greenhouse gas emissions","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:554d4633-aa14-4179-9098-62a7f41585ba","http://resolver.tudelft.nl/uuid:554d4633-aa14-4179-9098-62a7f41585ba","Fostering Ambidextrous Innovation in Infrastructure Projects: Differentiation and Integration Tactics of Cross-Functional Teams","Zhang, Xinyue (Tongji University); Le, Yun (Tongji University); Liu, Y. (TU Delft Integral Design & Management); Liu, Mingqiang (Tenth People's Hospital Affiliated Tongji Univ. and Shanghai Tenth People's Hospital)","","2021","In infrastructure project practice, balancing and maximizing the combined effect of exploratory and exploitative innovation have attracted increasing attention, but it is still unclear how to foster ambidextrous innovation in infrastructure projects. To address this gap, we draw on the differentiation-integration framework of ambidexterity theory to deconstruct tactics for fostering ambidextrous innovation in infrastructure projects. A total of 313 observations were collected from infrastructure under construction, and the path hypotheses were tested by hierarchical regression. The findings suggest that in infrastructure projects, task conflict and expertise integration of diverse cross-functional teams provide powerful and complementary tactics for fostering ambidextrous innovation. The moderating effect of team autonomy support on the impact of team diversity on differentiation and integration tactics presents three different results. This study not only enriches the literature on how to foster ambidextrous innovation in infrastructure projects but also expands the ambidexterity research at the cross-functional team level and in infrastructure project contexts.","Ambidextrous innovation; Cross-functional team; Expertise integration; Task conflict; Team autonomy support; Team diversity","en","journal article","","","","","","Accepted Author Manuscript","","","","","Integral Design & Management","","",""
"uuid:f4a57b84-255e-443b-9994-f37c7c179d4f","http://resolver.tudelft.nl/uuid:f4a57b84-255e-443b-9994-f37c7c179d4f","It Is Me, Chatbot: Working to Address the COVID-19 Outbreak-Related Mental Health Issues in China. User Experience, Satisfaction, and Influencing Factors","Zhu, Yonghan (Chongqing University); Janssen, M.F.W.H.A. (TU Delft Information and Communication Technology); Wang, Rui (Chongqing University); Liu, Yang (Chongqing University)","","2021","The global spread of COVID-19 has caused a huge number of confirmed cases and deaths, which in return leads to a plethora of mental disorders across the world. In order to address citizens’ psychological problems, government agencies in many countries have employed AI-based chatbots to provide mental health services. However, there is a limited understanding of the determinants affecting citizens’ user experience and user satisfaction when mental health services supported by chatbots are provided. Thus, based on the Theory of Consumption Values (TCV), this study proposes an analytical framework to investigate the factors that are important to citizens’ user experience and user satisfaction when they interact with mental health chatbots. Analysis of data collected from 295 chatbot users in Wuhan and Chongqing reveals that personalization, enjoyment, learning, and condition are positively related to user experience and user satisfaction. However, voice interaction fails to devote to citizens’ user experience and user satisfaction. Thus, government agencies and their AI service contractors should enhance the functions and systems of mental health chatbots to ensure citizens’ user experience and user satisfaction. Also, they should more positively promote the use of mental health chatbots during the public health emergency.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-01","","","Information and Communication Technology","","",""
"uuid:b6078b2b-e080-487c-993c-7bc4587323f9","http://resolver.tudelft.nl/uuid:b6078b2b-e080-487c-993c-7bc4587323f9","Cyber-Physical System Security of Distribution Systems","Liu, Chen-Ching (Virginia Tech); Bedoya, Juan C. (Virginia Tech); Sahani, Nitasha (Virginia Tech); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Appiah-Kubi, Jennifer (Virginia Tech); Sun, Chih Che (Washington State University Pullman); Lee, Jin Young (Washington State University Pullman); Zhu, Ruoxi (Virginia Tech)","","2021","The Information and Communications Technology (ICT) for control and monitoring of power systems is a layer on top of the physical power system infrastructure. The cyber system and physical power system components form a tightly coupled Cyber-Physical System (CPS). Sources of vulnerabilities arise from the computing and communication systems of the cyber-power grid. Cyber intrusions targeting the power grid are serious threats to the reliability of electricity supply that is critical to society and the economy. In a typical Information Technology environment, numerous attack scenarios have shown how unauthorized users can access and manipulate protected information from a network domain. The need for cyber security has led to industry standards that power grids must meet to ensure that the monitoring, operation, and control functions are not disrupted by cyber intrusions. Cyber security technologies such as encryption and authentication have been deployed on the CPS. Intrusion or anomaly detection and mitigation tools developed for power grids are emerging. This survey paper provides the basic concepts of cyber vulnerabilities of distribution systems and CPS security. The important ICT subjects for distribution systems covered in this paper include Supervisory Control And Data Acquisition, Distributed Energy Resources, including renewable energy and smart meters.","Cyber Security; Distribution Systems; Power Grids","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Intelligent Electrical Power Grids","","",""
"uuid:c1053df6-a596-4e7d-adab-b2d5ffc93c38","http://resolver.tudelft.nl/uuid:c1053df6-a596-4e7d-adab-b2d5ffc93c38","An Output Bandwidth Optimized 200-Gb/s PAM-4 100-Gb/s NRZ Transmitter With 5-Tap FFE in 28-nm CMOS","Wang, Zhongkai (University of California); Choi, Minsoo (Samsung Semiconductor); Lee, Kyoungtae (University of California); Park, Kwanseo (University of California); Liu, Zhaokai (University of California); Biswas, Ayan (University of California); Han, Jaeduk (Hanyang University); Du, S. (TU Delft Electronic Instrumentation); Alon, Elad (University of California)","","2022","This article presents a 200-Gb/s pulse amplitude-modulation four-level (PAM-4) and 100-Gb/s non-return-to-zero (NRZ) transmitter (TX) in 28-nm CMOS technology. To achieve the target data rate, the output bandwidth and swing of the proposed TX are optimized by minimizing the output capacitance of the 4:1 multiplexer (MUX) and driver stage with pull-up current sources and adopting a fully reconfigurable 5-tap feed-forward equalizer (FFE). The key circuit includes a segmented 8:4 MUX and 4:1 MUX/driver, a thermal encoder and retimer, and a flexible clock distribution network. Using the layout generated with Berkeley Analog Generator (BAG), the proposed TX achieves an eye opening with >52.9-mV eye height, 0.36 UI eye width, >98% RLM, and 4.63 pJ/b at 200-Gb/s PAM-4 signaling under >6-dB channel loss at 50 GHz, demonstrating the highest data rate achieved using a planar process.","28 nm; 4:1 multiplexer (MUX); Berkeley Analog Generator (BAG); clock distribution; four-level pulse-amplitude modulation (PAM-4); pulse generator; quarter-rate; SerDes; transmitter (TX); wireline","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:4454ffd9-f43a-430f-838e-5e0597b940ca","http://resolver.tudelft.nl/uuid:4454ffd9-f43a-430f-838e-5e0597b940ca","The continuous swelling-degradation behaviors and chemo-rheological properties of waste crumb rubber modified bitumen considering the effect of rubber size","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); Fan, W. (China University of Petroleum (East China)); Erkens, S. (TU Delft Pavement Engineering)","","2021","The chemo-rheological properties of crumb rubber modified bitumen are always unstable due to the mutable and uncontrollable swelling-degradation degree of crumb rubber in bitumen matrix. The study aimed at exploring the continuous swelling and degradation behaviors of crumb rubber modified bitumen (CRMB) considering the influence of rubber size through monitoring the dynamic viscosity changes of CRMB binders. Moreover, the synergistic effects of swelling-degradation degree and rubber size on the chemical and rheological properties of CRMB were investigated. The results revealed that the rubber size significantly influenced the swelling and degradation behaviors of CRMB. The reduction of rubber size shortened the equilibrium swelling and degra-dation time, while increased the related viscosity dramatically. Moreover, during the degradation process, the decrease of rubber size could accelerate the continuous swelling rate, increase the maximum viscosity and reduce the continuous swelling time of CRMB. Meanwhile, the high swelling degree and large rubber size were bene-ficial to enhance the high temperature properties, while the CRMB binder with high degradation degree showed the better low-temperature property, workability and wider Newtonian flow region. Furthermore, the degra-dation degree promoted the formation of free hydroxide groups, aldehydes, carboxylic acids and esters, while the swelling process increased the average molecular weight of whole liquid phase in CRMB binder. The outputs from this fundamental study are beneficial to provide the guidance to preparation conditions optimization of CRMB binders with different viscous property standards","Crumb rubber modified bitumen; Swelling; Degradation; Rubber size; Rheology; Chemical characterization","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:5030bb0a-37c8-480a-953b-046488d8d1d8","http://resolver.tudelft.nl/uuid:5030bb0a-37c8-480a-953b-046488d8d1d8","'Blue Route' for combating climate change","Liu, Gang (Chinese Academy of Sciences); Qu, Jiuhui (Chinese Academy of Sciences); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2021","","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:76a618d2-2b68-4195-adf5-f6d289dfb35d","http://resolver.tudelft.nl/uuid:76a618d2-2b68-4195-adf5-f6d289dfb35d","Comparative Assessment of Methods for Coupling Regional and Local Groundwater Flow Models: A Case Study in the Beijing Plain, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University of Geosciences); Xie, Mingzhao (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu Sheng (China University of Geosciences)","","2021","A coupled regional and local model is required when groundwater flow and solute transport are to be simulated in local areas of interest with a finer grid while regional aquifer boundary and major stresses should be retained with a coarser grid. The coupled model should also maintain interactions between the regional and local flow systems. In the Beijing Plain (China), assessment of managed aquifer recharge (MAR), groundwater pollution caused by rivers, capture zone of well fields, and land subsidence at the cone of depression requires a coupled regional and local model. This study evaluates three methods for coupling regional and local flow models for simulating MAR in the Chaobai River catchment in the Beijing Plain. These methods are the conventional grid refinement (CGR) method, the local grid refinement (LGR) method and the unstructured grid (USG) method. The assessment included the comparison of the complexity of the coupled model construction, the goodness of fit of the computed and observed groundwater heads, the consistency of regional and local groundwater budgets, and the capture zone of a well filed influenced by the MAR site. The results indicated that the CGR method based on MODFLOW-2005 is the easiest to implement the coupled model, capable of reproducing regional and local groundwater heads and budget, and already coupled with density and viscosity dependent model codes for transport simulation. However, the CGR method inherits shortcomings of finite difference grids to create multiple local models with inefficient computing efforts. The USG method based on MODFLOW-USG has the advantage of creating multi-scale models and is flexible to simulate rivers, wells, irregular boundaries, heterogeneities and the MAR site. However, it is more difficult to construct the coupled models with the unstructured grids, therefore, a good graphic user interface is necessary for efficient model construction. The LGR method based on MODFLOW-LGR can be used to create multiple local models in uniform aquifer systems. So far, little effort has been devoted to upgrade the LGR method for complex aquifer structures and develop the coupled transport models.","Grid refinement; Groundwater modeling; Multi-scale model","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:69060e4e-c4a2-4b7c-b253-345b87746ff2","http://resolver.tudelft.nl/uuid:69060e4e-c4a2-4b7c-b253-345b87746ff2","An optimal control approach of integrating traffic signals and cooperative vehicle trajectories at intersections","Liu, M. (TU Delft Transport and Planning); Zhao, J. (University of Shanghai for Science and Technology); Hoogendoorn, S.P. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning)","","2021","An integrated approach for optimising traffic signals and cooperative vehicle trajectories at urban intersections is proposed. The upper layer determines the optimal signals using enumeration and the lower layer optimises trajectories under each feasible signal plan. In the lower layer, platoon accelerations are optimised considering comfort and delay while satisfying motion constraints and safe requirements. The red phase is enforced as a logic constraint, which restricts vehicles to stay behind the stop-line. Typical platoon manoeuvres such as split and approach can be included in the lower layer. The integrated control approach is adaptive to traffic demands and flexible in incorporating different traffic movements during multiple signal phases. The controller performance is verified by simulation of three designed scenarios. The comparison with trajectory optimization and signal optimization demonstrates the advantages on throughput, fuel economy, delay and vehicle stops, and reveals insights into the optimal patterns on signals and trajectories.","cooperative vehicles; optimal control; signalised intersections; Trajectory planning","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:9f1b795c-0c84-4086-9aa3-8af7da21e431","http://resolver.tudelft.nl/uuid:9f1b795c-0c84-4086-9aa3-8af7da21e431","Near-surface diffractor detection at archaeological sites based on an interferometric workflow","Liu, J. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics); Bourgeois, Q. (Universiteit Leiden)","","2021","Detecting small-size objects is a primary challenge at archaeological sites due to the high degree of heterogeneity present in the near surface. Although high-resolution reflection seismic imaging often delivers the target resolution of the subsurface in different near-surface settings, the standard processing for obtaining an image of the subsurface is not suitable to map local diffractors. This happens because shallow seismic-reflection data are often dominated by strong surface waves that might cover weaker diffractions and because traditional common-midpoint moveout corrections are only optimal for reflection events. We propose an approach for imaging subsurface objects using masked diffractions. These masked diffractions are first revealed by a combination of seismic interferometry and nonstationary adaptive subtraction, and then further enhanced through crosscoherence-based supervirtual interferometry. A diffraction image is then computed by a spatial summation of the revealed diffractions. We use the phase-weighted stack to enhance the coherent summation of weak diffraction signals. Using synthetic data, we show that our scheme is robust in locating diffractors from data dominated by strong Love waves. We test our method on field data acquired at an archaeological site. The resulting distribution of shallow diffractors agrees with the location of anomalous objects identified in the VS model obtained by elastic SH/Love full-waveform inversion using the same field data. The anomalous objects correspond to the position of a suspected burial, also detected in an independent magnetic survey and corings.","adaptive subtraction; archaeology; diffraction; interferometry; near surface","en","journal article","","","","","","Accepted Author Manuscript","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:bf953287-d14a-4b94-8c91-6c930dfc1ac3","http://resolver.tudelft.nl/uuid:bf953287-d14a-4b94-8c91-6c930dfc1ac3","Accelerating Building Energy Retrofitting with BIM-Enabled BREEAM-NL Assessment","Simhachalam, V. (Student TU Delft); Wang, T. (TU Delft Design & Construction Management); Liu, Y. (TU Delft Integral Design & Management); Wamelink, J.W.F. (TU Delft Design & Construction Management); Montenegro, Lorena (Deerns Consulting Engineers); Van Gorp, Geert (Deerns Consulting Engineers)","","2021","The Paris Agreement requires building retrofitting practices to be more efficient and effective. However, the current practice for building energy retrofitting is lacking behind, and one reason for that is the time-consuming process of energy credit evaluation. Energy performance assessment such as BREEAM-NL in the Netherlands could apply a more automatic approach with the help of building information modelling (BIM) for an efficient building energy retrofitting evaluation process. However, to what extent BIM can help in accelerating energy performance evaluation in the BREEAM-NL certification process is under-examined. This paper first combines literature findings with practical interviews from a case study organization to present a holistic overview of the potential for automating energy-related credits evaluation in BREEAM-NL using BIM. To understand the possible impacts of such transition, a responsible, accountable, consulted, and informed (RACI) matrix is developed to map the impacts on different actors involved. Furthermore, to help practitioners in an organizational context to adopt a BIM-enabled energy credits assessment workflow, the case study organization is studied to (1) understand their current BIM use status; (2) propose a suitable starting point to take toward a BIM-enabled energy performance assessment for building energy retrofitting. Finally, the proposed starting point is demonstrated using a customized application, and the project team’s feedback is used to verify its efficiency and future directions are identified.","BREEAM‐NL; Building energy retrofitting; Building information modelling (BIM); Energy performance evaluation; Energy transition; RACI matrix","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:b34ab1cd-5302-46e0-ab30-b3ec4fee9a24","http://resolver.tudelft.nl/uuid:b34ab1cd-5302-46e0-ab30-b3ec4fee9a24","Event-Triggered Adaptive Fault-Tolerant Synchronization Tracking Control for Multiple 6-DOF Fixed-Wing UAVs","Zhang, Boyang (Air Force Engineering University China); Sun, Xiuxia (Air Force Engineering University China); Liu, Shuguang (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Deng, Xiongfeng (Anhui Polytechnic University)","","2022","In contrast with most existing results concerning unmanned aerial vehicles (UAVs) wherein two-degree or only attitude/longitudinal dynamics are considered, this article proposes an event-triggered cooperative synchronization fault-tolerant control (FTC) methodology for multiple fixed-wing UAVs whose dynamics are six-degree-of-freedom (DOF) with twelf-state-variables subject to actuator faults, modeling uncertainties, and external disturbances. More precisely, an event-triggering mechanism is devised to determine the time instants of updating control signals, which reduces the signal transmission burden, while saving on system resources. The Zeno phenomenon is excluded in the sense of guaranteeing that the time between two consecutive switchings is lower bounded by a positive constant. The actuator faults as well as the network induced errors are handled via the bound estimation approach and some well-defined smooth functions. By strict Lyapunov arguments, all closed-loop signals are proved to be semi-globally uniformly ultimately bounded (SGUUB) and the synchronization tracking errors of speed and attitude converge to a residual set around origin whose size can be made arbitrarily small through selecting appropriate design parameters.","Actuators; Aerodynamics; Angular velocity; Attitude control; Nonlinear dynamical systems; Synchronization; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:01f05f43-1db1-4c7e-a625-fc20e3469e45","http://resolver.tudelft.nl/uuid:01f05f43-1db1-4c7e-a625-fc20e3469e45","A network approach to prioritize conservation efforts for migratory birds","Xu, Yanjie (Wageningen University & Research; Tsinghua University); Si, Yali (Tsinghua University; Wageningen University & Research); Takekawa, John (Suisun Resource Conservation District); Liu, Q. (TU Delft Network Architectures and Services); Prins, Herbert H. T. (Wageningen University & Research); Yin, Shenglai (Wageningen University & Research); Prosser, Diann J. (U.S. Geological Survey Center); Gong, Peng (Tsinghua University); de Boer, Willem F. (Wageningen University & Research)","","2019","Habitat loss can trigger migration network collapse by isolating migratory bird breeding grounds from nonbreeding grounds. Theoretically, habitat loss can have vastly different impacts depending on the site's importance within the migratory corridor. However, migration‐network connectivity and the impacts of site loss are not completely understood. We used GPS tracking data on 4 bird species in the Asian flyways to construct migration networks and proposed a framework for assessing network connectivity for migratory species. We used a node‐removal process to identify stopover sites with the highest impact on connectivity. In general, migration networks with fewer stopover sites were more vulnerable to habitat loss. Node removal in order from the highest to lowest degree of habitat loss yielded an increase of network resistance similar to random removal. In contrast, resistance increased more rapidly when removing nodes in order from the highest to lowest betweenness value (quantified by the number of shortest paths passing through the specific node). We quantified the risk of migration network collapse and identified crucial sites by first selecting sites with large contributions to network connectivity and then identifying which of those sites were likely to be removed from the network (i.e., sites with habitat loss). Among these crucial sites, 42% were not designated as protected areas. Setting priorities for site protection should account for a site's position in the migration network, rather than only site‐specific characteristics. Our framework for assessing migration‐network connectivity enables site prioritization for conservation of migratory species.","bird migration; conectividad; connectivity; conservation designation; designación de conservación; habitat loss; migración de aves; network; pérdida de hábitat; redes","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:badd95ff-737b-4931-ba1a-b22c3da585c4","http://resolver.tudelft.nl/uuid:badd95ff-737b-4931-ba1a-b22c3da585c4","Pulse strategy for suppressing spreading on networks","Liu, Q. (TU Delft Network Architectures and Services); Zhou, Xiaoyu (Student TU Delft); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2019","In previous modelling efforts to understand the spreading process on networks, each node can infect its neighbors and cure spontaneously, and the curing is traditionally assumed to occur uniformly over time. This traditional curing is not optimal in terms of the trade-off between the effectiveness and cost. A pulse immunization/curing strategy is more efficient and broadly applied to suppress the spreading process. We analyze the pulse curing strategy on networks with the Susceptible-Infected (SI) process. We analytically compute the mean-field epidemic threshold $\tau_c^{p}$ of the pulse SI model and show that $\tau_c^{p}=\frac{1}{\lambda_1}\ln\frac{1}{1-p}$ , where $\lambda_1$ and p are the largest eigenvalue of the adjacency matrix of the contact graph and the fraction of nodes covered by each curing, respectively. These analytical results agree with simulations. Compared to the asynchronous curing process in the extensively studied Markovian SIS process, we show that the pulse curing strategy saves about 36.8%, i.e., $p\approx 0.632$ , of the number of curing operations invariant to the network structure. Our results may help policymakers to design optimal containment strategies and minimize the controlling cost.","","en","journal article","","","","","","Accepted author manuscript","","2020-09-04","","","Network Architectures and Services","","",""
"uuid:703cf600-6af5-41a8-8c36-ee76a4805da1","http://resolver.tudelft.nl/uuid:703cf600-6af5-41a8-8c36-ee76a4805da1","Network localization is unalterable by infections in bursts","Liu, Q. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2018","To shed light on the disease localization phenomenon, we study a bursty susceptible-infected-susceptible (SIS) model and analyze the model under the mean-field approximation. In the bursty SIS model, the infected nodes infect all their neighbors periodically, and the near-threshold steady-state prevalence is non-constant and maximized by a factor equal to the largest eigenvalue λ1 of the adjacency matrix of the network. We show that the maximum near-threshold prevalence of the bursty SIS process on a localized network tends to zero even if λ1 diverges in the thermodynamic limit, which indicates that the burst of infection cannot turn a localized spreading into a delocalized spreading. Our result is evaluated both on synthetic and real networks.","Complex networks; localization; epidemic process; susceptible-infected-susceptible model","en","journal article","","","","","","Accepted author manuscript","","","","","Network Architectures and Services","","",""
"uuid:9c998486-a746-4e05-9acd-60ee75c05105","http://resolver.tudelft.nl/uuid:9c998486-a746-4e05-9acd-60ee75c05105","Entropy-Based Local Irregularity Detection for High-Speed Railway Catenaries With Frequent Inspections","Wang, H. (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2019","The condition-based maintenance of high-speed railway catenary is an important task to ensure the continuous availability of train power supply. To improve the condition monitoring of catenary, this paper presents a novel scheme to detect catenary local irregularities using pantograph head acceleration measurements. First, a series of experimental inspections is carried out in a section of the Beijing-Guangzhou high-speed line in China. The time intervals between the inspections are shortened from the traditional six months to about 40 days, which enables monitoring the short-term degradation of local irregularities. Then, based on the wavelet packet entropy, an approach is proposed to detect local irregularities with different scales in length. Criteria for identifying and verifying the local irregularities are established based on the gradient and repeatability of entropy from multiple measurements. Results from the experimental inspections show that different scales of local irregularities can be detected by the proposed scheme. By using frequent inspections, local irregularities can be effectively verified after about seven inspections. The spatial distribution of local irregularities is found to be closely related to the catenary structure. These findings provide valuable information to deploy the scheme for a railway network.","Catenary condition monitoring; high-speed railway; inspection interval; local irregularity; wavelet packet entropy.","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-06-04","","","Railway Engineering","","",""
"uuid:d9fbdf16-36be-4946-a372-9a7679a646c1","http://resolver.tudelft.nl/uuid:d9fbdf16-36be-4946-a372-9a7679a646c1","Optimal Platoon Trajectory Planning Approach at Arterials","Liu, M. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2019","Cooperative (automated) vehicles have the potential to enhance traffic efficiency and fuel economy on urban roads, especially at signalized intersections. An optimal control approach to optimize the trajectories of cooperative vehicles at fixed-timing signalized intersections along an arterial is presented. The proposed approach aims to optimize throughput first, and then to maximize comfort while minimizing travel delay and fuel consumption. The proposed approach is flexible in dealing with both quadratic and more complex cost functions. Assuming fixed timing signal control in a cycle and vehicle-to-infrastructure communication, the red phase is taken into account in position constraints for vehicles that cannot pass the intersection in the green phase. Safety is guaranteed by constraining the inter-vehicle distance larger than some desired value. The approach is scalable and can be used for joint trajectory planning of one platoon approaching another stationary platoon. It can also be extended to multiple intersections with fixed signal plans. To verify the performances of the controlled platoon, simulation under three different traffic scenarios is conducted, namely: an isolated intersection with/without downstream vehicle queues, and platoon control at multiple intersections. Three baseline scenarios without control are also designed to compare performances in relation to both mobility and fuel consumption in each controlled scenario. The results demonstrate that the controlled vehicles generate plausible behavior under control objectives and constraints. Moreover, the consideration of downstream vehicle queues and the application at both an isolated signalized intersection and arterial corridors on urban roads verify the flexible characteristics of the control framework.","","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:2174f296-5ada-47ae-bf35-953f4c3bb985","http://resolver.tudelft.nl/uuid:2174f296-5ada-47ae-bf35-953f4c3bb985","Response of Sandy Soil Stabilized by Polymer Additives","Xing, Chao (Harbin Institute of Technology); Liu, X. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering)","","2018","Traditional sandy soil stabilizers such as lime cement, fly ash and bituminous materials, etc., usually require long curing time. Hence now a day, polymer stabilizer is used more extensively because of its stable chemical property and shorter curing time. For the developing organization, it is important to judge the performance of stabilized soil during its developing stages only. This paper aims to highlight a quick and easy test to evaluate the mechanical performance of such polymer based stabilized soil. For this study, three different kinds of polymer stabilizers at developing stage were evaluated against a market ready product. The analysis of the test result include a comparison of the strength, moisture loss rate and strain energy under different curing time, polymer type, polymer additive amount and test conditions. This study shows that the strength of the stabilized sandy soil is significantly increased both under wet and dry conditions by using the polymer additives. With the procedure mentioned in the paper it was easier to identify the relative merits and demerits of each product.","Polymer Stabilizer; Sandy Soil; Unconfined Compressive Test; Permeability Test","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:8d4be5f9-037d-4bcb-ba74-da638938290a","http://resolver.tudelft.nl/uuid:8d4be5f9-037d-4bcb-ba74-da638938290a","Smart sensors in asphalt: monitoring key process parameters during and post construction","Miller, Seirgei (University of Twente); Chakraborty, Joyraj (University of Twente); van der Vegt, Jurian (University of Twente); Brinkerink, Daan (University of Twente); Erkens, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Anupam, K. (TU Delft Pavement Engineering); Sluer, Berwich (Royal Boskalis Westminster); Mohajeri, Mohamad (Royal Boskalis Westminster)","","2017","The Fibre Bragg Gratings (FBG) technology based on integrated photonics, offers specific benefits including thermal mapping, damage detection, shape- and distributed sensing. This makes it useful for determining pavement behaviour during extreme weather conditions e.g. freeze-thaw cycles when harsh winter conditions could damage the asphalt surfacing layer. However, the harsh construction environment and traffic loading highlights the high-risk challenge of installing the sensor into the asphalt layer in a noninvasive manner so that the key parameters are accurately measured during and after construction.","smart sensors; Fibre Bragg Gratings technology; asphalt construction process","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:176248af-3456-49a6-9aba-f5f93b0b3f59","http://resolver.tudelft.nl/uuid:176248af-3456-49a6-9aba-f5f93b0b3f59","Control the Crosslinking of Epoxy-asphalt via Induction Heating","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2019","Induction heating driven polymerisation is an in-situ curing technique for epoxy-asphalt systems that maintains most of the advantages of temperature-driven polymerisation and it solves the need for longer curing time periods at lower temperatures before traffic can be allowed. In particular, induction heating can be utilised to accelerate the polymerisation of paving mixes with a thermo-hardening nature. In this study, steel fibres are dispersed in a mix and during exposure to an alternating electro-magnetic field generated by induction coils, they are heated leading to the rapid initiation of epoxy-asphalt polymerisation. This research presents the implementation of a finite element model analysis for assessing the potential of utilising steel fibres for the development of inductive mixes with sufficient induction heating efficiency. A produced and compacted mix with steel fibres was reconstructed by means of CT scans on samples from the mix and its effective electrical conductivity was calculated. Also, the electro-magnetic induction-driven polymerisation of epoxy-asphalt is simulated by extending a model developed elsewhere. The extended method can be used to predict the evolution of the curing process, and further of mechanical properties thereby indicating that electro-magnetic induction represents a reliable polymerisation method to cure epoxy-asphalt systems.","epoxy-asphalt; finite element method; Induction heating; polymerisation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3cc590ec-fee7-4fec-9e4c-874b300b30b5","http://resolver.tudelft.nl/uuid:3cc590ec-fee7-4fec-9e4c-874b300b30b5","Quantitative Physiology of Non-Energy-Limited Retentostat Cultures of Saccharomyces cerevisiae at Near-Zero Specific Growth Rates","Liu, Y. (TU Delft OLD BT/Cell Systems Engineering); El Masoudi, Anissa (Student TU Delft); Pronk, J.T. (TU Delft BT/Biotechnologie); van Gulik, W.M. (TU Delft OLD BT/Cell Systems Engineering)","","2019","So far, the physiology of Saccharomyces cerevisiae at near-zero growth rates has been studied in retentostat cultures with a growth-limiting supply of the carbon and energy source. Despite its relevance in nature and industry, the near-zero growth physiology of S. cerevisiae under conditions where growth is limited by the supply of non-energy substrates remains largely unexplored. This study analyzes the physiology of S. cerevisiae in aerobic chemostat and retentostat cultures grown under either ammonium or phosphate limitation. To compensate for loss of extracellular nitrogen- or phosphorus-containing compounds, establishing near-zero growth rates (μ < 0.002 h-1) in these retentostats required addition of low concentrations of ammonium or phosphate to reservoir media. In chemostats as well as in retentostats, strongly reduced cellular contents of the growth-limiting element (nitrogen or phosphorus) and high accumulation levels of storage carbohydrates were observed. Even at near-zero growth rates, culture viability in non-energy-limited retentostats remained above 80% and ATP synthesis was still sufficient to maintain an adequate energy status and keep cells in a metabolically active state. Compared to similar glucose-limited retentostat cultures, the nitrogen- and phosphate-limited cultures showed aerobic fermentation and a partial uncoupling of catabolism and anabolism. The possibility to achieve stable, near-zero growth cultures of S. cerevisiae under nitrogen or phosphorus limitation offers interesting prospects for high-yield production of bio-based chemicals.IMPORTANCE The yeast Saccharomyces cerevisiae is a commonly used microbial host for production of various biochemical compounds. From a physiological perspective, biosynthesis of these compounds competes with biomass formation in terms of carbon and/or energy equivalents. Fermentation processes functioning at extremely low or near-zero growth rates would prevent loss of feedstock to biomass production. Establishing S. cerevisiae cultures in which growth is restricted by the limited supply of a non-energy substrate therefore could have a wide range of industrial applications but remains largely unexplored. In this work we accomplished near-zero growth of S. cerevisiae through limited supply of a non-energy nutrient, namely, the nitrogen or phosphorus source, and carried out a quantitative physiological study of the cells under these conditions. The possibility to achieve near-zero-growth S. cerevisiae cultures through limited supply of a non-energy nutrient may offer interesting prospects to develop novel fermentation processes for high-yield production of bio-based chemicals.","carbon excess; near-zero growth; non-energy limitation; retentostat; yeast physiology","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-15","","BT/Biotechnologie","OLD BT/Cell Systems Engineering","","",""
"uuid:5830390f-4c6a-435b-95a3-5d09b8c5f173","http://resolver.tudelft.nl/uuid:5830390f-4c6a-435b-95a3-5d09b8c5f173","Correlation Analysis and Verification of Railway Crossing Condition Monitoring","Liu, X. (TU Delft Railway Engineering); Markine, V.L. (TU Delft Railway Engineering)","","2019","This paper presents a correlation analysis of the structural dynamic responses and weather conditions of a railway crossing. Prior to that, the condition monitoring of the crossing as well as the indicators for crossing condition assessment are briefly introduced. In the correlation analysis, strong correlations are found between acceleration responses with irregular contact ratios and the fatigue area. The correlation results between the dynamic responses and weather variables indicate the influence of weather on the performance of the crossing, which is verified using a numerical vehicle-crossing model developed using the multi-body system (MBS) method. The combined correlation and simulation results also indicate degraded track conditions of the monitored crossing. In the condition monitoring of railway crossings, the findings of this study can be applied to data measurement simplification and regression, as well as to assessing the conditions of railway crossings.","Condition indicator; Condition monitoring; Correlation analysis; Numerical verification; Railway crossing; Weather impact","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:14007c3e-f4ce-41ef-a27c-db55083da8a0","http://resolver.tudelft.nl/uuid:14007c3e-f4ce-41ef-a27c-db55083da8a0","Rheological, fatigue and relaxation properties of aged bitumen","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Erkens, S. (TU Delft Pavement Engineering)","","2019","Bitumen ageing has a significant impact on the mechanical performance of asphalt concrete. This study utilises dynamic mechanical tests (frequency sweep, fatigue, and relaxation) to investigate the effect of ageing on the viscoelastic characteristics of bitumen. As ageing progressed, a shift of the Black diagrams curves towards lower phase angles was observed; at the same time the shape of the curves changed to a straight line and the curvature reduced. Interestingly, based on the standard fatigue analysis criteria, ageing appears to have a positive effect on bitumen fatigue life. Consequently, it occurs that studying only the stiffness and fatigue behaviour of bitumen cannot directly characterise ageing-induced degradation. The stress relaxation test was found to be more suitable to characterise ageing of bitumen. Aged bitumen had higher residual stresses and longer relaxation times. Hence, aged bitumen was found to be more susceptible to stress accumulation and thus cracking. A linear relationship was established between the crossover modulus and the stress relaxation ageing indices for the studied bitumen. This relationship suggests that crossover modulus has the potential to be used as a parameter to characterise the bitumen relaxation properties by means of routine tests such as the DSR frequency sweep tests.","ageing; Bitumen; black diagram; crossover modulus; fatigue; stress relaxation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:d75c9dad-a536-453d-81a6-4888a325f851","http://resolver.tudelft.nl/uuid:d75c9dad-a536-453d-81a6-4888a325f851","Characterization of an Acetone Detector based on a Suspended WO3-Gate AlGaN/GaN HEMT Integrated with Micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials; Chinese Research Institute); Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Iervolino, E. (USound Shenzhen Office); Santagata, F. (Shenzhen 3NOD Acousticlink Company,); Liu, Zewen (Tsinghua University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","A suspended AlGaN/GaN high electron mobility transistor (HEMT) sensor with a tungsten trioxide (WO 3 ) nanofilm modified gate was microfabricated and characterized for ppm-level acetone gas detection. The sensor featured a suspended circular membrane structure and an integrated microheater to select the optimum working temperature. High working temperature (300°C) increased the sensitivity to up to 25.7% and drain current change I DS to 0.31 mA for 1000-ppm acetone in dry air. The transient characteristics of the sensor exhibited stable operation and good repeatability at different temperatures. For 1000-ppm acetone concentration, the measured response and recovery times reduced from 148 and 656 to 48 and 320 s as the temperature increased from 210 °C to 300 °C. The sensitivity to 1000-ppm acetone gas was significantly greater than the sensitivity to ethanol, ammonia, and CO gases, showing low cross-sensitivity. These results demonstrate a promising step toward the realization of an acetone sensor based on the suspended AlGaN/GaN HEMTs.","Acetone sensor; AlGaN/GaN; WO₃; gas sensor; high electron mobility transistor (HEMT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:df861a98-49a6-456f-83d9-21dc970aae03","http://resolver.tudelft.nl/uuid:df861a98-49a6-456f-83d9-21dc970aae03","Assessment of ionospheric corrections for PPP-RTK using regional ionosphere modelling","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, X. (Fugro); Memarzadeh, Y. (Fugro); Visser, H. (Fugro)","","2019","This paper presents an analysis of the ionospheric corrections required to get a significant improvement in PPP-RTK performance. The main aim was to determine the improvement in the position precision and Time-To-First-Fix in the PPP-RTK user side using ionospheric corrections computed from a network. The study consists of two main steps. The first one includes an empirical investigation of the ionosphere model precision necessary to greatly improve the PPP-RTK performance in a simulated environment in terms of precision and convergence time. In the second one, an optimal ionosphere representation was developed to provide precise ionospheric corrections by parameterizing the ionospheric slant delays after the PPP-RTK network processing in terms of ionosphere model coefficients and differential code biases using real GNSS measurements. Experimental results demonstrate that the proposed methodology can be used for reliable regional ionosphere modeling and satellite code bias estimation, due to the consistency of the satellite code bias estimates with those provided from the International GNSS Service Analysis Centres, the high stability of the estimated receiver and satellite code biases and the low least-squares residuals of the network-based ionosphere modeling solution. Finally, it has been shown that the precision of ionospheric corrections at zenith needs to be better than 5 cm to enable faster PPP-RTK solutions.","integer ambiguity resolution; ionospheric corrections; PPP-RTK; regional ionosphere modeling; success rate; time-to-frst-fx","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:3f0434da-6d5d-4a5e-9da9-8cd53d114b96","http://resolver.tudelft.nl/uuid:3f0434da-6d5d-4a5e-9da9-8cd53d114b96","Cross-Comparison and Calibration of Two Microscopic Traffic Simulation Models for Complex Freeway Corridors with Dedicated Lanes","Kan, Xingan (University of California); Xiao, L. (TU Delft Transport and Planning); Liu, Hao (Institute of Transportation Studies); Wang, M. (TU Delft Transport and Planning); Schakel, W.J. (TU Delft Transport and Planning); Lu, Xiao-Yun (Institute of Transportation Studies); van Arem, B. (TU Delft Transport and Planning); Shladover, SE (Institute of Transportation Studies); Ferlis, Robert A. (Federal Highway Administration)","","2019","Realistic microscopic traffic simulation is essential for prospective evaluation of the potential impacts of new traffic control strategies. Freeway corridors with interacting bottlenecks and dedicated lanes generate complex traffic flow phenomena and congestion patterns, which are difficult to reproduce with existing microscopic simulation models. This paper discusses two alternative driving behavior models that are capable of modeling freeways with multiple bottlenecks and dedicated lanes over an extended period with varying demand levels. The models have been calibrated using archived data from a complicated 13-mile long section of the northbound SR99 freeway near Sacramento, California, for an 8-hour time period in which the traffic fluctuated from free-flow to congested conditions. The corridor includes multiple bottlenecks, multiple entry and exit ramps, and an HOV lane. Calibration results show extremely good agreement between field data and model predictions. The models have been cross-validated and produced similar macroscopic traffic performance. The main behavior that should be captured for successful modeling of such a complex corridor includes the anticipative and cooperative driver behavior near merges, lane preference in presence of dedicated lanes, and variations in desired headway along the corridor.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:d12c4d37-f3b1-4d2d-bc3a-ccbf3babda15","http://resolver.tudelft.nl/uuid:d12c4d37-f3b1-4d2d-bc3a-ccbf3babda15","Numerical Investigation of Configurations with Optimum Swirl Recovery for Propeller Propulsion Systems","Li, Q. (TU Delft Flight Performance and Propulsion); Liu, Xinyuan (Student TU Delft); Eitelberg, G. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion)","","2019","This paper addresses the design of swirl recovery vanes for propeller propulsion in tractor configuration at cruise conditions using numerical tools.Amultifidelity optimization framework is formulated for the design purpose, which exploits low-fidelity potential flow-based analysis results as input for high-fidelity Euler equation-based simulations. Furthermore, a model alignment procedure between low- and high-fidelity models is established based on a shapepreserving response prediction algorithm. Two cases of swirl recovery are examined. The first is the swirl recovery by the trailing wing, which leads to a reduction of the lift-induced drag. This is achieved by the optimization of the wing twist distribution. The second case is swirl recovery by a set of stationary vanes, which leads to production of additional thrust. In the latter case, four configurations are evaluated by locating the vanes at different azimuthal and axial positions relative to the wing. An optimum configuration is identified where the vanes are positioned on the blade-downgoing side downstream of the wing. For the configuration and conditions examined, the wing twist optimization reduces the induced drag by 3.9 counts (5.9% of wing-induced drag), whereas the optimized 4-bladed SRVs lead to an induced-drag reduction of 6.1 counts (9.2% of wing-induced drag).","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-11","","","Flight Performance and Propulsion","","",""
"uuid:588e8558-e981-4756-94c3-d76924e28232","http://resolver.tudelft.nl/uuid:588e8558-e981-4756-94c3-d76924e28232","Kinetic Viscoelasticity of Crosslinking Epoxy Asphalt","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2019","Epoxy modification of asphalt binders has been recognized as a very effective technology to alter the chemistry of asphaltic materials in such a way that long-lasting pavement structures can be designed. However, the phenomena that are involved to build up the physico-mechanical properties of epoxy asphalt systems are still unknown. The focus of this paper is on understanding the link between chemistry and the mechanical properties of epoxy asphalt binders during the thermo-irreversible process of chemical hardening. For this purpose, a constitutive model for predicting the evolution of cure-induced stresses in epoxy asphalt binders is proposed, and an experimental program was developed to determine the model parameters. The cure dependency of physico-mechanical parameters of modified binder was obtained and imported into the model to simulate the build-up of material properties during (non-)isothermal hardening of epoxy asphalt binder. The model is implemented in a commercially finite element tool by coupling the chemical, thermal, and mechanical phenomena with multi-physics strategies, and the results are analyzed to identify the influence of different heating conditions on the crosslinking density and subsequently on stress build-up. It was found that the amount of stress build-up during curing was strongly dependent on the heating conditions, and a higher rate of stress build-up was observed at higher applied temperatures. In other words, the processing conditions during in-plant material production or in-field manufacturing of structures made by epoxy asphalt systems affect the material hardening and subsequently the desired functionalities of pavement structures.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4dcb404c-98bb-4399-8f21-c543dfed6463","http://resolver.tudelft.nl/uuid:4dcb404c-98bb-4399-8f21-c543dfed6463","Laboratory and Field Aging Effect on Bitumen Chemistry and Rheology in Porous Asphalt Mixture","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Erkens, S. (TU Delft Pavement Engineering)","","2019","Oxidative aging takes place in bituminous materials during the construction and service life of asphalt pavements and has a significant effect on their performance. In this study, porous asphalt cores were obtained from field test sections each year from 2014 to 2017. The evolution of the properties of the field cores and the recovered bitumen with time was investigated. Cyclic indirect tensile tests were performed to determine changes in the mechanical behavior of porous asphalt due to aging. Additionally, bitumen was extracted and recovered from 13 mm slices along the depth of the cores. The rheological and chemical properties of the recovered bitumen, as well as that of original bitumen aged in standard short- and long-term aging protocols, were investigated by means of dynamic shear rheometer and Fourier transform infrared spectrometer. The results show that the degree of aging is spatially dependent, resulting in a stiffness gradient within the asphalt layer. Moreover, the results demonstrate a weak relation between field aging and the standard laboratory aging protocols.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:fce3ef3c-32e5-43df-a794-e40713382ca4","http://resolver.tudelft.nl/uuid:fce3ef3c-32e5-43df-a794-e40713382ca4","Inferring network properties based on the epidemic prevalence","Ma, L. (TU Delft Network Architectures and Services); Liu, Q. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2019","Dynamical processes running on different networks behave differently, which makes the reconstruction of the underlying network from dynamical observations possible. However, to what level of detail the network properties can be determined from incomplete measurements of the dynamical process is still an open question. In this paper, we focus on the problem of inferring the properties of the underlying network from the dynamics of a susceptible-infected-susceptible epidemic and we assume that only a time series of the epidemic prevalence, i.e., the average fraction of infected nodes, is given. We find that some of the network metrics, namely those that are sensitive to the epidemic prevalence, can be roughly inferred if the network type is known. A simulated annealing link-rewiring algorithm, called SARA, is proposed to obtain an optimized network whose prevalence is close to the benchmark. The output of the algorithm is applied to classify the network types.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:edbc0249-d0d2-4ff0-add1-7c58778977a4","http://resolver.tudelft.nl/uuid:edbc0249-d0d2-4ff0-add1-7c58778977a4","Generalized Single-Vehicle-Based Graph Reinforcement Learning for Decision-Making in Autonomous Driving","Yang, Fan (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Gao, Xin (Beijing Institute of Technology)","","2022","In the autonomous driving process, the decision-making system is mainly used to provide macro-control instructions based on the information captured by the sensing system. Learning-based algorithms have apparent advantages in information processing and understanding for an increasingly complex driving environment. To incorporate the interactive information between agents in the environment into the decision-making process, this paper proposes a generalized single-vehicle-based graph neural network reinforcement learning algorithm (SGRL algorithm). The SGRL algorithm introduces graph convolution into the traditional deep neural network (DQN) algorithm, adopts the training method for a single agent, designs a more explicit incentive reward function, and significantly improves the dimension of the action space. The SGRL algorithm is compared with the traditional DQN algorithm (NGRL) and the multi-agent training algorithm (MGRL) in the highway ramp scenario. Results show that the SGRL algorithm has outstanding advantages in network convergence, decision-making effect, and training efficiency.","autonomous driving; decision-making; deep reinforcement learning; graph convolution","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:5e5022f8-2ee1-4803-9716-37d0ca5c399a","http://resolver.tudelft.nl/uuid:5e5022f8-2ee1-4803-9716-37d0ca5c399a","Electron-Irradiation-Induced Degradation of Transfer Characteristics in Super-Junction VDMOSFET","Jiang, Jing (Fudan University); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Interactive Intelligence; Southern University of Science and Technology); Liu, Jianhui (Sky Chip Interconnection Technology Co); Li, Jun (Sky Chip Interconnection Technology Co); Zhou, Dexiang (Sky Chip Interconnection Technology Co); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Chongqing University); Tan, C. (TU Delft Electronic Components, Technology and Materials; Chongqing University)","","2022","High electric-field stress is an effective solution to the recovery of irradiated devices. In this paper, the dependence of the recovery level on the magnitude of gate voltage and duration is investigated. Compared with the scheme of high gate-bias voltage with a short stress time, the transfer characteristics are significantly recovered by applying a low electric field with a long duration. When the electric field and stress time are up to a certain value, the threshold voltage almost approaches the limitation, which is less than that before irradiation. Meanwhile, the effect of temperature on the recovery of the irradiated devices is also demonstrated. The result indicates that a high temperature of 175 °C used for the irradiated devices’ annealing does not play a role in promoting the recovery of transfer characteristics. In addition, to obtain a deep-level understanding of threshold degradation, the first-principles calculations of three Si/SiO2 interfaces are performed. It is found that new electronic states can be clearly observed in the conduction bans and valence bands after the Si-H/-OH bonds are broken by electron irradiation. However, their distribution depends on the selection of the passivation scheme. Ultimately, it can be observed that the threshold voltage linearly decreases with the increase in interface charge density. These results can provide helpful guidance in the deep interpretation of threshold degradation and the recovery of the irradiated super-junction devices.","electron irradiation; transfer characteristics; degradation; Si/SiO2 interface; first-principles calculation; interface charge density; threshold variation","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:e8112a81-aa24-48b6-b463-66cd7dc7b814","http://resolver.tudelft.nl/uuid:e8112a81-aa24-48b6-b463-66cd7dc7b814","Impact of Institutional Pressures on External Program Manager Involvement: Evidence from Large Projects in China","Liu, Mingqiang (Tongji University); Zhu, Yongsong (Tongji University); Wei, Jianjun (Shanghai Shenkang Hospital); Le, Yun (Tongji University); Zhang, X. (TU Delft Design & Construction Management; Tongji University)","","2022","External program manager involvement can provide professional and systematic management services to owners. It can help to manage and coordinate different subprojects and ensure the achievement of the overall strategic goals of large projects. The promotion of external program manager involvement is not only efficiency-driven, but the institutional environment also has a significant impact. This study developed a theoretical model to explain how external program manager involvement is influenced by external institutional forces (coercive, mimetic, and normative pressures) and internal top management support. Based on a questionnaire survey, this study tested the research model through partial least-squares structural equation modeling. The empirical results revealed that coercive pressures have no significant impact on external program manager involvement; owners' top management support acts as a complete mediator between the mimetic pressures and external program manager involvement; normative pressures have the greatest impact on top management support and external program manager involvement, and top management support plays a key but partially mediating role. A direct contribution of this study is to reveal how different types of isomorphic pressures can be better exercised to facilitate the involvement of external program managers. Going further, by incorporating both external institutional pressures and internal top management support into the research model, this study provides insight into how organizations are influenced by external institutions to apply tools or approaches internally.","External program manager involvement; Institutional theory; Isomorphic pressures; Program management; Top management support","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:6302ab05-4e3f-4952-87e9-2804fdbe9f01","http://resolver.tudelft.nl/uuid:6302ab05-4e3f-4952-87e9-2804fdbe9f01","Multi-Agent Decision-Making Modes in Uncertain Interactive Traffic Scenarios via Graph Convolution-Based Deep Reinforcement Learning","Gao, Xin (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Yang, Fan (Beijing Institute of Technology); Luan, Tian (Beijing Institute of Technology)","","2022","As one of the main elements of reinforcement learning, the design of the reward function is often not given enough attention when reinforcement learning is used in concrete applications, which leads to unsatisfactory performances. In this study, a reward function matrix is proposed for training various decision-making modes with emphasis on decision-making styles and further emphasis on incentives and punishments. Additionally, we model a traffic scene via graph model to better represent the interaction between vehicles, and adopt the graph convolutional network (GCN) to extract the features of the graph structure to help the connected autonomous vehicles perform decision-making directly. Furthermore, we combine GCN with deep Q-learning and multi-step double deep Q-learning to train four decision-making modes, which are named the graph convolutional deep Q-network (GQN) and the multi-step double graph convolutional deep Q-network (MDGQN). In the simulation, the superiority of the reward function matrix is proved by comparing it with the baseline, and evaluation metrics are proposed to verify the performance differences among decision-making modes. Results show that the trained decision-making modes can satisfy various driving requirements, including task completion rate, safety requirements, comfort level, and completion efficiency, by adjusting the weight values in the reward function matrix. Finally, the decision-making modes trained by MDGQN had better performance in an uncertain highway exit scene than those trained by GQN.","connected autonomous vehicles; GQN; MDGQN; multi-mode decision-making; reward function matrix; uncertain highway exit scene","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","http://resolver.tudelft.nl/uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","Integrated Sensing and Communication in UAV Swarms for Cooperative Multiple Targets Tracking","Zhou, Longyu (University of Electronic Science and Technology of China (UESTC)); Leng, Supeng (University of Electronic Science and Technology of China (UESTC)); Wang, Q. (TU Delft Embedded Systems); Liu, Qiang (University of Electronic Science and Technology of China (UESTC))","","2023","Various interconnected Internet of Things (IoT) devices have emerged, led by the intelligence of the IoT, to realize exceptional interaction with the physical world. In this context, UAV swarm-enabled Multiple Targets Tracking (UAV-MTT), which can sense and track mobile targets for many applications such as hit-and-run, is an appealing topic. Unfortunately, UAVs cannot implement real-time MTT based on the traditional centralized pattern due to the complicated road network environment. It is also challenging to realize low-overhead UAV swarm cooperation in a distributed architecture for the real-time MTT. To address the problem, we propose a cyber-twin-based distributed tracking algorithm to update and optimize a trained digital model for real-time MTT. We then design a distributed cooperative tracking framework to promote MTT performance. In the design, both short-distance and long-distance distributed tracking cooperation manners are first realized with low energy consumption in communication by integrating resources of sensing and communication. Resource integration promotes target sensing efficiency with a highly successful tracking ratio as well. Theoretical derivation proves our algorithmic convergence. Hardware-in-the-loop simulation results demonstrate that our proposed algorithm can remarkably save 65.7% energy consumption in communication compared to other benchmarks while efficiently promoting 20.0% sensing performance.","Integrated sensing and communication; UAV swarm; Target tracking; cyber-twin","en","journal article","","","","","","","","2023-10-05","","","Embedded Systems","","",""
"uuid:31a8a4d3-652f-464d-bda5-d9b5de2e9be2","http://resolver.tudelft.nl/uuid:31a8a4d3-652f-464d-bda5-d9b5de2e9be2","Platform Development of BIM-Based Fire Safety Management System Considering the Construction Site","Yang, Yapin (Hunan University); Sun, Ying (Concordia University); Chen, Mingsi (Hunan University); Zhou, Yuekuan (The Hong Kong Polytechnic University; The Hong Kong University of Science and Technology); Wang, Ran (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2022","Fire at a construction site usually results in serious accidents. Therefore, fire management at the construction site is critical to decreasing possible accidents. However, conventional fire safety management can be problematic in many aspects, such as visualization, multi-stage alarm systems, and dynamic escape route optimization. To solve these issues, this paper develops a platform for a BIM-based fire safety management system that considers the construction site. The developed platform contains four subsystems: a remote monitoring subsystem, a fire visualization subsystem, a multi-stage fire alarm subsystem, and an escape route optimization subsystem. It detects the fire hazard in the early stage of the fire by the remote monitoring subsystem and transmits this information to the fire visualization subsystem for displaying. Furthermore, the multi-stage fire alarm subsystem sends warnings or alarms based on the fire’s severity. Moreover, the escape route optimization subsystem dynamically optimizes the evacuation routes by considering the actual number of people at the construction site and the potential crowding as people pass through the escapeway. Results show that this system can provide informative and on-time fire protection measures to different participants at the construction site. This study can also serve as a solution to improve fire safety management at the construction site.","construction site; fire safety management; BIM technology; escape route optimization; visualization","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:84762fe9-62ee-4d7b-a9ad-2e4849ab61c8","http://resolver.tudelft.nl/uuid:84762fe9-62ee-4d7b-a9ad-2e4849ab61c8","Evaluation of Albedo Schemes in WRF Coupled with Noah-MP on the Parlung No. 4 Glacier","Liu, Lian (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Ma, Yaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Lanzhou University; National Observation and Research Station for Qomolongma Special Atmospheric Processes and Environmental Changes)","","2022","Meteorological variables (e.g., air temperature (T2), radiation flux, and precipitation) determine the evolution of glacier mass and characteristics. Observations of these variables are not available with adequate spatial coverage and spatiotemporal resolution over the Tibetan Plateau. Albedo is the key factor of net radiation and is determined by the land cover and snow-related variables. This study focuses on evaluating the performance of the albedo parameterization scheme in WRF coupled with Noah-MP in terms of glacio-meteorological variables, by conducting experiments applying the standard surface albedo scheme with the default vegetation and corrected to ice cover and the modified glacial albedo scheme to the Parlung No. 4 Glacier in the 2016 ablation season. In situ glacio-meteorological element observations and MODIS-retrieved albedo are selected to assess the performance of the model. The key results are as follows. First, compared to the air temperature bias of 1.56 °C in WRF applying the standard surface albedo scheme and the default vegetation cover, realistic land-use categories considerably reduce the model warm bias on the glacier. The model using realistic land-use categories yields similar T2 diurnal patterns to the observations, with a mean bias of only −0.5 °C, no matter which glacial albedo scheme is implemented. Second, the default glacial albedo scheme gives a rather high albedo value of 0.68, causing an apparent underestimation of the net shortwave radiation and net radiation; the modified glacial albedo scheme gives a mean albedo value of 0.35, close to the in situ observations, helping to relieve underestimations of net shortwave radiation and net radiation. Compared with the MODIS albedo of the glacier, WRF applying the default glacial albedo scheme apparently overestimates the albedo with a mean error of 0.18, while WRF applying the modified glacial albedo scheme slightly underestimates the albedo with a mean error of only −0.08. Third, the mean net radiation flux (142 W m−2) and high ground heat flux (182 W m−2) values that were estimated by WRF applying the corrected land cover and the modified glacial albedo scheme result in the heating of the glacier surface and subsurface, causing ice melt and the liquid water content to increase more quickly and preferentially, equating to an estimated ice thickness decrease of 1 m by mid-June in the ablation region. Our study confirms the ability of the WRF model to reproduce glacio-meteorological variables as long as a reasonable glacial albedo scheme and the corrected land cover is applied and provides a theoretical reference for researchers that are committed to further improvement of the glacial albedo scheme.","WRF; glacier; temperature; albedo; radiation; Tibetan Plateau","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:2c66be20-9343-4b2c-8649-e842ae0391d7","http://resolver.tudelft.nl/uuid:2c66be20-9343-4b2c-8649-e842ae0391d7","Energy-efficient In-Memory Address Calculation","Yousefzadeh, Amirreza (Stichting IMEC Nederland); Stuijt, Jan (Stichting IMEC Nederland); Hijdra, Martijn (Stichting IMEC Nederland); Liu, Hsiao-Hsuan (IMEC); Gebregiorgis, A.B. (TU Delft Computer Engineering); Singh, A. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Catthoor, Francky (IMEC)","","2022","Computation-in-Memory (CIM) is an emerging computing paradigm to address memory bottleneck challenges in computer architecture. A CIM unit cannot fully replace a general-purpose processor. Still, it significantly reduces the amount of data transfer between a traditional memory unit and the processor by enriching the transferred information. Data transactions between processor and memory consist of memory access addresses and values. While the main focus in the field of in-memory computing is to apply computations on the content of the memory (values), the importance of CPU-CIM address transactions and calculations for generating the sequence of access addresses for data-dominated applications is generally overlooked. However, the amount of information transactions used for ""address""can easily be even more than half of the total transferred bits in many applications. In this article, we propose a circuit to perform the in-memory Address Calculation Accelerator. Our simulation results showed that calculating address sequences inside the memory (instead of the CPU) can significantly reduce the CPU-CIM address transactions and therefore contribute to considerable energy saving, latency, and bus traffic. For a chosen application of guided image filtering, in-memory address calculation results in almost two orders of magnitude reduction in address transactions over the memory bus.","Hardware; Semiconductor memory; Memory and dense storage; Power estimation and optimization; Emerging architectures; In-memory processing; address calculation unit; energy optimization","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:7e1b5401-6f16-4017-855c-dcece1179797","http://resolver.tudelft.nl/uuid:7e1b5401-6f16-4017-855c-dcece1179797","A Comprehensive Study on the Rejuvenation Efficiency of Compound Rejuvenators for the Characterization of the Bituminous Binder, Mortar, and Mixture","Li, Mingliang (Research Institute of Highway Ministry of Transport); Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Wu, Zhe (Jiangsu Aoxin Science & Technology); Zhang, Haopeng (Sinopec Fuel Oil Shandong); Fan, Weiyu (China University of Petroleum (East China)); Lin, P. (TU Delft Pavement Engineering); Xu, Jian (Research Institute of Highway Ministry of Transport)","","2022","This study aims to comprehensively investigate the rejuvenation efficiency of various self-developed compound rejuvenators on the physical, mechanical, and aging properties of aged bitumen, asphalt mortar, and mixture. The results revealed that the restoration capacity of vacuum distilled-oil rejuvenators on high-and-low temperature performance-grade of aged bitumen is more significant. In contrast, an aromatic-oil based rejuvenator is good at enhancing low-temperature grade and aging resistance. Moreover, the temperature and time of the curing conditions for mixing recycling of asphalt mixture were optimized as 150 °C and 120 min. Furthermore, the sufficient anti-rutting, structural stability, and moisture resistance of recycled asphalt mixture affirmed the rejuvenation efficiency of compound rejuvenators.","rejuvenation efficiency; compound rejuvenator; rejuvenated bitumen; asphalt mortar; asphalt mixture","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","http://resolver.tudelft.nl/uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","A Control Method for Converter-interfaced Sources to Improve Operation of Directional Protection Elements","Yang, Zhe (Aalborg University); Liu, Zhou (Aalborg University); Zhang, Qi (Siemens Gamesa Renewable Energy AS); Chen, Zhe (Aalborg University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","The traditional fault control strategy of converter-interfaced renewable energy sources (CIRESs) may bring about a lower sensitivity level or misoperation of fault component-based directional elements. To overcome this problem, a new control scheme is proposed to adjust sequence impedance angles of CIRESs by computing suitable current references of the CIRES controller. Meanwhile, these current references are maximized by an iterative algorithm to make full use of the short-circuit capacity of CIRESs. The proposed control scheme is applicable to various faulty conditions such as different fault types, power factors, weak grids, and larger fault resistances. Compared with the new directional elements that need to update protection algorithms, the proposed control strategies can make CIRESs compatible with the existing directional elements whilst the necessary fault ride-through (FRT) requirements can still be satisfied. Furthermore, all the controller parameters are not required to be revised based on the detected fault type, even with only local measured data collected. The associated PSCAD simulations, real-time digital simulator (RTDS) testing and the downscale hardware experiment verify the proposed method.","Circuit faults; converter-interfaced renewable energy sources; directional elements; Fault currents; fault ride through; Impedance; impedance angle; Power transmission lines; Security; Voltage control; Voltage measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","Intelligent Electrical Power Grids","","",""
"uuid:365fcb0a-035f-4de6-a174-1843d10843ff","http://resolver.tudelft.nl/uuid:365fcb0a-035f-4de6-a174-1843d10843ff","Insight into the compatibility behaviors between various rejuvenators and aged bitumen: Molecular dynamics simulation and experimental validation","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2022","The compatibility potential of rejuvenators plays an important role in improving the blending degree of rejuvenated bitumen. This study aims at estimating the efficiency of molecular dynamics (MD) simulation in predicting the compatibility between rejuvenators and aged bitumen, and exploring the influence of rejuvenator type, aging degree of bitumen, and temperature on the compatibility potential. The thermal storage stability of rejuvenated binders is evaluated to validate the compatibility prediction. Afterward, the underlying mechanism for the storage stability difference between rejuvenators and aged bitumen is explained with the atomic-scale parameters. The results revealed that the ranking on predicted compatibility and experimentally measured thermal storage stability for four rejuvenators is the same as AO > BO > NO > EO. Furthermore, the thermodynamic parameters of solubility parameter difference Δδ, Flory-Huggins parameter χ, and mixing free energy ΔGm are efficient for estimating the compatibility potential of various rejuvenators with aged bitumen. Moreover, the separation index (SI) parameters based on rheological and chemical indices are available to assess the phase stability of rejuvenated bitumen. At the molecular scale, the compatibility and phase stability issues between rejuvenators and aged bitumen are complicated and related to different aspects of intermolecular interaction, dispersion degree, and molecular mobility.","Rejuvenator; Aged bitumen; Compatibility; Thermal phase stability; MD simulations","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:80b1f3c4-ffe4-4e0f-b8bb-c293bf24463f","http://resolver.tudelft.nl/uuid:80b1f3c4-ffe4-4e0f-b8bb-c293bf24463f","First-Year Field Performance of Epoxy-Modified Asphalt","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2022","Pavement materials containing epoxy-asphalt are mainly used to enhance the fatigue cracking resistance of surfacing layers on bridges. These materials have also been proposed for roadways, but limited field data are available. In this research, a fully instrumented epoxy-modified asphalt surfacing layer was applied to measure strain responses in service conditions. Results over the first-year monitoring period indicated that the epoxy-modified asphalt behaves fundamentally similarly to a reference one with an asphalt mix of the same aggregate gradation. The epoxy-modified asphalt demonstrated the lowest transverse compressive strains at decreased temperatures, reflecting the potentially high material resistance against thermal cracking. After the summer period, the modified material showed lower tensile strains than the reference mix compared with the previous time period. Such an attribute could indicate the ongoing curing-induced stiffening of epoxy-modified asphalt at high temperatures during summer. The longitudinal and transverse strain measurements had inverse tendencies at all temperatures. Finally, the mechanical response of the studied materials after the summer has been examined using a classical fatigue cracking model and following a life cycle assessment methodology, and preliminary findings support the use of epoxy-asphalt for sustainable pavements.","infrastructure; materials; asphalt materials; selection; mix design; asphalt mixture additives; asphalt production and use; emer-ging asphalt technologies; pavements; sustainable and resilient pavements; sustainable pavements; life cycle assessment (LCA)","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:bc68abb3-9345-40a6-8132-696ff076b5dd","http://resolver.tudelft.nl/uuid:bc68abb3-9345-40a6-8132-696ff076b5dd","Combined effects of photoaging and natural organic matter on the colloidal stability of nanoplastics in aquatic environments","Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Li, Xiaoming (Chinese Academy of Sciences); Wang, Xintu (Chinese Academy of Sciences; Guilin University of Technology); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Liu, Gang (Chinese Academy of Sciences)","","2022","The transport and fate of nanoplastics (NPs) in aquatic environments are closely associated with their colloidal stability, which is affected by aging and natural organic matter (NOM) adsorption. This study systematically investigated the combined effects of photoaging and NOM (e.g. humic acids, HA; and a model protein, bovine serum albumin, BSA) on the aggregation kinetics of NPs (polystyrene, PS) in NaCl and CaCl2 solutions. Our results showed that photoaged NPs adsorbed less HA than pristine NPs due to weaker hydrophobic and π-π interactions. In return, HA showed weaker impacts on NPs’ stability after photoaging. Differently, photoaged NPs absorbed more BSA than pristine NPs due to stronger hydrogen bonding and electrostatic attraction. Thus, the inhibitory effects of BSA on the aggregation kinetics of NPs were enhanced after photoaging. Regarding the effects of NOM on the aging of NPs, our results showed that HA competed with NPs for photons and underwent photo-degradation. Subsequently, the destruction/reconstruction of adsorbed HA increased (in NaCl) or decreased (in CaCl2) the stability of NPs. Notably, light radiation-induced flocculation of BSA molecules, which wrapped and integrated NPs and lead to their destabilization. Overall, this study provided new insights into the aggregation behavior of NPs in aquatic systems, which have significant implications for predicting the transport and fate of NPs in complex real-world environments.","Nanoplastics; Colloidal stability; Natural organic matter; Photoaging; Steric repulsion; Photo-flocculation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:86e2eed5-386e-410c-ab08-0d5a99eefab1","http://resolver.tudelft.nl/uuid:86e2eed5-386e-410c-ab08-0d5a99eefab1","Evaluation of the Aging Properties of Terminal Blend Hybrid Asphalt Based on Chemical and Rheological Methods","Wang, S. (TU Delft Pavement Engineering; Tongji University); Huang, Weidong (Tongji University); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering)","","2022","The chemical and rheological properties of terminal blend hybrid asphalt (TBHA) contributing to the consumption of waste tires before and after aging were studied. Styrene-butadiene-styrene (SBS) polymer, sulphur, crumb rubber (CR), and neat asphalt were chosen to prepare the TBHA. The short-term aging (STA) and long-term aging (LTA) of TBHA were simulated using a rolling thin film oven test (RTFOT) and pressure aging vessel (PAV), separately. The chemical and rheological properties of the TBHA were tested. The results show that the G* and G*/sin δ of TBHA ares generally lower than SBS-modified asphalt (SBSMA) at 76 °C, and the δ, Jnr0.1, and Jnr3.2 of TBHA are generally higher than SBSMA at 76 °C. Additionally, with the decrease of CR, the G* and G*/sin δ of TBHA decreased more obviously, and the G* and G*/sin δ of 5T_3S_0.2Sul (5 wt% CR, 3 wt% SBS, and 0.2 wt% sulphur) were the smallest. Moreover, during the STA, the SBS modifier in the TBHA degraded and made the bitumen predominantly soft; however, during the LTA, the hardening of the bitumen played a dominant role and increased its elasticity. The superior anti-aging properties of TBHA (both STA and LTA) are further demonstrated.","terminal blend hybrid asphalt; rheological properties; short-term aging; long-term aging","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:83270c60-8335-4bf4-ab17-73295c7b6690","http://resolver.tudelft.nl/uuid:83270c60-8335-4bf4-ab17-73295c7b6690","ArduPilot-Based Adaptive Autopilot: Architecture and Software-in-The-Loop Experiments","Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Sun, Danping (Wuhan Textile University; Hubei Electrical Machinery and Control System Engineering Technology Research Center); Xia, Xin (Southeast University); Zhou, Guopeng (Hubei Electrical Machinery and Control System Engineering Technology Research Center); Liu, Di (Southeast University; Rijksuniversiteit Groningen)","","2022","This article presents an adaptive method for ArduPilot-based autopilots of fixed-wing unmanned aerial vehicles (UAVs). ArduPilot is a popular open-source unmanned vehicle software suite. We explore how to augment the PID loops embedded inside ArduPilot with a model-free adaptive control method. The adaptive augmentation, adopted for both attitude and total energy control, uses input/output data without requiring an explicit model of the UAV. The augmented architecture is tested in a software-in-The-loop UAV platform in the presence of several uncertainties (unmodeled low-level dynamics, different payloads, time-varying wind, and changing mass). The performance is measured in terms of tracking errors and control efforts of the attitude and total energy control loops. Extensive experiments with the original ArduPilot, the proposed augmentation, and alternative autopilot strategies show that the augmentation can significantly improve the performance for all payloads and wind conditions: The UAV is less affected by wind and exhibits more than 70% improved tracking, with more than 7% reduced control effort.","ArduPilot; attitude control; autopilot; model-free adaptive control; total energy control; unmanned aerial vehicle (UAV)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","http://resolver.tudelft.nl/uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","Quantifying frequency containment reserve using cross-entropy frequency-constrained contingency-state-analysis model","Yuan, Yiping (Xi’an Jiaotong University); Liu, Zhou (Siemens Gamesa Renewable Energy); Chen, Zhe (Aalborg University); Hoej Jensen, Kim (Siemens Gamesa Renewable Energy); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","With the increasing penetration of converter-interfaced generators, the frequency containment reserve (FCR) from conventional generators keeps going down, leading to a potential risk of frequency instability under contingencies. Consequently, Converter-interfaced generators are required to provide FCR and participate in the corrective rescheduling. Nevertheless, how to assess the FCR and quantify the adequacy of FCR under contingencies is a big challenge in modern new power system. To address this challenge, a cross-entropy-based frequency-constrained contingency-state-analysis (FC-CSA) model is proposed in this paper. Notably, both frequency control (FC) of units (i.e., conventional synchronous generators and converter-interfaced generators), and under frequency load shedding (UFLS) are incorporated in the primary frequency response. Then a unified system frequency response (SFR) function representing frequency dynamic is derived. This SFR function is extracted and reformulated as a group of mixed-integer linear constraints and participates in the traditional CSA model. Moreover, a set of frequency dynamic indexes, i.e., Expectation of UFLS risk, Expectation of FCR from conventional and converter-interfaced generators, is extended to depict the FCR that the power system requires. These indexes are calculated by the FC-CSA in a cross-entropy-based monte carlo simulation (CE-MCs). Case studies on a modified IEEE 6-bus test system and IEEE 118-bus test system are carried out to demonstrate the effectiveness of the proposed FC-CSA model.","Contingency-State-Analysis; Cross-Entropy-based Monte Carlo simulation; Frequency Containment Reserve; Frequency Control; Under-frequency Load Shedding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-20","","","Intelligent Electrical Power Grids","","",""
"uuid:20e9db2d-9a78-4ad6-a046-d16ee1c31947","http://resolver.tudelft.nl/uuid:20e9db2d-9a78-4ad6-a046-d16ee1c31947","Cancer Diagnosis Using Terahertz-Graphene-Metasurface-Based Biosensor with Dual-Resonance Response: Nanomaterials","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wei, Jia (Guangzhou Regenerative Medicine and Health Guangdong Laboratory); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology)","","2022","Owing to the outstanding physical properties of graphene, its biosensing applications implemented by the terahertz metasurface are widely concerned and studied. Here, we present a novel design of the graphene metasurface, which consists of an individual graphene ring and an H-shaped graphene structure. The graphene metasurface exhibits a dual-resonance response, whose resonance frequency strongly varies with the geometrical parameters of the proposed metasurface, the carrier density of graphene, and the analyte composition. The transparency window, including width and position, can be artificially controlled by adjusting the geometrical parameters or the Fermi energy. Furthermore, the sensing parameters of the graphene metasurface for cancerous and normal cells are investigated, focusing on two factors, namely cell quantity and position on the metasurface. The simulated results clearly show that the theoretical sensitivity, figure of merit, and quantity of the graphene metasurface for breast cells reach 1.21 THz/RIU, 2.75 RIU (Formula presented.), and 2.43, respectively. Our findings may open up new avenues for promising applications in the diagnosis of cancers.","graphene metasurface; terahertz sensing; dual-resonance response; cancer diagnosis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:842764bc-aa3c-4c4d-a17d-5985177e6428","http://resolver.tudelft.nl/uuid:842764bc-aa3c-4c4d-a17d-5985177e6428","Educating engineers of the future: T-shaped professionals for managing infrastructure projects","Ninan, Dr Johan (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Liu, Yan (Nanjing University)","","2022","Infrastructure projects are costly, colossal, complex, captivating, controversial, and laden with control issues. The development of these projects causes environmental, social, and political disruptions in the local environment, which have to be carefully handled by professionals in the field. This article aims to enhance the performance of infrastructure development professionals by highlighting the necessary competencies and how these competencies can be improved through preparation and training. After discussing the different shapes of professionals, the competencies for infrastructure development are discussed. It is argued that the competencies for infrastructure development are similar to the T-shaped framework. Competencies such as in-depth knowledge, ability to understand and work in different areas, being flexible and adaptive to change, having decision-making capabilities, and willingness to be life-long learners are instrumental in creating T-shaped professionals for infrastructure development. The different learning activities such as lectures, on-site visits, role plays, case study discussions, problem-solving exercises and project work can be employed to educate professionals in the area. The suitability of these methods for online education is also discussed. The study calls for more research to trace the effectiveness of learning activities in the infrastructure sector.","T-shaped professionals; Infrastructure projects; Competencies; Activities for learning","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:a7f8ad3a-cf55-4350-a71b-6b605ca908bb","http://resolver.tudelft.nl/uuid:a7f8ad3a-cf55-4350-a71b-6b605ca908bb","A 23-μW Keyword Spotting IC With Ring-Oscillator-Based Time-Domain Feature Extraction","Kim, Kwantae (Korea Advanced Institute of Science and Technology; University of Zürich); Gao, C. (TU Delft Electronics); Graca, Rui (University of Zürich); Kiselev, Ilya (University of Zürich); Yoo, Hoi Jun (Korea Advanced Institute of Science and Technology); Delbruck, Tobi (University of Zürich); Liu, Shih Chii (University of Zürich)","","2022","This article presents the first keyword spotting (KWS) IC that uses a ring-oscillator-based time-domain processing technique for its analog feature extractor (FEx). Its extensive usage of time-encoding schemes allows the analog audio signal to be processed in a fully time-domain manner except for the voltage-to-time conversion stage of the analog front end. Benefiting from fundamental building blocks based on digital logic gates, it offers better technology scalability compared to conventional voltage-domain designs. Fabricated in a 65-nm CMOS process, the prototyped KWS IC occupies 2.03 mm 2 and dissipates 23- $\mu \text{W}$ power consumption, including analog FEx and digital neural network classifier. The 16-channel time-domain FEx achieves a 54.89-dB dynamic range for 16-ms frame shift size while consuming 9.3 $\mu \text{W}$. The measurement result verifies that the proposed IC performs a 12-class KWS task on the Google Speech Command dataset (GSCD) with >86% accuracy and 12.4-ms latency.","Analog; bandpass filter (BPF); classifier; feature extractor (FEx); Google Speech Command dataset (GSCD); keyword spotting (KWS); rectifier; recurrent neural network (RNN); ring oscillator; time domain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronics","","",""
"uuid:fd2252b4-7110-445c-967b-cbdaaf497b94","http://resolver.tudelft.nl/uuid:fd2252b4-7110-445c-967b-cbdaaf497b94","Research Progress on Convective Heat Transfer Characteristics of Supercritical Fluids in Curved Tube","Liu, Xinxin (Henan Agricultural University); Li, Shuoshuo (Henan Agricultural University); Liu, Liang (Henan Agricultural University); He, Chao (Henan Agricultural University); Sun, Zhuang (University of Tokyo); Özdemir, F. (TU Delft Energy Technology); Aziz, Muhammad (University of Tokyo); Kuo, Po-Chih (University of Tokyo)","","2022","Because of their compact structure, ease of processing and higher heat transfer coefficient, curved-tube heat exchangers are widely applied in various industry applications, such as nuclear power systems, solar-powered engineering, aircraft engine cooling systems and refrigeration and cryogenic systems. Accurate knowledge about the heat transfer characteristics of the supercritical fluids in the tube is critical to the design and optimization of a curved-tube heat exchanger. The available literature indicates that the flow of supercritical fluids flowing in curved tubes affected by the dual effects of the buoyancy force and centrifugal force is more complex compared to straight tubes. Therefore, to obtain insight into their unique characteristics and further research progress, this paper presents a comprehensive review of available experimental and numerical research works on fluids at supercritical pressure flowing in curved tubes. Overall, the secondary flow caused by the curvature enhances the heat transfer and delays the heat transfer deterioration, but it also causes a non-uniform heat transfer distribution along the circumferential direction, and the strengthening performance of the curved tube is damaged. Compared with the more mature theories regarding straight tubes, the flow structure, the coupling mechanism of buoyancy and centrifugal force, and the general heat transfer correlation of supercritical fluids in a curved tube still urgently need to be further studied. Most importantly, studies on the suppression of heat transfer oscillations and heat transfer inhomogeneities specific to curved tubes are scarce. Considering the current status and shortcomings of existing studies, some study topics for supercritical fluids in a curved tube are proposed","heat transfer characteristics; supercritical fluids; curved tube; heat transfer enhancement; buoyancy force; centrifugal force","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:e5d6b6f7-bc63-45a3-a18e-b2274c56835a","http://resolver.tudelft.nl/uuid:e5d6b6f7-bc63-45a3-a18e-b2274c56835a","Geocon bridge geopolymer concrete mixture for structural applications","Ye, G. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Ghiassi, B. (TU Delft Materials and Environment); Aldin, Zainab (Student TU Delft); Prinsse, Silke (Student TU Delft); Liu, Jonh (Student TU Delft); Nedeljković, Marija (TU Delft Materials and Environment); Hordijk, D.A. (TU Delft Concrete Structures); Lagendijk, P. (TU Delft Concrete Structures); Bosman, A. (TU Delft Concrete Structures); Blom, T.A. (TU Delft Microlab); van Leeuwen, M. (TU Delft Microlab); Huang, Zhekang (Student TU Delft); Celada, Ulric (Student TU Delft); Du, C. (TU Delft Steel & Composite Structures); van den Berg, J.A.M. (TU Delft Microlab); Thijssen, A. (TU Delft Microlab); Wijte, Simon (Eindhoven University of Technology)","","2019","The sustainability of infrastructure projects is becoming increasingly important issue in engineering practice. This means that in the future the construction materials will be selected on the basis of the contribution they can make to reach sustainability requirements. Geopolymers are materials based on by-products from industries. By using geopolymer concrete technology it is possible to reduce our waste and to produce concrete in the environmental-friendly way. An 80% or greater reduction of greenhouse gases compared with Ordinary Portland Cement (OPC) can be achieved through geopolymer technology. However, there are limited practical applications and experience. For a broad and large scale industrial application of geopolymer concrete, challenges still exist in the technological and engineering aspects. The main goal of GeoCon Bridge project was to develop a geopolymer concrete mixture and to upscale it to structural application. The outputs of projects provide input for development of recommendations for structural design of geopolymer based reinforced concrete elements. Through a combination of laboratory experiments on material and structural elements, structural design and finite element simulations, and based on previous experience with OPC concrete, knowledge generated in this project provides an important step towards a “cement free” construction. The project was performed jointly by three team members: Microlab and Group of Concrete Structures from Technical University of Delft and Technical University of Eindhoven.","Bridge; Compressive; Concrete; Geopolymer; Reinforced; Strength","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:76178108-91c8-4d26-b40e-24a1f255e38d","http://resolver.tudelft.nl/uuid:76178108-91c8-4d26-b40e-24a1f255e38d","Co-woven carbon and nylon fibres for manufacturing thermoplastic composite plaques","El-Dessouky, H.M. (University of Sheffield; Mansoura University); Liu, B. (University of Sheffield); Saleh, M. (TU Delft Structural Integrity & Composites); Scaife, R.J. (University of Sheffield)","","2019","Thermoplastic composites are in high demand and continually growing in use due to their inherent properties. Commingled fibre is one of the recent solutions developed for thermoplastic composites, but has not yet ready for weaving. An alternative to commingling approach, co-weaving of reinforcing and thermoplastic fibres is investigated in this study. In this work, the carbon and nylon fibres were woven separately through the warp and weft directions, respectively. A 5-multilayer 3D weave architecture was designed to produce the co-woven fabrics. By varying the weft filling or pick density, a set of woven fabrics of different thermoplastic content was obtained. The hot press was used to consolidate the composite plaques. Samples of the thermoplastic composites were physically characterised through density, fibre volume fraction and void content and then optically investigated. The composite samples were also mechanically tested to determine the interlaminar shear strength via the short beam bending test. The result proves that co-weaving method for thermoplastic composites is a feasible approach as the composite shows a low void content of approximately 1.14 percent. Comparing the four co-woven composites tested in this study it is found that the maximum achievable strength (ILSS) is ~ 41.36MPa in the case of lowest matrix (PA66) and the highest fibre (CF) contents, i.e. 13PA/7CF.","thermoplastic; Nylon; Fibre; Woven, Composites","en","journal article","","","","","","2nd CIRP Conference on Composite Material Parts Manufacturing, 10-11 October 2019, Advanced Manufacturing Research Centre, UK","","","","","Structural Integrity & Composites","","",""
"uuid:f01d6d1c-e0e8-4496-a9f7-ca60caf1a3e2","http://resolver.tudelft.nl/uuid:f01d6d1c-e0e8-4496-a9f7-ca60caf1a3e2","Unified deep learning architecture for the detection of all catenary support components","Liu, W. (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering); Han, Z. (Southwest Jiaotong University)","","2020","With the rapid development of deep learning technologies, researchers have begun to utilize convolutional neural network (CNN)-based object detection methods to detect multiple catenary support components (CSCs). The literature has focused on the detection of specified large-scale CSCs. Additionally, CNN architectures have faced difficulties in identifying overlapping CSCs, especially small-scale components. In this paper, a unified CNN architecture is proposed for detecting all components at various scales of CSCs. First, a detection network for CSCs with large scales is proposed by optimizing and improving Faster R-CNN. Next, a cascade network for the detection of CSCs with small scales is proposed and is integrated into the detection network for CSCs with large scales to construct the unified network architecture. The experimental results demonstrate that the detection accuracy of the proposed CNN architecture can reach 92.8%; hence, it outperforms the popular CNN architectures.","High-speed railway catenary; catenary support component detection; deep learning architecture","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e13e4122-45f8-459f-9944-205f7a282095","http://resolver.tudelft.nl/uuid:e13e4122-45f8-459f-9944-205f7a282095","A dynamic OD prediction approach for urban networks based on automatic number plate recognition data","Liu, Jing (Southwest Jiaotong University); Zheng, Fangfang (Southwest Jiaotong University); van Zuylen, H.J. (TU Delft Transport and Planning; Southwest Jiaotong University; Hunan University); Li, Jie (Hunan University)","","2020","OD flows provide important information for traffic management and planning. The prediction of dynamic OD matrices gives the possibility to apply anticipatory traffic management measures. In this paper, we propose an OD prediction approach based on the data obtained by Automated Number Plate Recognition (ANPR) cameras. The principal component analysis (PCA) is applied to reduce the dimension of the original OD matrices and to separate the main structure patterns from the noisier components. A state-space model is established for the main structure patterns and the structure deviations, and is incorporated in the Kalman filter framework to make predictions. We further propose three K-Nearest Neighbour (K-NN) based long-term pattern recognition approaches. The proposed approaches are validated with field ANPR data from Changsha city, P.R. China. The results show that the observed OD flows can be accurately predicted by our proposed approaches. Which prediction method performs best depends on the quality of the available data: for regular, periodic OD matrices the Kalman filter is better, for irregular OD matrices the pattern recognition that looks at different time periods in the historical data, gives better results.","OD matrix prediction; pattern recognition; principal component analysis; state-space kalman filter model","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:7e5dcd3d-8305-46e9-aeb1-0f6a2d3104ac","http://resolver.tudelft.nl/uuid:7e5dcd3d-8305-46e9-aeb1-0f6a2d3104ac","Train hunting related fast degradation of a railway crossing-condition monitoring and numerical verification","Liu, X. (TU Delft Railway Engineering); Markine, V.L. (TU Delft Railway Engineering)","","2020","This paper presents the investigation of the root causes of the fast degradation of a railway crossing. The dynamic performance of the crossing was assessed using the sensor-based crossing instrumentation, and the measurement results were verified using the multi-body system (MBS) vehicle-crossing model. Together with the field inspections, the measurement and simulation results indicate that the fast crossing degradation was caused by the high wheel-rail impact forces related to the hunting motion of the passing trains. Additionally, it was shown that the train hunting was activated by the track geometry misalignment in front of the crossing. The obtained results have not only explained the extreme values in the measured responses, but also shown that crossing degradation is not always caused by the problems in the crossing itself, but can also be caused by problems in the adjacent track structures. The findings of this study were implemented in the condition monitoring system for railway crossings, using which timely and correctly aimed maintenance actions can be performed.","Numerical verification; Railway crossing; Railway track maintenance; Train hunting; Wheel-rail impact","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:a3b4f530-7a78-4d0e-8386-be6378203c91","http://resolver.tudelft.nl/uuid:a3b4f530-7a78-4d0e-8386-be6378203c91","Evaluation of Organosolv Lignin as an Oxidation Inhibitor in Bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Poeran, Natascha (Royal Boskalis Westminster); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Organosolv lignin, a natural polymer, has been used in this study as an oxidation inhibitor in bitumen. Particularly, the effect of oxidative aging on the chemical compositional changes and on the rheology of bituminous binders with organosolv lignin and the impact to inhibit oxidation in bitumen were evaluated. Firstly, after analyzing the microstructure and surface characteristics of utilized organosolv lignin, a high shear mixing procedure was followed to produce binders of different proportions of lignin in bitumen. Pressure aging vessel conditioning was applied to these binders to simulate in-field aging and a series of tests were performed. Fourier transform infrared spectroscopy was used to track the compositional changes of lignin–bitumen systems before and after aging respectively. The rheological changes due to oxidative aging in the different lignin–bitumen systems were studied by means of dynamic shear rheometer tests. Based on the spectroscopic laboratory analyses, certain proportions of organosolv lignin in bitumen have shown a potential oxidation retardation effect in bitumen since a reduction of carbonyl and sulfoxide compounds was observed. However, the addition of lignin reduced the fatigue life of bitumen and potentially led to an increase in brittle fracture sensitivity at low and medium temperatures. Nevertheless, lignin improved the rutting resistance at high temperatures. Overall, it can be concluded that organosolv lignin can suppress the oxidation of sulfur and carbon compounds in bitumen either by direct deceleration of oxidation reaction or interaction with compounds that otherwise are oxidizable, without seriously degrading the mechanical properties.","aging; bitumen; chemistry; microstructure; organosolv lignin; oxidation inhibitor; rheology","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:336447de-b3a9-429a-87d3-d91dcc3054ad","http://resolver.tudelft.nl/uuid:336447de-b3a9-429a-87d3-d91dcc3054ad","Digital Methods for Mapping Landscape Spaces in Landscape Design","Liu, M. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture)","","2020","With the growth of digital technology, the possibilities increase for landscape architects to gain a deeper understanding of landscape compositions and their spatial-visual characteristics. Despite the fact that digital methods are acknowledged to be useful for thinking about landscape space, in practice their potential is often still underutilized in the spatial design process. In order to break down the barriers of using digital methods in the practice of landscape design, it is essential to develop applications that show their potential and added value in a practical design context. This paper aims to provide an overview of some useful applications of digital mapping methods to understand spatial-visual characteristics of landscape and their transformations in a hypothetical landscape design process.","Intersubjective approaches; Landscape design; Mapping landscape space spatial-visual characteristics; Research through design","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:b7438e49-64e3-48f5-8d7e-19930e06c1b3","http://resolver.tudelft.nl/uuid:b7438e49-64e3-48f5-8d7e-19930e06c1b3","MBS Vehicle-Crossing Model for Crossing Structural Health Monitoring","Liu, X. (TU Delft Railway Engineering); Markine, V.L. (TU Delft Railway Engineering)","","2020","This paper presents the development of a multi-body system (MBS) vehicle-crossing model and its application in the structural health monitoring (SHM) of railway crossings. The vehicle and track configurations in the model were adjusted to best match the real-life situation. By using the measurement results obtained from an instrumented crossing and the simulation results from a finite element (FE) model, the MBS model was validated and verified. The results showed that the main outputs of the MBS model correlated reasonably well with those from both the measurements and the FE model. The MBS and FE models formed the basis of an integrated analysis tool, which can be applied to thoroughly study the performance of railway crossings. As part of the SHM system for railway crossings developed at Delft University of Technology, the MBS model was applied to identify the condition stage of a monitored railway crossing. The numerical results confirmed the highly degraded crossing condition. By using the measured degradation as the input in the MBS model, the primary damage sources were further verified. Through identifying the crossing condition stage and verifying the damage source, necessary and timely maintenance can be planned. These actions will help to avoid crossing failure and unexpected traffic interruptions, which will ultimately lead to sustainable railway infrastructure.","condition-stage identification; model validation and verification; multi-body system modelling; railway crossings; structural health monitoring; vehicle–crossing interaction","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:d3b83832-c1c8-4f66-89d9-17749cdde17a","http://resolver.tudelft.nl/uuid:d3b83832-c1c8-4f66-89d9-17749cdde17a","An investigation on the circumferential surface crack growth in steel pipes subjected to fatigue bending","Li, Z. (TU Delft Support Marine and Transport Techology); Jiang, X. (TU Delft Transport Engineering and Logistics); Hopman, J.J. (TU Delft Marine and Transport Technology; TU Delft Ship Design, Production and Operations); Zhu, Ling (Wuhan University of Technology); Liu, Zhiping (Wuhan University of Technology)","","2020","In the present paper, we propose an analytical method to calculate the Stress Intensity Factor (SIF) of circumferential surface cracks in steel pipes subjected to bending. In light of pipe geometry and bending load case, the analytical formula is raised by introducing new bending correction factors and new geometry correction factors on the basis of the Newman-Raju’s method. The bending correction factors are deduced based on the bending stress gradient, while the geometry correction factors are determined by parametric studies for internal surface cracks and external surface cracks respectively. Owing to a large data set requirement by the parametric studies, three-dimensional finite element (FE) models of evaluating SIFs of circumferential surface cracks are developed. The FE method is validated to ensure that it could provide accurate SIF estimations. Analytical verification is conducted which shows that the SIF evaluated by the proposed analytical method match well with the results evaluated by the recommended analytical method. Then experimental investigations of external surface crack growth in offshore steel pipe subjected to fatigue bending are implemented to further validate the analytical method of predicting surface crack growth rate. The analytical results match well with the test results and the available experimental data from literature, indicating that the analytical method can be used for practical purposes and facilitate the crack growth evaluation and residual fatigue life prediction of cracked steel pipes.
Previous article in issue","Steel pipes; Circumferential surface crack; Surface crack growth rate; Analytical method; Structural integrity","en","journal article","","","","","","","","","","Marine and Transport Technology","Support Marine and Transport Techology","","",""
"uuid:e01344c1-79f5-4a10-9398-ae143bfda089","http://resolver.tudelft.nl/uuid:e01344c1-79f5-4a10-9398-ae143bfda089","Modelling of five-point bending beam test for asphalt surfacing system on orthotropic steel deck bridges","Liu, X. (TU Delft Pavement Engineering); Li, J (TU Delft Sanitary Engineering); Tzimiris, G. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2019","The orthotropic steel deck bridges (OSDBs) in the Netherlands consists mostly of multilayer system: top porous asphalt layer, guss asphalt layer, steel deck and two membrane layers. The five-point bending (5PB) beam test is the standard device in France for characterization of fatigue response of asphalt concrete surfacings used on orthotropic steel deck bridges. In this paper, an analytical solution for the 5PB beam test setup is presented first. In order to better understand the influence of geometrical, mechanical and structural parameters on the performance of the typical multilayer surfacing system of OSDBs, the 5PB test specimens with five structural layers have been investigated. The parametric study is performed at the numerical platform CAPA-3D that was developed at the Section of Structural Mechanics of TU Delft. The influences of the thickness of the asphalt layers and the mechanical properties of both top and bottom membrane layers are studied. The sensitivities of those influence factors are evaluated by the examination of the maximum tensile stress at the top surface of the porous asphalt layers and the strain distributions through the entire thickness of the specimen at two cross-sections.","asphalt concrete; finite element; Five-point bending beam test; membrane; multilayer surfacing system; orthotropic steel deck bridge","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:0f8ed879-f0eb-4c50-8989-5de0d7bed07b","http://resolver.tudelft.nl/uuid:0f8ed879-f0eb-4c50-8989-5de0d7bed07b","Physiological responses of Saccharomyces cerevisiae to industrially relevant conditions: Slow growth, low pH, and high CO2 levels","Hakkaart, X.D.V. (TU Delft BT/Industriele Microbiologie); Liu, Y. (TU Delft OLD BT/Cell Systems Engineering); Hulst, Mandy (Student TU Delft); el Masoudi, Anissa (Student TU Delft); Peuscher, Eveline (Student TU Delft); Pronk, J.T. (TU Delft BT/Biotechnologie); van Gulik, W.M. (TU Delft OLD BT/Cell Systems Engineering); Daran-Lapujade, P.A.S. (TU Delft BT/Industriele Microbiologie)","","2019","Engineered strains of Saccharomyces cerevisiae are used for industrial production of succinic acid. Optimal process conditions for dicarboxylic-acid yield and recovery include slow growth, low pH, and high CO2. To quantify and understand how these process parameters affect yeast physiology, this study investigates individual and combined impacts of low pH (3.0) and high CO2 (50%) on slow-growing chemostat and retentostat cultures of the reference strain S. cerevisiae CEN.PK113-7D. Combined exposure to low pH and high CO2 led to increased maintenance-energy requirements and death rates in aerobic, glucose-limited cultures. Further experiments showed that these effects were predominantly caused by low pH. Growth under ammonium-limited, energy-excess conditions did not aggravate or ameliorate these adverse impacts. Despite the absence of a synergistic effect of low pH and high CO2 on physiology, high CO2 strongly affected genome-wide transcriptional responses to low pH. Interference of high CO2 with low-pH signaling is consistent with low-pH and high-CO2 signals being relayed via common (MAPK) signaling pathways, notably the cell wall integrity, high-osmolarity glycerol, and calcineurin pathways. This study highlights the need to further increase robustness of cell factories to low pH for carboxylic-acid production, even in organisms that are already applied at industrial scale.","acid stress; carbon dioxide; carboxylic acid; yeast; zero-growth","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:d1990450-d851-4dfb-8faf-25d7fd4e2506","http://resolver.tudelft.nl/uuid:d1990450-d851-4dfb-8faf-25d7fd4e2506","The role of thermodynamics and kinetics in rubber–bitumen systems: a theoretical overview","Wang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Zhu, Jiqing (Swedish National Road and Transport Research Institute); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Erkens, S. (TU Delft Pavement Engineering)","","2020","Waste tire rubber has been incorporated into asphalt modification for decades due to its various benefits. There are two main mechanisms during bitumen–rubber interaction: rubber swelling and chemical degradation. This study surveys these two processes from the viewpoint of polymer science. The kinetics of rubber dissolution and thermodynamics of rubber swelling are discussed to provide a fundamental understanding of the interaction process and to demonstrate how optimisation of material selection and processing procedures can lead to the desired binder properties. Factors including the interaction conditions and raw material characteristics are analysed based on the previous theories and compared with experimental results.","chemical degradation; Crumb rubber modified bitumen; diffusion; solubility; swelling; thermodynamics","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:7aad6008-ed99-4549-8761-ae73f9dd9531","http://resolver.tudelft.nl/uuid:7aad6008-ed99-4549-8761-ae73f9dd9531","A Time-Space Network Model for Collision-free Routing of Planar Motions in a Multi-Robot Station","Xin, J. (Zhengzhou University); Meng, Chuang (Zhengzhou University); Schulte, F. (TU Delft Transport Engineering and Logistics); Peng, Jinzhu (Zhengzhou University); Liu, Yanhong (Zhengzhou University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2020","This article investigates a new collision-free routing problem of a multirobot system. The objective is to minimize the cycle time of operation tasks for each robot while avoiding collisions. The focus is set on the operation of the end-effector and its connected joint, and the operation is projected onto a circular area on the plane. We propose to employ a time-space network (TSN) model that maps the robot location constraints into the route planning framework, leading to a mixed integer programming (MIP) problem. A dedicated genetic algorithm is proposed for solving this MIP problem and a new encoding scheme is designed to fit the TSN formulation. Simulation experiments indicate that the proposed model can obtain the collision-free route of the considered multirobot system. Simulation results also show that the proposed genetic algorithm can provide fast and high-quality solutions, compared to two state-of-the-art commercial solvers and a practical approach.","Multi-robot systems; routing; time-space network model; collision avoidance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-22","","","Transport Engineering and Logistics","","",""
"uuid:1c3cc083-d0c8-4cfb-97ca-cd04d50517dd","http://resolver.tudelft.nl/uuid:1c3cc083-d0c8-4cfb-97ca-cd04d50517dd","Fault diagnosis of the 10MW Floating Offshore Wind Turbine benchmark: A mixed model and signal-based approach","Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Jan-Willem van Wingerden); Wu, Ping (Zhejiang Sci-Tech University); Jiang, X. (TU Delft Transport Engineering and Logistics); Li, Sunwei (Tsinghua University); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Floating Offshore Wind Turbines (FOWTs) operate in the harsh marine environment with limited accessibility and maintainability. Not only failures are more likely to occur than in land-based turbines, but also corrective maintenance is more expensive. In the present study, a mixed model and signal-based Fault Diagnosis (FD) architecture is developed to detect and isolate critical faults in FOWTs. More specifically, a model-based scheme is developed to detect and isolate the faults associated with the turbine system. It is based on a fault detection and approximation estimator and fault isolation estimators, with time-varying adaptive thresholds to guarantee against false-alarms. In addition, a signal-based scheme is established, within the proposed architecture, for detecting and isolating two representative mooring lines faults. For the purpose of verification, a 10MW FOWT benchmark is developed and its operating conditions, which contains predefined faults, are simulated by extending the high-fidelity simulator. Based on it, the effectiveness of the proposed architecture is illustrated. In addition, the advantages and limitations are discussed by comparing its fault detection to the results delivered by other approaches. Results show that the proposed architecture has the best performance in detecting and isolating the critical faults in FOWTs under diverse operating conditions.","Fault diagnosis; floating offshore wind turbine; model-based scheme; Signal-based scheme; FAST simulation","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:6ca465c1-d132-4d86-9226-b9750a00e23a","http://resolver.tudelft.nl/uuid:6ca465c1-d132-4d86-9226-b9750a00e23a","Influence of wheel polygonal wear on wheel-rail dynamic contact in a heavy-haul locomotive under traction conditions","Liang, Hongqin (Southwest Jiaotong University); Liu, Pengfei (Shijiazhuang Tiedao University); Wang, Tianlong (Shijiazhuang Tiedao University); Wang, Haoyu (TU Delft Mechanics and Physics of Structures); Zhang, Kailong (Shijiazhuang Tiedao University); Cao, Yunqiang (Shijiazhuang Tiedao University); An, Dong (Southwest Jiaotong University)","","2020","For a heavy-haul locomotive within a wheel repairing period, wheel polygonal wear with different operating mileages is obtained by field testing. The test results show that the maximum radial runout of the wheel can increase to 0.87 mm and accompany with the typical damage of wheel tread shelling. Taking the wheel polygons as input excitation, the locomotive-track coupled dynamic model is established, which is verified by the comparisons of test and calculated wheelset vertical acceleration in time and frequency domains. The variable wheel-rail friction coefficient is introduced so as to consider the dry and wet rail conditions. The wheel-rail dynamic contact characteristics under the traction and dry-wet rail surface conditions are analysed simultaneously. It is found that the wheel polygon deteriorates the locomotive traction performance and induces the obvious wheel-rail slipping with large tangential stress, especially in wet rail condition. In dry condition, the wheel-rail could contact generally in the adhesion state. But the longitudinal creep forces fluctuate locally with some larger amplitudes closed to the adhesion force, which is mainly attributed to the excitation of serious wheel polygon. Comparing with the results of the newly repaired wheel, the maximum wheel-rail vertical force, longitudinal force, normal stress and tangential stress at the end of wheel repairing period can increase by 55 kN, 28 kN, 240 MPa and 470 MPa in sequence. The wheel-rail slipping and high-stress state in traction condition should be the dominant factors contributing to the wheel damage of tread shelling.","Heavy-haul locomotive; traction; wheel polygon; wheel-rail contact","en","journal article","","","","","","Accepted author manuscript","","","","","Mechanics and Physics of Structures","","",""
"uuid:3e2c3bbf-1552-48cf-b024-f9125768ef85","http://resolver.tudelft.nl/uuid:3e2c3bbf-1552-48cf-b024-f9125768ef85","A Fast Protection of Multi-terminal HVDC System Based on Transient Signal Detection","Liu, Lian (Prysmian Group); Liu, Zhou (Aalborg University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.)","","2020","HVDC technologies are widely acknowledged as one of solutions for the interconnection of renewable energy resources with the main electric power grid. The application of the latest modular multi-level converter (MMC) makes power conversion much more efficient. Due to the relatively low impedance in a DC system, DC fault currents may rise to an extremely high level in a short period of time, which can be very dangerous for HVDC converters. To improve the sustainability and security of electricity transmission, protection solutions for HVDC systems are being developed. Nevertheless, they have various drawbacks on fault signal detection and timely clearance. This paper proposes a protection method that provides a fast and reliable solution addressing those drawbacks. A protection algorithm based on travelling wave simulation and analysis is proposed to detect abrupt transient signals. The algorithm shows high efficiency, reliability, selectivity and has low sampling frequency requirements. The proposed protection method has been validated through a cyber-physical simulation platform, developed using a real-time digital simulator (RTDS) and IEC 61850 communication links. The obtained results show that the proposed method has good potential for practical applications.","Electromagnetic transients (EMT); high voltage direct current (HVDC); modular multi-level converter (MMC); protection; real time digital simulator (RTDS); signal processing; voltage source converter (VSC); IEC 61850","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Intelligent Electrical Power Grids","","",""
"uuid:e7fdb43f-e571-4ac6-b751-adea967db129","http://resolver.tudelft.nl/uuid:e7fdb43f-e571-4ac6-b751-adea967db129","Surface Modification of Steel Slag Aggregate for Engineering Application in Asphalt Mixture","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Meng, Jiandang (Puyang Branch Office of Henan Transport Development Group Co., Ltd.); Yang, Zhengxian (Fuzhou University); Jing, R. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Sheng, Yanping (Chang'an University)","","2022","The proper disposal of steel slag has always been a great challenge for the metallurgical industry in China and around the world. In this work, the steel slag aggregate (SSA) was surface pretreated (PSSA) and applied into asphalt mixture. The adhesive behavior between the bitumen and five different types of aggregates (i.e., limestone, diorite, diabase, SSA, PSSA) were evaluated based on the contact angle and binder bond strength tests. The pavement performance of three asphalt mixtures which contain normal aggregate, SSA and PSSA respectively, was analyzed by Marshall stability test, wheel-tracking rutting test, low-temperature bending creep test and water sensitivity test. The results showed that surface modification can improve the surface properties of SSA, reduce its contact angle with bitumen, and eventually lead to the improvement of adhesion between them. In addition to the satisfied low-temperature properties, PSSA was found to significantly improve the anti-rutting property and reduce the water sensitivity of asphalt mixture. This work is expected to promote an alternative application for recycling of SSA in pavement engineering.","pretreated steel slag aggregate; asphalt mixture; performance characterization; adhesion; surface energy","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:238461e4-3588-4833-85b7-7382ab75b422","http://resolver.tudelft.nl/uuid:238461e4-3588-4833-85b7-7382ab75b422","Modeling Pedestrian Tactical and Operational Decisions Under Risk and Uncertainty: A Two-Layer Model Framework","Huang, R. (TU Delft Transport and Planning; Chang'an University); Zhao, Xuan (Chang'an University); Yuan, Y. (TU Delft Transport and Planning); Yu, Qiang (Chang'an University); Liu, Chengqing (CISDI Engineering Company Ltd.); Daamen, W. (TU Delft Transport and Planning)","","2023","Pedestrian tactical choices and operational movement in evacuations essentially pertain to decision-making under risk and uncertainty. However, in microscopic evacuation models, this attribute has been greatly overlooked, even lacking a methodology to delineate the related decision characteristics (bounded rationality and risk attitudes), let alone their effects on evacuation processes. This work presents an innovative two-layer floor field cellular automaton model framework, where three intertwined sub-modules respectively dedicated to modelling the exit choice, the locomotion movement and the exit-choice changing behaviours are proposed and integrated as an entity. By introducing various decision-making elements computed by the proposed algorithm, Cumulative Prospect Theory (CPT) is proposed for the first time to model the exit choice and locomotion decision-making under risk and uncertainty. In the exit-choice changing module, attractive and repulsive forces are invented to jointly describe the tendency to revisit the routing decision. Each sub-module and the whole framework are validated in manifold indoor environments. The simulation results of the modules with CPT accord with the empirics from the evacuation experiments and are superior over those from the state-of-the-art models. The degree of rationality and risk attitudes are proven to have significant impacts on tactical and operational decisions. Furthermore, irrational behaviour in decision-making is not variably detrimental to locomotion efficiency of pedestrians. The proposed framework can serve as an elegant tool to predict pedestrian dynamics. The behavioural findings shed new light on understanding and modelling the tactical and operational decisions in evacuations.","cellular automaton; Computational modeling; cumulative prospect theory; Decision making; Mathematical models; Microscopy; Numerical models; operational decision; Pedestrian simulation; Sensitivity analysis; tactical decision; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Transport and Planning","","",""
"uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","http://resolver.tudelft.nl/uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","Shear creep behaviour of soil-structure interfaces under thermal cyclic loading","Golchin, A. (TU Delft Geo-engineering; Southeast University); Guo, Y. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering; Southeast University); Liu, S. (Student TU Delft); Zhang, G. (Student TU Delft); Hicks, M.A. (TU Delft Geo-engineering; Southeast University)","","2023","The coupling effect of initial shear stress and thermal cycles on the thermomechanical behaviour of clay concrete and sand-concrete interfaces has been studied. A set of drained monotonic direct shear tests was conducted at the soil-concrete interface level. Samples were initially sheared to half of the material's shear strength and then they were subjected to five heating/cooling cycles before being sheared to failure. The test results showed that the effect of thermal cycles on the shear strength of the materials was negligible, yet shear displacement occurred during application of thermal cycles without an increase in shear stress, confirming the coupling between the shear stress and temperature. In addition, a slight increase of stiffness due to the coupling was observed which diminished with further shearing.","Creep; Interface; Thermal cycles; Thermo-active structures; Thermomechanical behaviour","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","http://resolver.tudelft.nl/uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","Participation as a Tool for the Sustainable Redesign of Vacant Heritage: The Case of Politiebureau Groningen Centrum","Liu, Chi (Student TU Delft); Gonçalves, Joana (TU Delft Heritage & Architecture); Quist, W.J. (TU Delft Heritage & Architecture)","","2023","This paper explores the opportunities for locals’ participation as a tool for the sustainable redevelopment of vacant heritage. It focuses on the Politiebureau Groningen Centrum (The Netherlands) as a case study to apply a novel approach to engage community participation in architectural redesign. It fills the academic gap on participation in heritage building redesign lacking diversity in stakeholder perspectives and overcomes some of the current participatory design tools’ downsides, identified in the previous literature: lack of transparent communication and high requirement for participants. This research employs a combination of methods structured by sets of divergent and convergent phases. Cognitive mapping, semi-structured interviewing, and a 2,5D model game were tested in the research for inquiry and redesign testing, the two key participatory stages. The research outcomes include participants’ perceptions and remembrance of the site for generating redesign scenarios, the common ground in their scenario preferences, and their contrasting attitudes toward the overall material and the specific elements. The 2,5D model game tool turns out effective in transparently delivering the redesign possibilities to participants and lowering the requirements of time, language skill, and learning capacity, thus being easily repeatable for other sites and participants to boost social and community values. Future research recommendations are given on applying the approach to larger samples covering all the minorities to get in-depth knowledge on the community’s collective perspectives in relation to their sociodemographic characteristics and validate the hypothesis on their preferences toward materials and elements.","sustainability; heritage redevelopment; participatory design; public engagement; community value; social value; Faro Convention; HUL; heritage; cognitive mapping","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","http://resolver.tudelft.nl/uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","Templated Synthesis of Diamond Nanopillar Arrays Using Porous Anodic Aluminium Oxide (AAO) Membranes","Zhang, C. (TU Delft Micro and Nano Engineering; Harbin Institute of Technology); Liu, Z. (TU Delft Micro and Nano Engineering); Li, Chun (Harbin Institute of Technology); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","Diamond nanostructures are mostly produced from bulk diamond (single- or polycrystalline) by using time-consuming and/or costly subtractive manufacturing methods. In this study, we report the bottom-up synthesis of ordered diamond nanopillar arrays by using porous anodic aluminium oxide (AAO). Commercial ultrathin AAO membranes were adopted as the growth template in a straightforward, three-step fabrication process involving chemical vapor deposition (CVD) and the transfer and removal of the alumina foils. Two types of AAO membranes with distinct nominal pore size were employed and transferred onto the nucleation side of CVD diamond sheets. Subsequently, diamond nanopillars were grown directly on these sheets. After removal of the AAO template by chemical etching, ordered arrays of submicron and nanoscale diamond pillars with ~325 nm and ~85 nm diameters were successfully released.","template-assisted growth; diamond nanopillars; anodic aluminium oxide (AAO); chemical vapor deposition (CVD); stress modeling","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","http://resolver.tudelft.nl/uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","Association between prenatal alcohol exposure and children's facial shape:: a prospective population-based cohort study","Liu, X. (Erasmus MC); Kayser, Manfred (Erasmus MC); Kushner, S.A. (Erasmus MC); Tiemeier, H (Harvard T.H. Chan School of Public Health); Rivadeneira, F (Erasmus MC); Jaddoe, Vincent (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Wolvius, E.B. (Erasmus MC); Roshchupkin, G.V. (Erasmus MC)","","2023","STUDY QUESTION: Is there an association between low-to-moderate levels of prenatal alcohol exposure (PAE) and children's facial shape? SUMMARY ANSWER: PAE before and during pregnancy, even at low level (<12 g of alcohol per week), was found associated with the facial shape of children, and these associations were found attenuated as children grow older. WHAT IS KNOWN ALREADY: High levels of PAE during pregnancy can have significant adverse associations with a child's health development resulting in recognizably abnormal facial development. STUDY DESIGN, SIZE, DURATION: This study was based on the Generation R Study, a prospective cohort from fetal life onwards with maternal and offspring data. We analyzed children 3-dimensional (3D) facial images taken at ages 9 (n = 3149) and 13 years (n = 2477) together with the data of maternal alcohol consumption. PARTICIPANTS/MATERIALS, SETTING, METHODS: We defined six levels of PAE based on the frequency and dose of alcohol consumption and defined three tiers based on the timing of alcohol exposure of the unborn child. For the image analysis, we used 3D graph convolutional networks for non-linear dimensionality reduction, which compressed the high-dimensional images into 200 traits representing facial morphology. These 200 traits were used for statistical analysis to search for associations with PAE. Finally, we generated heatmaps to display the facial phenotypes associated with PAE. MAIN RESULTS AND THE ROLE OF CHANCE: The results of the linear regression in the 9-year-old children survived correction for multiple testing with false discovery rate (FDR). In Tier 1 where we examined PAE only before pregnancy (exposed N = 278, unexposed N = 760), we found three traits survived FDR correction. The lowest FDR-P is 1.7e-05 (beta = 0.021, SE = 0.0040) in Trait #29; In Tier 2b where we examine any PAE during first trimester (exposed N = 756; unexposed N = 760), we found eight traits survived FDR correction. The lowest FDR-P is 9.0e-03 (beta = -0.013, SE = 0.0033) in Trait #139. Moreover, more statistically significant facial traits were found in higher levels of PAE. No FDR-significant results were found in the 13-year-old children. We map these significant traits back to the face, and found the most common detected facial phenotypes included turned-up nose tip, shortened nose, turned-out chin, and turned-in lower-eyelid-related regions. LIMITATIONS, REASONS FOR CAUTION: We had no data for alcohol consumption more than three months prior to pregnancy and thus do not know if maternal drinking had chronic effects. The self-reported questionnaire might not reflect accurate alcohol measurements because mothers may have denied their alcohol consumption. WIDER IMPLICATIONS OF THE FINDINGS: Our results imply that facial morphology, such as quantified by the approach we proposed here, can be used as a biomarker in further investigations. Furthermore, our study suggests that for women who are pregnant or want to become pregnant soon, should quit alcohol consumption several months before conception and completely during pregnancy to avoid adverse health outcomes in the offspring. STUDY FUNDING/COMPETING INTEREST(S): This work was supported by Erasmus Medical Centre, Rotterdam, the Erasmus University Rotterdam, and the Netherlands Organization for Health Research. V.W.V.J. reports receipt of funding from the Netherlands Organization for Health Research (ZonMw 90700303). W.J.N. is a founder, a scientific lead, and a shareholder of Quantib BV. TRIAL REGISTRATION NUMBER: N/A.","child health; 3D facial shape analysis; explainable artificial intelligence; epidemiology; prenatal alcohol exposure","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","http://resolver.tudelft.nl/uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","Mitigation of Quasiparticle Loss in Superconducting Qubits by Phonon Scattering","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Krogstrup, Peter (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft Andersen Lab; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft)","","2023","Quantum error correction will be an essential ingredient in realizing fault-tolerant quantum computing. However, most correction schemes rely on the assumption that errors are sufficiently uncorrelated in space and time. In superconducting qubits, this assumption is drastically violated in the presence of ionizing radiation, which creates bursts of high-energy phonons in the substrate. These phonons can break Cooper pairs in the superconductor and, thus, create quasiparticles over large areas, consequently reducing qubit coherence across the quantum device in a correlated fashion. A potential mitigation technique is to place large volumes of normal or superconducting metal on the device, capable of reducing the phonon energy to below the superconducting gap of the qubits. To investigate the effectiveness of this method, we fabricate a quantum device with four nominally identical nanowire-based transmon qubits. On the device, half of the niobium-titanium-nitride ground plane is replaced with aluminum (Al), which has a significantly lower superconducting gap. We deterministically inject high-energy phonons into the substrate by voltage biasing a galvanically isolated Josephson junction. In the presence of the small-gap material, we find a factor of 2–5 less degradation in the injection-dependent qubit lifetimes and observe that the undesired excited qubit state population is mitigated by a similar factor. We furthermore turn the Al normal with a magnetic field, finding no change in the phonon protection. This suggests that the efficacy of the protection in our device is not limited by the size of the superconducting gap in the Al ground plane. Our results provide a promising foundation for protecting superconducting-qubit processors against correlated errors from ionizing radiation.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:a39c46af-5c30-4c39-a171-063f1ba31964","http://resolver.tudelft.nl/uuid:a39c46af-5c30-4c39-a171-063f1ba31964","Analogical Assessment of Train-Induced Vibration and Radiated Noise in a Proposed Theater","Liu, X. (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Xiao, Yuchun (Bureau of Public Works of Shenzhen Municipality); Jiang, Huihuang (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering); Yu, Mengwen (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Tan, Wanzhong (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit)","","2023","This study presents the analogical assessment of the train-induced vibration and radiated noise in a proposed theater. The theater is to be constructed in a region with crowded metro lines, and the assessment is implemented in an analogical building with comparable structural type and metro condition. Prior to the assessment, the comparability of the analogical building with the theater is validated using the train-induced ground vibration. With the same horizontal distance from the metro line, the train-induced vibration level in the analogical building is 9 dB higher than that in the construction site of the theater. Such results indicate that the lack of soil layers may lead to a dramatic increase in train-induced vibration in the building. In the staircase of the analogical building, the train-induced radiated noise reached 55 dB (A), which is 10 dB (A) higher than the daytime allowable level. As the most important indicator, the noise rating number in the cinema of the analogical building is NR-43, which put forward an enormous challenge on the construction of the theater with a denoise demand of 23 dB. The analogical method applied in this study provides an effective and practical way for the assessment of train-induced vibration and radiated noise in proposed vibration-sensitive buildings. The assessment results that provide necessary reference and support for the anti-vibration design will help guarantee the stage effect of the theater.","train-induced vibration; radiated noise; analogical assessment; vibration acceleration level; A-weighted sound pressure; noise rating number; condition assessment","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:b303ac20-37a6-4722-83d1-90874e97f378","http://resolver.tudelft.nl/uuid:b303ac20-37a6-4722-83d1-90874e97f378","Spiking Neural-Networks-Based Data-Driven Control","Liu, Y. (Student TU Delft); Pan, W. (TU Delft Robot Dynamics)","","2023","Machine learning can be effectively applied in control loops to make optimal control decisions robustly. There is increasing interest in using spiking neural networks (SNNs) as the apparatus for machine learning in control engineering because SNNs can potentially offer high energy efficiency, and new SNN-enabling neuromorphic hardware is being rapidly developed. A defining characteristic of control problems is that environmental reactions and delayed rewards must be considered. Although reinforcement learning (RL) provides the fundamental mechanisms to address such problems, implementing these mechanisms in SNN learning has been underexplored. Previously, spike-timing-dependent plasticity learning schemes (STDP) modulated by factors of temporal difference (TD-STDP) or reward (R-STDP) have been proposed for RL with SNN. Here, we designed and implemented an SNN controller to explore and compare these two schemes by considering cart-pole balancing as a representative example. Although the TD-based learning rules are very general, the resulting model exhibits rather slow convergence, producing noisy and imperfect results even after prolonged training. We show that by integrating the understanding of the dynamics of the environment into the reward function of R-STDP, a robust SNN-based controller can be learned much more efficiently than TD-STDP.","spiking neural network; reinforcement learning; control","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:7faa82b3-5a0d-4457-9a0b-37a4cdf52d32","http://resolver.tudelft.nl/uuid:7faa82b3-5a0d-4457-9a0b-37a4cdf52d32","How Fear of External Threats Plays Roles: An Examination of Supervisors’ Trait Anger, Abusive Supervision, Subordinate Burnout and CCB","Zhang, Wen (Beijing Normal University); Liu, Wei (TU Delft Design Aesthetics); Wu, Yingyee (Beijing Normal University); Ma, Chenlu (Beijing Normal University); Xiao, Xiyao (Beijing Normal University); Zhang, Xichao (Beijing Normal University)","","2022","In times of uncertainty, such as during COVID-19, many organizations experience profit decline, and employees develop a fear of external threats, such as organizational layoffs. However, most of the literature focuses on how people’s fear influences their well-being. Less is known about how employees’ fear of external threats influences their workplace behaviors. The current study proposes that supervisors’ fear of external threats stimulates those who are high in trait anger to behave in a more abusive way. Simultaneously, subordinates’ fear of external threats would strengthen the positive relationship between abusive supervision and their burnout and compulsory citizenship behaviors (CCB), as fear of external threats constrains their response options to abusive supervision. We tested the hypotheses with a multiwave and multisource survey study (N = 322 dyads) in China, and the results showed that supervisors’ fear of external threats strengthened the positive effect of trait anger on abusive supervision. Subordinates’ fear of external threats strengthens the positive relationships of abusive supervision with CCB and the mediating effect of abusive supervision in the relationship of supervisors’ trait anger with subordinates’ CCB. Our study enriches people’s understanding of how supervisors’ and subordinates’ fear of external threats may play roles in workplace behaviors.","abusive supervision; burnout; compulsory citizenship behaviors; fear of external threats; trait anger","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","http://resolver.tudelft.nl/uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","Numerical study of interactive ingress of calcium leaching, chloride transport and multi-ions coupling in concrete","Liu, Qing feng (Shanghai Jiao Tong University); Shen, Xiao-han (Shanghai Jiao Tong University; University of New South Wales); Šavija, B. (TU Delft Materials and Environment); Meng, Zhaozheng (Shanghai Jiao Tong University; Student TU Delft); Tsang, Daniel C.W. (The Hong Kong Polytechnic University); Sepasgozar, Samad (University of New South Wales); Schlangen, E. (TU Delft Materials and Environment)","","2023","In circumstances with wastewater and seawater, the behavior of multi-ions including calcium, chloride and others in concrete attracts attention. The present study investigated the multiple mechanisms that could happen under the special field situation above, including calcium leaching, chloride transport and multi-ion coupling. To realize the interactive ingress of multi ions, the simulation method for the processing of the individual mechanisms and the mutual influences is adopted. The distributions of the diversified ions are analyzed with the influence of the interfacial transition zone. The time-spatial distribution of porosity and its evolution mechanisms are investigated by considering the interaction with calcium ions in both pore solution and solid phase. The results indicate that calcium leaching would dominantly speed up chloride transport due to the coarsened pore structure, while the multi ions electrochemical coupling effect would facilitate calcium leaching in the early stage but subtly delay calcium leaching in the later stage.","Calcium leaching; Chloride ingress; Multi-ions coupling; Pore structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Materials and Environment","","",""
"uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","http://resolver.tudelft.nl/uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","Recent Advances and Design Strategies Towards Wearable Near-infrared Spectroscopy","Liu, Shuoyan (National University of Singapore); Xue, Bing (National University of Singapore); Yan, Wenyuan (Northwestern University); Rwei, A.Y. (TU Delft ChemE/Product and Process Engineering); Wu, Changsheng (National University of Singapore)","","2023","With a growing focus on properties of softness, miniaturization, and intelligence, extensive research has been focusing on constructing wearable electronic devices facilitating comfort, wearable health monitoring and diagnosis. Among recent progress in the development of wearable bioelectronics, wearable near-infrared spectroscopy (NIRS) devices demonstrate wide implementation possibilities in multiple health monitoring scenarios. Throughout the years, multiple design strategies have assisted in developing wearable NIRS devices with high wearing comfortability and miniaturized size. This review summarizes the principle of NIRS technology, recent advances in design strategies towards soft, wearable, miniaturized NIRS devices, and the future potential development directions. Based on the discussion of different design strategies, including modular device design, flexible hybrid electronics, and materials innovation, we also pinpoint some development directions for wearable NIRS. The reviewed and proposed research efforts may enhance the applicability and capability of NIRS as an important technology for digital health.","Biomedical monitoring; Blood; Detectors; Light emitting diodes; Monitoring; Nanotechnology; Technological innovation","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","http://resolver.tudelft.nl/uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","Molecular dynamics simulation and experimental validation on the interfacial diffusion behaviors of rejuvenators in aged bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Wang, H. (University of Nottingham)","","2023","This study aims to multiscale investigate the effects of rejuvenator type, temperature, and aging degree of bitumen on the diffusion behaviors of rejuvenators (bio-oil BO, engine-oil EO, naphthenic-oil NO, and aromatic-oil AO) in aged binders. The molecular dynamics (MD) simulation method is performed to detect the molecular-level diffusion characteristics of rejuvenators and predict their diffusion coefficient (D) parameters. At an atomic scale, the mutual but partial interfacial diffusion feature between rejuvenators and aged bitumen molecules is observed. Moreover, Fick’s Second Law well fits the concentration distribution of rejuvenator molecules in aged bitumen. The magnitude for D values of four rejuvenators varies from 10-11 to 10-10 m2/s, and the diffusive capacity order is BO > EO > NO > AO. Meanwhile, diffusion tests and dynamic shear rheometer (DSR) characterizations are employed to validate the MD simulation outputs. The experimental results in magnitude and order of D values agree well with MD simulation outputs. Lastly, the increased aging degree of bitumen exhibits a negative impact on the molecular diffusivity of BO, EO, and NO rejuvenators, while the D value of AO molecules enlarges as the aging level deepens. The underlying mechanism may be composed of the free volume fraction in aged bitumen and the intermolecular force between rejuvenator and aged bitumen molecules, which differs remarkably for various rejuvenators.","Diffusion behavior; Rejuvenator; Aged bitumen; Molecular dynamics simulation; Experimental validation; Influence factors","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:10411ae0-5545-4f31-946d-978354a8a0af","http://resolver.tudelft.nl/uuid:10411ae0-5545-4f31-946d-978354a8a0af","Interoperability of classical and advanced controllers in MMC based MTDC power system","Liu, L. (TU Delft Intelligent Electrical Power Grids); Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","This paper presents the modular-multilevel-converter (MMC) control interoperability (IOP) and interaction within the High Voltage Direct Current (HVDC)-based power system. IOP is a crucial issue in the large-scale HVDC grid with different suppliers. To accommodate future multi-vendor HVDC grids developments, this article comprehensively investigates the MMC control IOP issue. Firstly, the most commonly adopted proportional-integral (PI) control and other non-linear controllers, e.g., model predictive control (MPC), back-stepping control (BSC), and sliding mode control (SMC), are constructed for MMC. Then, the IOP simulations are carried out in a multi-terminal direct current (MTDC) system in real-time digital simulator (RTDS) environment. The most frequent transients of the practical projects, e.g., power flow changing, wind speed changing, and DC/AC grid faults, are simulated with eight different scenarios. Each scenario presents different control capabilities in maintaining system stability, more precisely, the scenarios with non-linear controllers show faster settling time and fewer DC voltage and power variations. Controller switchings are also achieved without bringing large system oscillations. This paper provides the optimal allocation strategy of controllers to cope with system transients.","Interoperability; MMC non-linear control; MTDC system; MPC; Back-stepping control; Sliding-mode control; RTDS; Power system transients","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","http://resolver.tudelft.nl/uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","Hydrogen-Accelerated Fatigue of API X60 Pipeline Steel and Its Weld","Faucon, L.E.K. (Student TU Delft); Boot, T. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Scott, S.P. (TU Delft Team Vera Popovich); Liu, Ping (IntecSea BV); Popovich, V. (TU Delft Team Vera Popovich)","","2023","In this work, the hydrogen fatigue of pipeline steel X60, its girth welds and weld defects were investigated through in situ fatigue testing. A novel in situ gaseous hydrogen charging fatigue set-up was developed, which involves a sample geometry that mimics a small-scale pipeline with high internal hydrogen gas pressure. The effect of hydrogen was investigated by measuring the crack initiation and growth, using a direct current potential drop (DCPD) set-up, which probes the outer surface of the specimen. The base and weld metal specimens both experienced a reduction in fatigue life in the presence of hydrogen. For the base metal, the reduction in fatigue life manifested solely in the crack growth phase; hydrogen accelerated the crack growth by a factor of 4. The crack growth rate for the weld metal accelerated by a factor of 8. However, in contrast to the base metal, the weld metal also experienced a reduction of 57% in resistance to crack initiation. Macropores (>500 µm in size) on the notch surface reduced the fatigue life by a factor of 11. Varying the pressure from 70 barg to 150 barg of hydrogen caused no difference in the hydrogen fatigue behavior of the weld metal. The fracture path of the base and weld metal transitioned from transgranular and ductile in nature to a mixed-mode transgranular and intergranular quasi-cleavage fracture. Hydrogen accelerated the crack growth by decreasing the roughness- and plasticity-induced crack closure. The worst case scenario for pipelines was found in the case of weld defects. This work therefore highlights the necessity to re-evaluate pipelines for existing defects before they can be reused for hydrogen transport.","hydrogen embrittlement; gaseous hydrogen fatigue; pipeline steel; weld defects; in situ mechanical testing; crack initiation; fractography","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:289721dc-db65-400d-8f29-45b15903a1dd","http://resolver.tudelft.nl/uuid:289721dc-db65-400d-8f29-45b15903a1dd","Effects of nanobubbles on methane hydrate dissociation: A molecular simulation study","Fang, B. (TU Delft Engineering Thermodynamics; China University of Geosciences); Moultos, O. (TU Delft Engineering Thermodynamics); Lü, Tao (China University of Geosciences; Hubei Key Laboratory of Advanced Control and Intelligent Automation for Complex Systems,); Sun, Jiaxin (China University of Geosciences); Liu, Z. (China University of Geosciences); Ning, Fulong (Qingdao National Laboratory for Marine Science and Technology; China University of Geosciences); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2023","Hydrate dissociation is often accompanied by the formation of nanobubbles. Knowledge of the effects of nanobubbles on hydrate dissociation is essential for understanding the dynamic behavior of the hydrate phase change and improving the gas production efficiency. Here, molecular dynamics simulations were performed to study the methane hydrate dissociation kinetics with and without a pre-existing methane nanobubble. The results show that the hydrate cluster in the liquid phase dissociates layer-by-layer. This process is shown to be independent of the temperature and nanobubble presence at the simulation conditions. Hydrate dissociation does not always lead to nanobubble formation because the supersaturated methane solution can be stable for a long time. A steep methane concentration gradient was observed between the hydrate cluster surface and the methane nanobubble, which can enhance the directional migration of methane and effectively minimize the methane concentration in the liquid phase, thereby increasing the driving force for the hydrate dissociation. Our findings indicate that the presence of a nanobubble near the hydrate surface does not decrease the activation energy of hydrate dissociation, but it can increase the intrinsic decomposition rate. The average hydrate dissociation rate is linearly correlated with the mass flow rate towards the nanobubble. The mass flow rate is determined by the nanobubble size and hydrate-nanobubble distance. Our findings contribute to the fundamental understanding of the dissociation mechanism of gas hydrates in the liquid phase, which is crucial for the design and optimization of efficient gas hydrate production techniques.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","http://resolver.tudelft.nl/uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","Hydrogenated Boron Phosphide THz-Metamaterial-Based Biosensor for Diagnosing COVID-19: A DFT Coupled FEM Study","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Recent reports focus on the hydrogenation engineering of monolayer boron phosphide and simultaneously explore its promising applications in nanoelectronics. Coupling density functional theory and finite element method, we investigate the bowtie triangle ring microstructure composed of boron phosphide with hydrogenation based on structural and performance analysis. We determine the carrier mobility of hydrogenated boron phosphide, reveal the effect of structural and material parameters on resonance frequencies, and discuss the variation of the electric field at the two tips. The results suggest that the mobilities of electrons for hydrogenated BP monolayer in the armchair and zigzag directions are 0.51 and 94.4 cm2·V−1·s−1, whereas for holes, the values are 136.8 and 175.15 cm2·V−1·s−1. Meanwhile, the transmission spectra of the bowtie triangle ring microstructure can be controlled by adjusting the length of the bowtie triangle ring microstructure and carrier density of hydrogenated BP. With the increasing length, the transmission spectrum has a red-shift and the electric field at the tips of equilateral triangle rings is significantly weakened. Furthermore, the theoretical sensitivity of the BTR structure reaches 100 GHz/RIU, which is sufficient to determine healthy and COVID-19-infected individuals. Our findings may open up new avenues for promising applications in the rapid diagnosis of COVID-19.","hydrogenated boron phosphide monolayer; terahertz metamaterial; bowtie triangle ring microstructure; COVID-19 diagnosis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:2496cbf2-0b8a-43cf-9cc4-251c0d968f57","http://resolver.tudelft.nl/uuid:2496cbf2-0b8a-43cf-9cc4-251c0d968f57","Erratum: Plane-wave orthogonal polynomial transform for amplitude-preserving noise attenuation (Geophysical Journal International (2018) 214 (ggy267) DOI: 10.1093/gji/ggy267)","Chen, Yangkang (Zhejiang University); Huang, Weilin (China University of Petroleum - Beijing); Zhou, Yatong (Hebei University of Technology); Liu, Wei (China University of Petroleum - Beijing); Zhang, D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging)","","2021","The journal is publishing this Expression of Concern to alert readers while we investigate to determine whether further action is required.","","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:f82bb1a7-4291-4bb5-bce3-e919924d7aa4","http://resolver.tudelft.nl/uuid:f82bb1a7-4291-4bb5-bce3-e919924d7aa4","HistSFC: Optimization for nD massive spatial points querying","Liu, H. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Meijers, B.M. (TU Delft GIS Technologie); Guan, Xuefeng (Wuhan University); Verbree, E. (TU Delft GIS Technologie); Horhammer, Mike (Oracle)","","2020","Space Filling Curve (SFC) mapping-based clustering and indexing works effectively for point clouds management and querying. It maps both points and queries into a one-dimensional SFC space so that B+- tree could be utilized. Based on the basic structure, this paper develops a generic HistSFC approach which utilizes a histogram tree recording point distribution for efficient querying. The goal is to resolve the issue of skewed data querying. Besides, the paper proposes an agile method to compute a continuous Level of Detail (cLoD), and integrates it into HistSFC to support smooth rendering of massive points. Results indicate that for range queries, HistSFC decreases the False Positive Rate (FPR) of selection by maximally 80%, compared to previous approaches. It also performs significantly faster than the state-of- the-art Oracle SDO_PC solution. With improved performance on visualization and k Nearest Neighbour (kNN) search, HistSFC can therefore be used as a new standard solution.","Point Clouds; Histogram; Space Filling Curve; Benchmark; nD","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:16f4881f-deb3-464b-a67f-f2338ee5dfe5","http://resolver.tudelft.nl/uuid:16f4881f-deb3-464b-a67f-f2338ee5dfe5","The Prospect of Microwave Heating: Towards a Faster and Deeper Crack Healing in Asphalt Pavement","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Technological University Dublin; University College Dublin); Schlangen, E. (TU Delft Materials and Environment)","","2021","Microwave heating has been shown to be an effective method of heating asphalt concrete and in turn healing the damage. As such, microwave heating holds great potential in rapid (1–3 min) and effective damage healing, resulting in improvement in the service life, safety, and sustainability of asphalt pavement. This study focused on the microwave healing effect on porous asphalt concrete. Steel wool fibres were incorporated into porous asphalt to improve the microwave heating efficiency, and the optimum microwave heating time was determined. Afterwards, the microwave healing efficiency was evaluated using a semi–circular bending and healing programme. The results show that the microwave healing effect is largely determined by the steel fibre content and the mix design of the porous asphalt concrete.. Besides, the uneven heating effect of microwave contributes to an unstable damage recovery in the asphalt mixture, which makes it less efficient than induction heating. However, microwaves exhibited the ability to penetrate further into the depth of the test specimen and heat beneath the surface, indicating deeper damage recovery prospects.","Microwave heating; Porous asphalt; Self-healing asphalt; Semi-circular bending","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:1a16d744-6de4-4bc4-bdc3-3930fca66f44","http://resolver.tudelft.nl/uuid:1a16d744-6de4-4bc4-bdc3-3930fca66f44","A structural equation modeling approach for the acceptance of driverless automated shuttles based on constructs from the Unified Theory of Acceptance and Use of Technology and the Diffusion of Innovation Theory","Nordhoff, S. (TU Delft Transport and Planning; EICT GmbH); Malmsten, Victor (Research Institutes of Sweden RISE); van Arem, B. (TU Delft Transport and Planning); Liu, Peng (Tianjin University); Happee, R. (TU Delft Intelligent Vehicles)","","2021","The present study investigated the attitudes and acceptance of automated shuttles in public transport among 340 individuals physically experiencing the automated shuttle ‘Emily’ from Easymile in a mixed traffic environment on the semi-public EUREF (Europäisches Energieforum) campus in Berlin. Automated vehicle acceptance was modelled as a function of the Unified Theory of Acceptance and Use of Technology (UTAUT) constructs performance expectancy, effort expectancy, social influence, and facilitating conditions, the Diffusion of Innovation Theory (DIT) constructs compatibility and trialability, as well as trust and automated shuttle sharing. The results show that after adding the DIT constructs, automated shuttle sharing, and trust to the model, the effect of performance expectancy on behavioural intention was no longer significant. Instead, compatibility with current travel was the strongest predictor of behavioural intention to use automated shuttles. It was further found that individuals who are willing to share rides in an automated shuttle with fellow travelers (i.e., automated shuttle sharing) and who trust automated shuttles (i.e., trust) are more likely to intend to use automated shuttles (i.e., behavioural intention). The highest mean rating was obtained for believing that automated shuttles are easy to use, while the lowest mean rating was obtained for feeling safe inside the automated shuttle without any type of supervision. The analysis revealed a preference for the supervision of the automated shuttle via an external control room to the supervision by a human steward onboard. We recommend future research to investigate the hypothesis that compatibility could serve as an even stronger predictor of the behavioural intention to use automated shuttles in public transport than performance expectancy.","Automated shuttle sharing; Automated shuttles; Automated vehicle acceptance; DIT; UTAUT","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:5c782f6f-8604-49fa-b374-c7f24757f3af","http://resolver.tudelft.nl/uuid:5c782f6f-8604-49fa-b374-c7f24757f3af","An Investigation on the Current Collection Quality of Railway Pantograph-catenary Systems with Contact Wire Wear Degradations","Song, Yang (Norwegian University of Science and Technology (NTNU)); Wang, H. (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University)","","2021","In railway pantograph-catenary systems, the contact surfaces undergo wear in long-term operations, directly affecting interaction performance and potentially deteriorating the current collection quality. The effect of contact wire wear (CWW) on the current collection quality should be evaluated to understand the system's health status in operations. This article presents a stochastic analysis of the pantograph-catenary interaction performance with different levels of CWW based on four years of measurement data. The power spectral density (PSD) estimation is carried out on the measured CWW to obtain their frequency representations. The random time histories of CWW are generated based on the PSDs. A nonlinear finite element model of catenary with a lumped-mass pantograph is built. Using the Monte Carlo method, the stochastic analysis of pantograph-catenary contact force is carried out to investigate the distribution and dispersion of assessment indices with different levels of CWW. The results indicate that the CWW mainly affects the maximum and minimum contact forces instead of the contact force standard deviation. The optimal pantograph-catenary interaction performance is observed certain years after CWW is formed, depending on the traffic density of the railway line, which is at the second year in the presented case study. Then, the performance declines with an increase in service time. Also, higher operating speed causes a more significant dispersion in assessment indices representing a lower current collection quality, particularly at the maximum operating speed (70% of the catenary wave propagation speed).","Contact Force; Contact Wire Wear (CWW); Current Collection Quality; Electrified Railway; Pantograph-catenary Interaction; Stochastic Analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-10","","","Railway Engineering","","",""
"uuid:d67e169b-abc7-4cb7-88b9-5926478bf010","http://resolver.tudelft.nl/uuid:d67e169b-abc7-4cb7-88b9-5926478bf010","The Immersion and Invariance Wind Speed Estimator Revisited and New Results","Liu, Y. (TU Delft Team Riccardo Ferrari); Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","The Immersion and Invariance (II) wind speed estimator is a powerful and widely-used technique to estimate the rotor effective wind speed on horizontal axis wind turbines. Anyway, its global convergence proof is rather cumbersome, which hinders the extension of the method and proof to time-delayed and/or uncertain systems. In this letter, we illustrate that the circle criterion can be used as an alternative method to prove the global convergence of the II estimator. This also opens up the inclusion of time-delays and uncertainties. First, we demonstrate that the II wind speed estimator is equivalent to a torque balance estimator with a proportional correction term. As the nonlinearity in the estimator is sector bounded, the well-known circle criterion is applied to the estimator to guarantee its global convergence for time-delayed systems. By looking at the theoretical framework from this new perspective, this letter further proposes the addition of an integrator to the correction term to improve the estimator performance. Case studies show that the proposed estimator with an additional integral correction term is effective at wind speed estimation. Furthermore, its global convergence can be guaranteed by the circle criterion for time-delayed systems.","circle criterion; Convergence; Estimation; Generators; global convergence.; Rotors; time-delayed system; Torque; Wind speed; Wind speed estimator; wind turbine; Wind turbines","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:45361cb1-0faa-4393-9699-839e83e7ce73","http://resolver.tudelft.nl/uuid:45361cb1-0faa-4393-9699-839e83e7ce73","Fostering Ambidextrous Innovation Strategies in Large Infrastructure Projects: A Team Heterogeneity Perspective","Zhang, Xinyue (Tongji University); Le, Yun (Tongji University); Liu, Y. (TU Delft Integral Design & Management); Chen, Xiaoyan (Tongji University)","","2021","In emerging economies, infrastructure projects are in full swing. There is a wealth of replicable experience for exploitation. Simultaneously, more technologies and methodologies require further exploration. This makes fostering ambidextrous innovation strategies (i.e., the tradeoff between exploitative and exploratory innovation strategies) a common and vital practical issue. Large infrastructure projects are unique one-off endeavors but have somewhat repetitive and persistent characteristics. It is a particular “intermediate” form between temporary projects and permanent organizations. Previous research on fostering ambidextrous innovation strategies cannot simply be replicated in large infrastructure projects. To address this issue, this article investigates the relationship between team heterogeneity and ambidextrous innovation strategies and also the role of team learning and identification in large infrastructure projects. Data were collected from 269 responses from 31 large infrastructure project delivery teams in China. The findings show that team heterogeneity has a positive linear effect on exploratory and ambidextrous innovation strategies and an inverted U-shaped effect on exploitative innovation strategies; team heterogeneity can better foster ambidextrous innovation strategies through improving team learning; the moderating role of team identification in the overall mechanism differs from the usual assumptions in permanent organizations. Overall, this article extends the existing ambidexterity research in the “intermediate” form between temporary projects and permanent organizations. It provides insights and guidance on fostering ambidextrous innovation strategies in large infrastructure projects.","Ambidextrous innovation strategies; Education; Focusing; large infrastructure project; Merging; Meteorology; Organizations; Task analysis; team heterogeneity; team identification; team learning; Technological innovation","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:bffc20ee-6da7-41b3-b9c5-e2a1ae16601f","http://resolver.tudelft.nl/uuid:bffc20ee-6da7-41b3-b9c5-e2a1ae16601f","Thermal FEM Analysis of Surge Arresters during HVDC Current Interruption Validated by Experiments","Liu, S. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Belda, Nadew (DNVGL - KEMA Laboratories); Smeets, Rene (DNVGL - KEMA Laboratories); Liu, Zhiyuan (Xi’an Jiaotong University)","","2022","This paper deals with the development of an accurate finite-element model of an arrester to investigate the electrothermal and mechanical stress during dc current interruption. The comprehensive analysis performed on a ZnO surge arrester is supported by experiments during high-voltage dc circuit breaker current interruption. The performed experimental analysis comprises three sequential 26 kV/10 kA direct current interruption tests carried out within a period of one hour. The dynamic temperature and current distribution of the surge arrester columns during current interruption are measured. The finite-element simulation results are in good agreement with the test results. The influence of the surge arrester temperature on the current distribution among the surge arrester columns is analyzed. The impact of the surge arrester temperature on ZnO electrical characteristics and mechanical stress inside the surge arrester are also investigated. The surge arrester finite-element model can be used with full success for parameter optimization of the surge arresters to prevent possible failures when dc circuit breakers performed multiple interruptions in short period of time.","Arresters; Circuit breakers; Heating systems; II-VI semiconductor materials; Metal-oxide surge arresters; Power system reliability; Varistors; Zinc oxide; dc circuit breaker; temperature measurement; thermodynamics finite-element simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-23","","","Intelligent Electrical Power Grids","","",""
"uuid:d975bb25-2946-42ee-8efe-23f2fc1203a4","http://resolver.tudelft.nl/uuid:d975bb25-2946-42ee-8efe-23f2fc1203a4","Enhanced Sensitivity Pt/AlGaN/GaN Heterostructure NO Sensor Using a Two-Step Gate Recess Technique","Sun, J. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Zhan, Teng (Chinese Academy of Sciences); Sokolovskij, R. (Southern University of Science and Technology); Liu, Zewen (Tsinghua University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","Based on our proposed precision two-step gate recess technique, a suspended gate-recessed Pt/AlGaN/GaN heterostructure gas sensor integrated with a micro-heater is fabricated and characterized. The controllable two-step gate recess etching method, which includes O2 plasma oxidation of nitride and wet etching, improves gas sensing performance. The sensitivity and current change of the AlGaN/GaN heterostructure to 1-200 ppm NO2/air are increased up to about 20 and 12 times compared to conventional gate device, respectively. The response time is also reduced to only about 25 % of value for conventional device. The sensor has a suspended circular membrane structure and an integrated micro-hotplate for adjusting the optimum working temperature. The sensitivity (response time) increases from 0.75 % (1250 s) to 3.5 % (75 s) toward 40 ppm NO2/air when temperature increase from 60°C to 300°C. The repeatability and cross-sensitivity of the sensor are also demonstrated. These results support the practicability of a high accuracy and fast response gas sensor based on the suspended gate recessed AlGaN/GaN heterostructure with an integrated micro-heater.","AlGaN/GaN; NO; gas sensor; gate recess","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:1ad966eb-2b16-4926-aa47-9c9a0884005f","http://resolver.tudelft.nl/uuid:1ad966eb-2b16-4926-aa47-9c9a0884005f","Torque Production Limit of Surface Permanent Magnet Synchronous Machines and their Electromagnetic Scalability","Ge, Baoyun (C-Motive Technologies Inc.); Liua, Mingda (Carpenter Technology Corporation); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Liu, Wenbo (Ford Motor Company)","","2021","In view of the increasing demand in torque density, this article propounds the idea of looking for an upper bound of the torque production and average shear stress for surface permanent magnet (SPM) synchronous machines. The derivation is based on the assumption of an infinite permeability of the iron core and employs the transfer relation between the normal magnetic flux density and the tangential magnetic strength. The result is written as functions of the machine's major geometries and excitation conditions. The ratio of the actual value and this upper bound may be used as a metric of measuring the usage of materials’ electromagnetic capability, or reversely as an indicator of the marginal gain of the iron core of higher relative permeability. The result is further investigated to discuss the electromagnetic scalability and the sizing law of SPM machines. Specifically, the reason for increasing volumetric torque density as the machine size goes up is revealed. The optimal remanence flux density of permanent magnets is also predicted at 1.91T , providing that the lamination saturates at 2T .","Scalability; Shear Stress; Surface Permanent Magnet; Torque Density","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-27","","","DC systems, Energy conversion & Storage","","",""
"uuid:51dcf563-acbf-44df-87e2-781beaf9e35e","http://resolver.tudelft.nl/uuid:51dcf563-acbf-44df-87e2-781beaf9e35e","Match Circular Economy and Urban Sustainability: Re-investigating Circular Economy Under Sustainable Development Goals (SDGs)","Liang, Dong (City University of Hong Kong); Liu, Z. (TU Delft Integral Design & Management); Bian, Yuli (The State University of New Jersey)","","2021","The concept of circular economy (CE) offers an innovative and systematical approach to address a number of urban sustainability issues, via exploring symbiotic ways to design circular urban systems and optimizing the materials and energy metabolism of cities, so as to mitigate environmental footprints. Urban sustainability is highlighted as a critical issue in the Sustainable Development Goals (SDGs) proposed by United Nations; hence, in nature, circular economy could offer a number of solutions towards SDGs in urban scope. As trade-offs, circular economy also potentially causes negative impacts to business-as-usual scenario, which is easily to be ignored. To highlight this scientific issue, this paper identified and matched the role of circular economy in realizing 17 SDGs in urban scope. How circular economy strategy could potentially affect the SDGs, whether positive or negative, were comprehensively evaluated. We expect such findings could support an equilibrium decision-making on circular economy promotion in cities, rather than an optimum solution to a single target under the triple bottom line of sustainability.","Circular economy; Urbansustainability; SDGs; Lifecyclesustainabilityassessment; Inclusive city","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-20","","","Integral Design & Management","","",""
"uuid:76869d18-443d-4794-b72a-d2e2812c4de6","http://resolver.tudelft.nl/uuid:76869d18-443d-4794-b72a-d2e2812c4de6","An experimental and numerical investigation of coarse aggregate settlement in fresh concrete under vibration","Cai, Yuxin (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Liu, Qing feng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Yu, Linwen (Chongqing University); Meng, Zhaozheng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Hu, Zhe (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Yuan, Qiang (Central South University China); Šavija, B. (TU Delft Materials and Environment)","","2021","Fresh concrete needs vibration to compact, fill the mould and reach a dense state. During the compaction process, coarse aggregates (CAs) tend to settle, affecting the homogeneity and eventually the long-term durability of hardened concrete. In this study, a 3-D, multi-phase numerical model for fresh concrete is developed for better understanding the CA settlement under vibration. The settlement rate of the CA in vibrated concrete is considered based on the Stokes law, and the calibrated rheological parameter of mixtures is determined by the segmented sieving method. The model prediction shows that the vibration time has the greatest effect on CA settlement, followed by the particle size of CAs, whereas the density of CAs and the plastic viscosity of mixtures contribute a little compared with the aforementioned factors. Through experimental tests, the validity of prediction results is well verified. The proposed model provides a new method to understand and estimate the settlement behaviour of CAs.","CA settlement; Fresh concrete; Vibration; Rheology; Numerical model; Grey relational analysis","en","journal article","","","","","","Accepted Author Manuscript","","2021-07-15","","","Materials and Environment","","",""
"uuid:b61dbf77-91d9-4c85-be66-e66d5151c14c","http://resolver.tudelft.nl/uuid:b61dbf77-91d9-4c85-be66-e66d5151c14c","Factors Influencing the Project Duration of Urban Village Redevelopment in Contemporary China","Yuan, Dinghuan (Jinan University); Yau, Yung (Lingnan University); Hou, H. (TU Delft Real Estate Management); Liu, Yongshen (City University of Hong Kong)","","2021","Project duration is one of the methods to measure the efficiency of project implementation. This study identifies the factors influencing the project duration of urban village redevelopment projects (UVRPs) in China. Based on the theory of new institutional economics and behavioral economics, this study develops three hypotheses regarding the causal relationship between institutional arrangement and project duration. Statistical analysis of data on 439 UVRPs collected from seven Chinese cities revealed that projects implemented through top-down institutional arrangements were more likely to take a long time than those implemented through bottom-up institutional arrangements. Projects implemented through top-down and government funding were more efficient than those implemented through top-down and villager funding. For bottom-up projects, there was no conclusion about whether village funding or private developer funding led to shorter project duration. Other determinants, including city, project attributes and initiation year, number of households involved, size of temporary relocation fee, and methods of selecting relocated housing, calculating temporary relocation fee and calculating relocation area influenced project duration","Bottom-up redevelopment; China; Land redevelopment; New institutional economics; Project duration; Urban village","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:81b4a4bb-9a81-4afa-b4e5-5946f98eb374","http://resolver.tudelft.nl/uuid:81b4a4bb-9a81-4afa-b4e5-5946f98eb374","Josephson current via an isolated Majorana zero mode","Liu, C. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft); van Heck, B. (TU Delft BUS/Quantum Delft; Microsoft Quantum Lab Delft); Wimmer, M.T. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft)","","2021","We study the equilibrium dc Josephson current in a junction between an s-wave and a topological superconductor. Cooper pairs from the s-wave superconducting lead can transfer to the topological side either via an unpaired Majorana zero mode localized near the junction or via the above-gap continuum states. We find that the Majorana contribution to the supercurrent can be switched on when time-reversal symmetry in the conventional lead is broken, e.g., by an externally applied magnetic field inducing a Zeeman splitting. Moreover, if the magnetic field has a component in the direction of the effective spin-orbit field, there will be a Majorana-induced anomalous supercurrent at zero phase difference. These behaviors may serve as a signature characteristic of Majorana zero modes and are accessible to devices with only superconducting contacts.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:264690e8-48d0-42da-a02c-63c501ee67f7","http://resolver.tudelft.nl/uuid:264690e8-48d0-42da-a02c-63c501ee67f7","A Hybrid Recursive Implementation of Broad Learning With Incremental Features","Liu, Di (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Yu, Wenwu (Southeast University); Chen, C. L.P. (South China University of Technology)","","2022","The broad learning system (BLS) paradigm has recently emerged as a computationally efficient approach to supervised learning. Its efficiency arises from a learning mechanism based on the method of least-squares. However, the need for storing and inverting large matrices can put the efficiency of such mechanism at risk in big-data scenarios. In this work, we propose a new implementation of BLS in which the need for storing and inverting large matrices is avoided. The distinguishing features of the designed learning mechanism are as follows: 1) the training process can balance between efficient usage of memory and required iterations (hybrid recursive learning) and 2) retraining is avoided when the network is expanded (incremental learning). It is shown that, while the proposed framework is equivalent to the standard BLS in terms of trained network weights,much larger networks than the standard BLS can be smoothly trained by the proposed solution, projecting BLS toward the big-data frontier.","Big data; broad learning system (BLS); recursive learning; training time","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:4889aa60-5b6e-44ff-854a-03435661e359","http://resolver.tudelft.nl/uuid:4889aa60-5b6e-44ff-854a-03435661e359","Intelligent UAV Swarm Cooperation for Multiple Targets Tracking","Zhou, Longyu (University of Electronic Science and Technology of China); Leng, Supeng (University of Electronic Science and Technology of China); Liu, Qiang (University of Electronic Science and Technology of China; School of Information and Communication Engineering, Chengdu); Wang, Q. (TU Delft Embedded Systems)","","2021","With the advantages of easy deployment and flexible usage, Unmanned Aerial Vehicle (UAV) has advanced the Multi-Target Tracking (MTT) applications. The UAV-MTT system has great potentials to execute dull, dangerous, and critical missions for frontier defense and security. A key challenge in UAV-MTT is how to coordinate multiple UAVs to track diverse invading targets accurately and consecutively. In this paper, we propose a UAV swarm-based cooperative tracking architecture to systematically improve the UAV tracking performance. We design an intelligent UAV swarm-based cooperative algorithm for consecutive target tracking and physical collision avoidance. Moreover, we design an efficient cooperative algorithm to predict the trajectory of invading targets accurately. Our simulation results demonstrate that the swarm behaviors stay stable in realistic scenarios with perturbing obstacles. Compared with state-of-the-art solutions such as the matched deep Q-network, our algorithms can increase tracking accuracy by 60%, reduce tracking delay by 23%, and achieve physical collision-avoidance during the tracking process.","Mobile target tracking; prediction; scheduling; unmanned-aerial-vehicle (UAV) swarm intelligence (SI)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-13","","","Embedded Systems","","",""
"uuid:04cfea8b-065b-4eed-bd21-882fdfe9e646","http://resolver.tudelft.nl/uuid:04cfea8b-065b-4eed-bd21-882fdfe9e646","An Adaptive Disturbance Decoupling Perspective to Longitudinal Platooning","Liu, Di (Southeast University; Rijksuniversiteit Groningen); Besselink, Bart (Rijksuniversiteit Groningen); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Yu, Wenwu (Southeast University); Trentelman, Harry L. (Rijksuniversiteit Groningen)","","2022","Despite the progress in the field of longitudinal formations of automated vehicles, only recently an interpretation of longitudinal platooning has been given in the framework of disturbance decoupling, i.e. the problem of making a controlled output independent of a disturbance. The appealing feature of this interpretation is that the disturbance decoupling approach naturally yields a decentralized controller that guarantees stability and string stability. In this work, we further exploit the disturbance decoupling framework and we show that convergence to a stable, string stable and disturbance decoupled behavior can be achieved even in the presence of parametric uncertainty of the engine time constant. We refer to this framework as adaptive disturbance decoupling.","Adaptation models; Adaptive control; Asymptotic stability; Closed loop systems; Engines; Stability analysis; Vehicle dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:cc14b078-bba2-47d0-b0a5-6af8d3aeff51","http://resolver.tudelft.nl/uuid:cc14b078-bba2-47d0-b0a5-6af8d3aeff51","A Looseness Detection Method for Railway Catenary Fasteners based on Reinforcement Learning Refined Localization","Zhong, Junping (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Wang, H. (TU Delft Railway Engineering); Liu, Wenqiang (Southwest Jiaotong University); Yang, Cheng (Southwest Jiaotong University); Han, Zhiwei (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2021","Brace sleeve (BS) fasteners, i.e., nut and bolt, are small components but play essential roles in fixing BS and cantilever in railway catenary system. They are commonly inspected by onboard cameras using computer vision to ensure the safety of railway operation. However, most BS fasteners cannot be directly localized because they are too small in the inspection images. Instead, the BS is first localized for detecting the BS fastener. This leads to a new problem that the localized BS boxes may not contain the complete BS fasteners due to low localization accuracy, making it infeasible to further diagnose the fastener conditions. To tackle this problem, this article proposes a novel pipeline for BS fastener looseness diagnosis. First, the competitive deep learning model Faster RCNN ResNet101 is used to coarsely localize BSs. Second, an action-driven reinforcement learning agent is adopted to refine the coarse-localized boxes through a dynamic position searching process. Then, BS fasteners are extracted from the refined localized BS image by the deep segmentation model YOLACT++, which is fast and interpretable. Finally, a looseness diagnosis criterion based on segmented information are proposed. We evaluate the performance of submodels independently and the overall performance of the whole model on a real-life catenary image dataset collected from a high-speed line in China. The test results show that the proposed method is effective for BS looseness detection in railway catenary.","Component segmentation; reinforcement learning (RL); railway catenary fasteners; looseness detection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-07","","","Railway Engineering","","",""
"uuid:8f2efed0-926c-46ff-87f8-f07a9540f0ff","http://resolver.tudelft.nl/uuid:8f2efed0-926c-46ff-87f8-f07a9540f0ff","In-Situ Hollow Sample Setup Design for Mechanical Characterisation of Gaseous Hydrogen Embrittlement of Pipeline Steels and Welds","Boot, T. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Reinton, T.E. (TU Delft Team Vera Popovich); Liu, P. (IntecSea BV); Walters, C.L. (TU Delft Ship Hydromechanics and Structures); Popovich, V. (TU Delft Team Vera Popovich)","","2021","This work discusses the design and demonstration of an in-situ test setup for testing pipeline steels in a high pressure gaseous hydrogen (H2) environment. A miniature hollow pipe-like tensile specimen was designed that acts as the gas containment volume during the test. Specific areas of the specimen can be forced to fracture by selective notching, as performed on the weldment. The volume of H2 used was minimised so the test can be performed safely without the need of specialised equipment. The setup is shown to be capable of characterising Hydrogen Embrittlement (HE) in steels through testing an X60 pipeline steel and its weldment. The percentage elongation (%El) of the base metal was found to be reduced by 40% when tested in 100 barg H2. Reduction of cross-sectional area (%RA) was found to decrease by 28% and 11% in the base metal and weld metal, respectively, when tested in 100 barg H2. Benchmark test were performed at 100 barg N2 pressure. SEM fractography further indicated a shift from normal ductile fracture mechanisms to a brittle transgranular (TG) quasi-cleavage (QC) type fracture that is characteristic of HE.","hydrogen embrittlement; pipeline steel; in-situ mechanical testing; fractoragphy","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:5eb73894-de2d-49e4-ba45-fafd90f3d625","http://resolver.tudelft.nl/uuid:5eb73894-de2d-49e4-ba45-fafd90f3d625","Extending Winding Function Theory to Incorporate Secondary Effects in the Design of Induction Machines and Drives","Ge, Baoyun (C-Motive Technologies Inc.); Liu, Wenbo (Ford Motor Company); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Liua, Mingda (Carpenter Technology Corporation)","","2022","High-performance electric drive applications necessitate a high fidelity model to predict the terminal characteristics of machines in the design stage to fulfill a system-level evaluation together with the converters. This article interprets winding function theory (WFT) from the field perspective and incorporates secondary effects, such as slotting and iron nonlinearity into it to accurately predict the main flux linkage in induction machines. The method is centered on resolving the magnetic scalar potential on the two sides of the air gap and computes the flux linkage via a winding function. Its performance is benchmarked against 2-D finite-element analysis (FEA) and the state-of-the-art magnetic equivalent circuit (MEC) method. Flux linkage and torque results indicate that the relative error is within 3.1% even in a highly saturated region when comparing to FEA, while MEC using the same circuit network may present a 20% error.","Air gaps; Flux Linkage; Iron; Magnetic Circuit Network; Magnetic circuits; Rotors; Saturation Effect; Saturation magnetization; Slot Effect; Stator windings; Winding Function Theory; Windings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:92a8f375-6da0-4d65-a1aa-52e74db8aca3","http://resolver.tudelft.nl/uuid:92a8f375-6da0-4d65-a1aa-52e74db8aca3","The Application of Advanced Mapping Methods and Tools for Spatial-Visual Analysis in Landscape Design Practice","Liu, M. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture)","","2021","Spatial design is at the core of landscape architecture. Mapping spatial–visual characteristics is of significance for landscape architects to interpret and talk about space. Advanced mapping methods and tools for spatial–visual analysis (i.e., mapping techniques describing landscape architectonic compositions from both qualitative and quantitative perspectives) offer great potential to increase knowledge of spatial organization and reveal design principles. Despite the availability and wide range of possibilities, the application of advanced mapping methods and tools for spatial–visual analysis is still not common in landscape architecture. The main reasons include the lack of awareness and prejudice. In order to get a more detailed understanding of the problem, this study presents the outcome of semi-structured open-ended interviews with 11 practitioners with a design background in landscape architecture. The paper discusses the relevance of advanced mapping methods and tools with practitioners in order to gain a better understanding about what methods landscape practitioners use to describe and experience space in their daily work. Findings demonstrate the critical bottlenecks of implementing advanced mapping methods in daily practices and how the practitioners think about the implementation of advanced mapping methods in the future of landscape practices.","Design application; Interview; Landscape practices; Mapping methods and tools; Spatial–visual characteristics","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:91018922-ff82-4e3f-b1ef-2b0968771db2","http://resolver.tudelft.nl/uuid:91018922-ff82-4e3f-b1ef-2b0968771db2","High-Precision Detection Method for Structure Parameters of Catenary Cantilever Devices using 3D Point Cloud Data","Liu, Wenqiang (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Li, Qiao (Southwest Jiaotong University); Han, Zhiwei (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2020","This article proposes an automatic high-precision detection method for structure parameters of catenary cantilever devices (SPCCDs) using 3-D point cloud data. The steps of the proposed detection method are: 1) segmenting and recognizing the components of the catenary cantilever devices, 2) extracting the detection plane and backbone component axis of catenary cantilever devices, and 3) detecting the SPCCD. The effective segmentation of components is critical for structure parameter detection. A point cloud segmentation and recognition method based on three-dimensional convolutional neural networks (3-D CNNs) is introduced to determine the different components of the catenary cantilever devices. Compared with traditional unsupervised clustering procedures for point cloud segmentation, the proposed method can improve the segmentation accuracy, does not require complex tuning procedures of parameters, and improves robustness and stability. Additionally, the segmentation method defines a recognition function, facilitating the analysis of the structural relationship between objects. Furthermore, we proposed an improved projection random sample consensus (RANSAC) method, which can effectively divide the detection plane of catenary cantilever devices to solve the multicantilever device occlusion problem. With RANSAC, it is also possible to precisely extract the backbone component axis and enhance parameter detection accuracy. The experimental results show that the structure angle and steady arm slope's error accuracy can achieve 0.1029° and 1.19%, respectively, which indicates the proposed approach can precisely detect the SPCCD.","3D CNNs; Catenary cantilever devices; point cloud segmentation; RANSAC; structure parameter detection; three-dimensional convolutional neural networks (3-D CNNs); random sample consensus (RANSAC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-18","","","Railway Engineering","","",""
"uuid:cb759955-5166-48a8-b5b6-fbcfd0950096","http://resolver.tudelft.nl/uuid:cb759955-5166-48a8-b5b6-fbcfd0950096","A Fully Synthesizable Fractional-N MDLL With Zero-Order Interpolation-Based DTC Nonlinearity Calibration and Two-Step Hybrid Phase Offset Calibration","Liu, Bangan (Tokyo Institute of Technology); Zhang, Yuncheng (Tokyo Institute of Technology); Qiu, Junjun (Tokyo Institute of Technology); Ngo, Huy Cu (Tokyo Institute of Technology); Deng, Wei (Tsinghua University); Nakata, Kengo (Tokyo Institute of Technology); Yoshioka, Toru (Tokyo Institute of Technology); Emmei, Jun (Tokyo Institute of Technology); Pang, Jian (Tokyo Institute of Technology); Someya, T. (TU Delft Electronic Instrumentation)","","2021","In this paper, a fully-synthesizable digital-to-time (DTC)-based fractional-N multiplying delay-locked loop,(MDLL) is presented. Noise and linearity of synthesizable DTCs are analyzed, and a two-stage synthesizable DTC is proposed in which a path-selection DTC is used as the coarse stage and a variable-slope DTC is used as the fine stage. To calibrate the DTC nonlinearity, a highly robust zero-order interpolation based nonlinearity calibration is proposed. Besides, the static phase offsets,(SPO) between bang-bang phase detector,(BBPD) and multiplexer,(MUX) are calibrated by a proposed hybrid analog/digital phase offset calibration, while the dynamic phase offsets,(DPO) are removed by a proposed complementary switching scheme. The co-design of the analog circuits and digital calibrations enable excellent jitter and spur performance. The MDLL achieves 0.70 and 0.48,ps root-mean-square,(RMS) jitter in fractional-N and integer-N modes, respectively. The fractional spur is less than -59.0,dBc, and the reference spur is -64.5,dBc. The power consumptions are 1.85,mW and 1.22,mW, corresponding to figures of merit,(FOM) of -240.4,dB and -245.5,dB.","Multiplying delay-locked loop (MDLL); bang-bang phase detector (BBPD); digital-to-time converter (DTC); fully-synthesizable; injection locking; nonlinearity calibration; path-selection DTC; phase offset; phase-locked loop (PLL); variable-slope DTC","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:d567b3f4-4ff4-403d-857a-f62cc7682ca7","http://resolver.tudelft.nl/uuid:d567b3f4-4ff4-403d-857a-f62cc7682ca7","Sustainable Passive Design for Building Performance of Healthy Built Environment in the Lingnan Area","Li, Bin (South China University of Technology); Guo, Weihong (South China University of Technology); Liu, Xiao (South China University of Technology; University of Hong Kong); Zhang, Yuqing (South China University of Technology); Russell, P.J. (TU Delft General Support; Tsinghua University); Schnabel, Marc Aurel (Victoria University of Wellington)","","2021","Having a healthy built environment becomes increasingly important, especially under the effects of COVID-19. This paper intends to combine sustainable goals based on climate change with passive design principles to achieve a healthy built environment regarding the building performance of residential buildings. The Yuedao Residential Community in the Lingnan area was taken as an example for the research. Based on relevant standards of healthy buildings, the thermal, light, and acoustic environment requirements were determined. The methods of building performance simulation and on-site measurement were used to quantify the research object environments. Then, the outcomes were obtained based on these standards. As observed, the thermal environment’s adaptive thermal comfort level was level III. It was hot indoors, but the light and acoustic environments met the requirements. Building designs based on a built environment optimized by external shading systems aim to solve problems through building performance simulation and qualitative analysis. After optimization, the thermal environment improved. According to the literature review, this research focused on a healthy built environment with a sustainable passive design in terms of building performance. A research workflow was established that could be used for more practical research, with abundant research methods. The problems were solved to varying degrees, and the Lingnan architectural culture was preserved. Moreover, this research filled the gap in interactive research on healthy built environments with sustainable passive design regarding building performance","Acoustic; Building performance; Healthy built environment; Light; Lingnan area; Passive design; Residential building; Sustainability; Thermal","en","journal article","","","","","","","","","","","General Support","","",""
"uuid:5fc63aa1-ad14-4344-8cae-193eac1928a8","http://resolver.tudelft.nl/uuid:5fc63aa1-ad14-4344-8cae-193eac1928a8","Large Region Targets Observation Scheduling by Multiple Satellites Using Resampling Particle Swarm Optimization","Gu, Yi (Beihang University); Han, Chao (Beihang University); Chen, Yuhan (China Satellite Network Innovation Company, Ltd.); Liu, Shenggang (Beihang University); Wang, X. (TU Delft Learning & Autonomous Control; Queen Mary University of London)","","2022","The last decades have witnessed a rapid increase of Earth observation satellites (EOSs), leading to the increasing complexity of EOSs scheduling. On account of the widespread applications of large region observation, this article aims to address the EOSs observation scheduling problem for large region targets. A rapid coverage calculation method employing a projection reference plane and a polygon clipping technique is first developed. We then formulate a nonlinear integer programming model for the scheduling problem, where the objective function is calculated based on the developed coverage calculation method. A greedy initialization-based resampling particle swarm optimization (GI-RPSO) algorithm is proposed to solve the model. The adopted greedy initialization strategy and particle resampling method contribute to generating efficient and effective solutions during the evolution process. In the end, extensive experiments are conducted to illustrate the effectiveness and reliability of the proposed method. Compared to the traditional PSO and the widely used greedy algorithm, the proposed GI-RPSO can improve the scheduling result by 5.42% and 15.86%, respectively.","Large region targets; multiple satellites; observation scheduling; resampling particle swarm optimization (PSO)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-12","","","Learning & Autonomous Control","","",""
"uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","http://resolver.tudelft.nl/uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","A resilience assessment framework for complex engineered systems using graphical evaluation and review technique (GERT)","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Mitici, Mihaela (Universiteit Utrecht); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2023","System resilience characterizes the capability of maintaining the required functionality under disruptions, which is of great significance in evaluating the productivity and safety of complex engineered systems. Although most studies conduct resilience assessment from qualitative and quantitative perspectives, system functionality that reflects functional requirements for complex engineered systems needs to be elaborated. In addition, given that complex engineered systems achieve dynamic performance during disruptions, measuring the actual performance under uncertainty is imperative. To this end, this paper develops a quantitative framework to assess the resilience of complex engineered systems. The developed framework comprises three phases, functionality analysis, performance evaluation, and resilience assessment. Firstly, system functionality is analyzed using a functional tree illustrating the relationship between functions. The overall objective, primary functions, and sub-functions are identified according to task requirements. Secondly, system performance is quantified considering uncertain factors through Graphical Evaluation and Review Technique (GERT). Probabilistic branches and network logic are employed to represent the implementation of various functions. Finally, resilience assessment is carried out from the perspectives of anticipation, absorption, adaptation, and restoration abilities. A case study on the satellite network shows the effectiveness of the developed framework. The developed framework determines system functionality based on task requirements, evaluates system performance with limited information, and accurately assesses system resilience.","Complex engineered systems; Functionality analysis; Performance evaluation; Resilience assessment; Risk management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","http://resolver.tudelft.nl/uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","Hierarchical Motion Planning and Tracking for Autonomous Vehicles Using Global Heuristic Based Potential Field and Reinforcement Learning Based Predictive Control","Du, Guodong (ETH Zürich; Beijing Institute of Technology); Zou, Yuan (Beijing Institute of Technology); Zhang, Xudong (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology)","","2023","The autonomous vehicle is widely applied in various ground operations, in which motion planning and tracking control are becoming the key technologies to achieve autonomous driving. In order to further improve the performance of motion planning and tracking control, an efficient hierarchical framework containing motion planning and tracking control for the autonomous vehicles is constructed in this paper. Firstly, the problems of planning and control are modeled and formulated for the autonomous vehicle. Then, the logical structure of the hierarchical framework is described in detail, which contains several algorithmic improvements and logical associations. The global heuristic planning based artificial potential field method is developed to generate the real-time optimal motion sequence, and the prioritized Q-learning based forward predictive control method is proposed to further optimize the effectiveness of tracking control. The hierarchical framework is evaluated and validated by the numerical simulation, virtual driving environment simulation and real-world scenario. The results show that both the motion planning layer and the tracking control layer of the hierarchical framework perform better than other previous methods. Finally, the adaptability of the proposed framework is verified by applying another driving scenario. Furthermore, the hierarchical framework also has the ability for the real-time application.","Autonomous vehicle; Autonomous vehicles; global heuristic based potential field; Heuristic algorithms; motion planning; Planning; Prediction algorithms; Real-time systems; Reinforcement learning; reinforcement learning based predictive control; Tracking; tracking control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Transport and Planning","","",""
"uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","http://resolver.tudelft.nl/uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","Investigation of Polyurethane Modified Cold Bitumen for Fast Cold In-Place Recycling","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Welvaarts, Bart (De Jong Zuurmond); Brouns, Koen (Pavecare BV)","","2023","Cold in-place recycling is gaining more attention worldwide because of its lower energy consumption, while the normally used asphalt emulsion and foamed asphalt cannot meet this requirement of short traffic disturbance and road performance of the surface layer. In this research, a polyurethane-modified cold binder (PMCB) was designed and investigated for the fast and high-quality cold in-place recycling of reclaimed asphalt. For the first step, functional group analysis and fluorescent microscopy were used to reveal the curing process and the modification mechanism of the PMCB. Then a series of rheological tests were used to comprehensively evaluate the viscoelastic properties of the PMCB at different curing stages. Finally, the mechanical performance of the PMCB mortar sample was evaluated with the monotonic tensile test and tensile fatigue test. The results indicated that the polymerization reaction in the PMCB consisted of three reactions, and the urethane/urea linkage led to the formation of the polymeric network. The polyurethane polymeric network led to a significant increase in the complex modulus and a decrease of the phase angle. The PMCB also exhibits suitable viscosity at environmental temperatures, good relaxation properties at low temperatures, and less temperature sensitivity. Compared to the base asphalt and styrene butadiene styrene polymer modified bitumen mortar samples, the PMCB mortar samples showed significant advantages in tensile strength, dissipation energy, and tensile fatigue properties. Furthermore, the polyurethane-modified cold asphalt mixture (PMCM) showed better indirect tensile strength than the porous asphalt mixture with fresh aggregate and fresh asphalt binder when the curing time of the PMCM reached 6 h.","asphalt binder modifiers; binders; cold recycling; construction; infrastructure; materials; project delivery methods; rheological properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-25","","","Pavement Engineering","","",""
"uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","http://resolver.tudelft.nl/uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","Large diameter laterally loaded piles in sand: Numerical evaluation of soil stress paths and relevance of laboratory soil element testing","Cheng, Xiaoyang (University of Bristol); Ibraim, Erdin (University of Bristol); Liu, Haoyuan (Norwegian Geotechnical Institute); Pisano, F. (TU Delft Geo-engineering); Diambra, Andrea (University of Bristol)","","2023","This paper uses 3D numerical analyses to investigate the stress path experienced by soil elements around large diameter piles in sand subjected to monotonic drained lateral loading. Inspection of the loading-induced stresses in the soil revealed the multiaxial nature of these stress paths, which are characterised by rotation of one or more principal stress axes. Based on the outcome of the finite element analyses, typical stress paths for different soil elements around the piles are extracted. Such stress paths are then evaluated against those enabled by conventional and advanced laboratory soil element testing. It is found that a combination of tests in the Hollow Cylinder Torsional Apparatus (HCTA) can reproduce most features of the numerically identified stress paths for soil elements around the pile. Unavoidable limitations in laboratory testing are discussed as well as the major challenge in replicating the loading direction with respect to the material axes. Some guidance for the experimental implementation of these stress paths in the HCTA are provided as well as a discussion on the use of conventional experimental equipment, such as the conventional triaxial or simple shear apparatus.","Large-diameter pile; Stress path; Monotonic loading; Laboratory element testing; Finite element","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:22efc57b-9411-4b75-b2b0-274858635660","http://resolver.tudelft.nl/uuid:22efc57b-9411-4b75-b2b0-274858635660","How Gaps are Created during Anticipation of Lane Changes","Chen, Kequan (Southeast University); Knoop, V.L. (TU Delft Transport and Planning); Liu, Pan (Southeast University); Li, Zhibin (Southeast University); Wang, Yuxuan (Southeast University)","","2023","The pre-insertion process called anticipation is an essential component of a lane-changing manoeuvre. There is little empirical research regarding the impact of anticipation. Thus, this paper aims to explore the behaviour of the new follower (NF) in the target lane when it encounters anticipation by using new trajectory datasets. The changing magnitude of the reaction pattern is proposed to identify the NF’s behaviour. We find that the anticipation significantly affects the NF’s movement in terms of gap creation and speed reduction. Then, we conduct a detailed analysis of critical variables to reveal their relationship with the NF’s behaviour. Following this, we develop binary logistic models to predict the NF’s behaviour, resulting in a good performance. It also suggests that the NF’s behaviour is highly related to the anticipation-related variables. The transferability test results show that this model can be directly used in different locations and times with satisfactory accuracy.","Anticipation behavilour; lane-changing impact; car-following behaviour; microscopic trajectory data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:cc200968-8632-46cf-9b6c-63eaf76fba24","http://resolver.tudelft.nl/uuid:cc200968-8632-46cf-9b6c-63eaf76fba24","A Weekly Diary Study on Playful Study Design, Study Engagement, and Goal Attainment: The Role of Proactive Personality","Wang, Huatian (Lingnan University, Hong Kong; Eindhoven University of Technology); Ren, Yue (Qingdao University of Technology); Liu, W. (TU Delft Design Conceptualization and Communication)","","2022","Students’ learning processes are heavily impeded by the COVID-19 pandemic. Students are experiencing more online learning environment and less face-to-face idea exchange, which may make them feel exhausted and demotivated. Using self-determination and proactivity theories, we propose and examine whether playful study design (PSD)—a proactive study strategy including designing fun and designing competition in learning tasks—is effective in fostering study engagement, which, in turn, improves study goal attainment during the COVID-19 period. Moreover, we examine whether students who are high in proactive personality will benefit more (e.g., reach a higher level of study engagement) when using the PSD strategy. We collected data using a weekly diary approach during four consecutive weeks, including 97 people and 308 within-person observations. Results of multilevel analyses showed that weekly PSD was positively related to weekly study engagement, and in turn, facilitated weekly goal attainment. Moreover, we found that proactive personality moderated and strengthened the positive associations between PSD and goal attainment, study engagement and goal attainment, but not for the relationship between PSD and study engagement. Overall, we provide one of the first attempts to demonstrate how PSD strategy can be used in student study life to improve study engagement and reach their goals. We shed light on how proactive personality can safeguard the success of PSD strategy. Theoretical and practical contributions are discussed.","Diary study; Goal attainment; Playful study design; Proactive personality; Study engagement","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:67f69fd2-d81f-40cb-a207-e6a96584b8ca","http://resolver.tudelft.nl/uuid:67f69fd2-d81f-40cb-a207-e6a96584b8ca","The societal strength of transition: a critical review of the circular economy through the lens of inclusion","Liu, Z. (TU Delft Integral Design & Management); Schraven, D.F.J. (TU Delft Integral Design & Management); de Jong, Martin (Erasmus Universiteit Rotterdam; Fudan University); Hertogh, M.J.C.M. (TU Delft Integral Design & Management; Erasmus Universiteit Rotterdam)","","2023","Realizing a circular economy (CE) has been widely recognized by practitioners and researchers as the key to the transition toward sustainability. Thus far the academic emphasis has been predominantly on economic and environmental aspects. However, the development and implementation of CE initiatives actually rely on extensive collaboration at the societal level. Hence, an understanding of how a more inclusive society can strengthen the transition is warranted. By systematically and critically reviewing the related academic literature, the results of this paper show that sensitivity to inclusion aspects is crucial to alleviate the transitional burdens on society. Seven main aspects were discerned on inclusion: (1) informal waste pickers, (2) e-waste and health risks, (3) accessibility of services/materials/facilities, (4) consumer behavior, (5) corporate and institutional involvement, (6) technology application, and (7) governance measures. Following these insights, a strong sustainability perspective and agenda on the CE transition are proposed by identifying key actors and structuring their interrelationships as an inclusive system.","circular economy; Inclusion; informal sector; strong sustainability; waste management","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:33fe7d0c-ab76-4210-a10f-eff8a75b67c1","http://resolver.tudelft.nl/uuid:33fe7d0c-ab76-4210-a10f-eff8a75b67c1","Intermediate-mass black holes: finding of episodic, large-scale, and powerful jet activity in a dwarf galaxy","Yang, Jun (Onsala Space Observatory); Paragi, Zsolt (Joint Institute for VLBI ERIC); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Liao, Mai (University of Science and Technology of China); Liu, Xiang (Xinjiang Astronomical Observatory); Cui, Lang (Xinjiang Astronomical Observatory); Yang, Xiaolong (Chinese Academy of Sciences); Chen, Wen (Onsala Space Observatory; Yunnan Observatories; University of Chinese Academy of Sciences)","","2023","Dwarf galaxies are characterized by a very low luminosity and low mass. Because of significant accretion and ejection activity of massive black holes, some dwarf galaxies also host low-luminosity active galactic nuclei (AGNs). In a few dwarf AGNs, very long baseline interferometry (VLBI) observations have found faint non-thermal radio emission. SDSS J090613.77+561015.2 is a dwarf AGN owning an intermediate-mass black hole (IMBH) with a mass of MBH = 3.6+−2539 × 105M and showing a rarely seen two-component radio structure in its radio nucleus. To further probe their nature, i.e. the IMBH jet activity, we performed additional deep observations with the European VLBI Network (EVN) at 1.66 and 4.99 GHz. We find the more diffuse emission regions and structure details. These new EVN imaging results allow us to reveal a two-sided jet morphology with a size up to about 150 mas (projected length ∼140 pc) and a radio luminosity of about 3 × 1038 erg s−1. The peak feature has an optically thin radio spectrum and thus more likely represents a relatively young ejecta instead of a jet base. The EVN study on SDSS J090613.77+561015.2 demonstrates the existence of episodic, relatively large-scale, and powerful IMBH jet activity in dwarf AGNs. Moreover, we collected a small sample of VLBI-detected dwarf AGNs and investigated their connections with normal AGNs. We notice that these radio sources in the dwarf AGNs tend to have steep spectra and small linear sizes, and possibly represent ejecta from scaled-down episodic jet activity.","galaxies: active; galaxies: dwarf; galaxies: individual: SDSS J090613.77+561015.2; radio continuum: galaxies","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:e6c3b487-2352-468b-a4b5-e46c8f23e074","http://resolver.tudelft.nl/uuid:e6c3b487-2352-468b-a4b5-e46c8f23e074","Service-lines as major contributor to water quality deterioration at customer ends","Fang, Jiaxing (Chinese Academy of Sciences; University of Twente; Student TU Delft); Dai, Zihan (Chinese Academy of Sciences); Li, Xiaoming (Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Savić, Dragan (University of Exeter; KWR Water Research Institute; University of Belgrade); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); van der Meer, Walter (University of Twente; Oasen); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences)","","2023","Biofilm detachment contributes to water quality deterioration. However, the contributions of biofilm detachment from different pipes have not been quantified or compared. Following the introduction of partial reverse osmosis (RO) in drinking water production, this study analyzed particles at customers’ ends and tracked their origins to water distribution mains and service lines. For doing so, filter bags were installed in front of water meters to capture upstream detached particles, while biofilm from water main and service line were sampled by cutting pipe specimens. The results showed that elemental concentrations of the biofilm in mains were higher than those of service lines (54.3–268.5 vs. 27.1–44.4 μg/cm2), both dominated by Ca. Differently, filter bags were dominated by Fe/Mn (77.5–98.1%). After introducing RO, Ca significantly decreased in biofilms of mains but not service lines, but the released Fe/Mn rather than Ca arrived at customers’ ends. The ATP concentrations of service lines were higher than mains, which decreased on mains but increased in service lines after introducing RO. For the core ASVs, 13/24 were shared by service lines (17), mains (21), and filter bags (17), which were assigned mainly to Nitrospira spp., Methylomagnum spp., Methylocytis spp., and IheB2–23 spp. According to source tracking results, service lines contributed more than mains to the particulate material collected by filter bags (57.6 ± 13.2% vs. 13.0 ± 11.6%). To the best of our knowledge, the present study provides the first evidence of service lines’ direct and quantitative contributions to potential water quality deterioration at customers’ ends. This highlights the need for the appropriate management of long-neglected service line pipes, e.g., regarding material selection, length optimization, and proper regulation.","Drinking water distribution systems; Service line; Microbial source tracking; Biofilm detachment; Particle-associated bacteria","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:f8a4d4b3-20e7-477b-b513-669c993bb361","http://resolver.tudelft.nl/uuid:f8a4d4b3-20e7-477b-b513-669c993bb361","Wicked but worth it: Student perspectives on socio-hydrology","Levy, MC (External organisation); Garcia, M (External organisation); Blair, P (External organisation); Chen, X (External organisation); Gomes, S.L. (TU Delft Policy Analysis; TU Delft Multi Actor Systems); Gower, DB (External organisation); Grames, J (External organisation); Kuil, L (External organisation); Liu, Y (External organisation); Marston, L (External organisation); McCord, PF (External organisation); Roobavannan, M (External organisation); Zeng, R (External organisation)","","2016","","","en","journal article","","","","","","harvest","","2017-03-06","","Multi Actor Systems","Policy Analysis","","",""
"uuid:94425969-8949-4c68-8dde-0b50607ddcb9","http://resolver.tudelft.nl/uuid:94425969-8949-4c68-8dde-0b50607ddcb9","Pension Reform in China","Liu, T (External organisation); Sun, L. (TU Delft Organisation & Governance)","","2016","","Peer-lijst tijdschrift","en","journal article","","","","","","","","2015-11-07","","","Organisation & Governance","","",""
"uuid:93444665-598d-4b69-9548-02adb10d1dea","http://resolver.tudelft.nl/uuid:93444665-598d-4b69-9548-02adb10d1dea","Sixty years of research on ship rudders: Effects of design choices on rudder performance","Liu, J. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2017","Rudders are primary steering devices for merchant ships. The main purpose of using rudders is to generate forces for course keeping and manoeuvring. In exceptional cases, rudders are also used for emergency stopping and roll stabilisation. Furthermore, rudders affect propeller thrust efficiency and total ship resistance. Therefore, rudders are important to navigation safety and transport efficiency. The performance of rudders depends on the rudder hydrodynamic characteristics, which are affected by the design choices. Scholarly articles concerning the design of rudders date back more than 60 years. Moreover, a lot of knowledge fragments of rudders exist in literature where ship manoeuvrability and fuel consumption are discussed. It is worthwhile to gather this information not only for researchers to advance the state-of-the-art development but also for designers to make proper choices. To have a contemporary vision of the rudders, this paper presents a consolidated review of design impacts on rudder performance in ship manoeuvrability, fuel consumption, and cavitation. The discussed design choices are rudder working conditions (Reynolds numbers and angles of attack), profiles (sectional shapes), properties (area, thickness, span, chord, and rudder aspect ratios), types (the position of the stock and the structural rudder–hull connection), and interactions (among the hull, the propeller, and the rudder). Further research is suggested on high-lift rudder profiles, multiple-rudder configurations and interactions among the hull, the propeller, and the rudder. Recommendations for industry practices in the selection of the rudder design choices are also given.","hull–propeller–rudder interactions; rudder performance; rudder profiles; rudder properties; rudder types; ship manoeuvrability","en","journal article","","","","","","Accepted Author Manuscript","","2017-09-29","","","Ship Design, Production and Operations","","",""
"uuid:2f8d6dbc-b2ae-44b2-b459-7f15a5fb40e9","http://resolver.tudelft.nl/uuid:2f8d6dbc-b2ae-44b2-b459-7f15a5fb40e9","Impacts of the rudder profile on manoeuvring performance of ships","Liu, J. (TU Delft Ship Design, Production and Operations); Quadvlieg, Frans (Maritime Research Institute Netherlands (MARIN)); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2016","The profile of a ship rudder influences the forces it generates, which in turn influence the manoeuvring performance of a ship. Thus, rudder forces and moments should be calculated considering the profile. Instead of an empirical estimation of the rudder normal force coefficient, this paper applies a RANS method to determine the hydrodynamic characteristics of various profiles, i.e. lift and drag coefficients. The RANS method is validated with a classic NACA 0012 profile and applied to 9 profiles from the NACA series, the wedge-tail series, and the IFS series. Furthermore, the 2D open-water RANS results are corrected for the effects of the propeller slipstream and the rudder aspect ratio. New regression formulas of the normal force coefficients are proposed for the tested profiles. These formulas are then integrated into a standard MMG model. Taking the KVLCC2 tanker as a reference ship, the manoeuvring model is validated with free-running tests executed by MARIN. Finally, the manoeuvring performance of the reference ship with various rudder profiles are quantified with turning and zigzag manoeuvres. The simulation results confirm that the wedge-tail series is most effective (largest manoeuvring forces) while the NACA series is most efficient (highest lift to drag ratio) among the tested profiles. The IFS series achieves a balance of effectiveness and efficiency.","KVLCC2; Manoeuvring simulations; RANS methods; Rudder profiles; Ship manoeuvrability","en","journal article","","","","","","","","2018-09-15","","","Ship Design, Production and Operations","","",""
"uuid:892d222c-911f-4554-b448-0061505d9641","http://resolver.tudelft.nl/uuid:892d222c-911f-4554-b448-0061505d9641","Reduction of slope stability uncertainty based on hydraulic measurement via inverse analysis","Vardon, P.J. (TU Delft Geo-engineering); Liu, K. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","","2016","The determination of slope stability for existing slopes is challenging, partly due to the spatial variability of soils. Reliability-based design can incorporate uncertainties and yield probabilities of slope failure. Field measurements can be utilised to constrain probabilistic analyses, thereby reducing uncertainties and generally reducing the calculated probabilities of failure. A method to utilise pore pressure measurements, to first reduce the spatial uncertainty of hydraulic conductivity, by using inverse analysis linked to the Ensemble Kalman Filter, is presented. Subsequently, the hydraulic conductivity has been utilised to constrain uncertainty in strength parameters, usually leading to an increase in the calculated slope reliability.","Ensemble Kalman filter; reliability; slope stability; spatial variability; uncertainty reduction","en","journal article","","","","","","","","2017-08-31","","","Geo-engineering","","",""
"uuid:2258a93f-997a-48ec-ac20-2d97f0a10965","http://resolver.tudelft.nl/uuid:2258a93f-997a-48ec-ac20-2d97f0a10965","Urban social assistance in China: Transnational diffusion and national interpretation","Liu, Tao (Universität Duisburg-Essen); Sun, L. (TU Delft Organisation & Governance)","","2016","In 1999 the State Council of the People’s Republic of China (PRC) introduced the Regulation on the Minimum Living Standard Scheme (MLSS, or dibao) for urban residents in China. Policy learning from different parts of the world significantly shaped the formation and expansion of the MLSS, and Chinese social policy researchers have drawn conclusions about the experiences of these multiple regions. Through expert interviews, we discovered that the Chinese social assistance scheme has been influenced by the US ideas of “social investment” and “workfare.” Furthermore, the European values of “universal entitlement” and “social citizenship” have also been internalised by the Chinese actors behind the scheme. In addition, Hong Kong’s social assistance scheme has inspired Chinese policymakers to explore a model consisting of various categories that target the country’s enormous special welfare needs. Thus, scholars and policymakers from China have used values and ideas outside China to create a hybrid model of social assistance that is characterised by broad coverage, a low benefit level, and a highly provincial administrative structure.","China; Globalisation; Policy transfer; Social assistance","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:3c216d07-8c4c-4d8a-8824-68afe249e7b0","http://resolver.tudelft.nl/uuid:3c216d07-8c4c-4d8a-8824-68afe249e7b0","Ensemble EMD-based automatic extraction of the catenary structure wavelength from the pantograph-catenary contact corce","Liu, Zhigang; Wang, H. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Yang, S. (External organisation); Nunez, Alfredo (TU Delft Railway Engineering); Zhang, J. (External organisation)","","2016","This paper explores the use of pantograph-catenary contact force (PCCF) for monitoring of the current collection quality and detection of anomalies in the interaction between pantograph and catenary. The concept of catenary structure wavelength (CSW) is proposed as the dominant component of PCCF. It describes the signal components caused by the cyclical catenary structure in span and inter-dropper distance. To obtain the CSWs and non-CSW residual of PCCF, an automatic extraction approach based on the ensemble empirical mode decomposition (EEMD) is proposed. In the approach, the instantaneous frequency of each intrinsic mode function generated by EEMD is employed for the extraction of CSWs. Some selected trials on the PCCF data from simulation and measurement are performed and indicate that the extraction approach is adaptive to the PCCF under various circumstances, including different operation speed, pantograph type and catenary structure. Analyses on the extracted CSWs and non-CSW residual show that, with certain tolerance against measurement noise, the approach can preserve intact the characterizations of current collection quality and make anomalies easier to detect.","Catenary structure wavelength (CSW); ensemble empirical mode decomposition (EEMD); extraction; high-speed railway (HSR); intrinsic mode function (IMF); pantograph-catenary contact force (PCCF); Computational modeling; Force; Frequency-domain analysis; Rail transportation; Sea measurements; Suspensions; Wires","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:74ac92a8-3173-44da-bf87-e791ea662fcb","http://resolver.tudelft.nl/uuid:74ac92a8-3173-44da-bf87-e791ea662fcb","Understanding effects of BIM on collaborative design and construction: An empirical study in China","Liu, Y. (TU Delft Integral Design & Management); van Nederveen, G.A. (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management)","","2016","In construction projects, Building Information Modeling (BIM) influences on the common way of collaboration, including the roles of different participants. The goal of this research is to explore current practices and identify the critical effects of BIM on collaborative design and construction. Through a focus group discussion and interviews with BIM related participants, we explored project professions' understandings of BIM implementation on collaborative design and construction and adopted the grounded theory to analyze the qualitative data. Eight concepts influencing the development of BIM collaboration are identified and classified: (1) IT capacity, (2) technology management, (3) attitude and behavior, (4) role-taking, (5) trust, (6) communication, (7) leadership, (8) learning and experience. We discussed the taxonomy of BIM effects into three dimensions: technology, people and process. Our findings provide empirical insights into the collaborative nature of BIM construction projects and highlight the importance of collaboration within project teams in BIM project delivery.","Collaboration; BIM; Design; Construction; Grounded theory","en","journal article","","","","","","","","2020-05-31","","","Integral Design & Management","","",""
"uuid:de356602-9c47-49b1-91d0-581f011cd617","http://resolver.tudelft.nl/uuid:de356602-9c47-49b1-91d0-581f011cd617","Decreasing the spectral radius of a graph by link removals","Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Stevanovic, D (External organisation); Kuipers, F.A. (TU Delft Network Architectures and Services); Li, C. (TU Delft Network Architectures and Services); van de Bovenkamp, R. (TU Delft Network Architectures and Services); Liu, D. (TU Delft Network Architectures and Services); Wang, H. (TU Delft Network Architectures and Services)","","2011","","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:c50183f6-ca66-45a2-9d2e-15605171e311","http://resolver.tudelft.nl/uuid:c50183f6-ca66-45a2-9d2e-15605171e311","Intriguing luminescence properties of (Ba, Sr)3Si6O9N4: Eu2+ phosphors via modifying synthesis method and cation substitution","Yin, L.J. (TU Delft ChemE/Product and Process Engineering; University of Electronic Science and Technology of China); Ji, Wei Wei (University of Science and Technology of China); Liu, Shi Yu (University of Electronic Science and Technology of China); He, Wei Dong (University of Electronic Science and Technology of China); Zhao, Lin (University of Electronic Science and Technology of China); Xu, Xin (University of Science and Technology of China); Fabre, A. (TU Delft ChemE/Product and Process Engineering); Dierre, B.F.P.R. (TU Delft RST/Fundamental Aspects of Materials and Energy); Lee, Ming Hsien (Tamkang University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Hintzen, H.T.J.M. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2016","Synthesizing pure phase Ba3Si6O9N4 by the conventional solid-state reaction method is challenging because of easily formed secondary phase Ba3Si6O12N2 showing similar crystal structure. In this work, an alternative low temperature synthesis method is presented, and a series of green to blue emitting (Ba, Sr)3Si6O9N4: Eu2+ phosphors were prepared by a mechanochemical activation route. Variations in photoluminescence properties and crystal structure, as induced by the change in phosphor composition, were investigated. Under ultraviolet-light excitation, Ba3Si6O9N4: Eu2+ phosphor exhibited a strong narrow green emission at 518 nm and simultaneously a weak emission at 405 nm, which are ascribed to different Eu/Ba sites in Ba3Si6O9N4 lattice proved by Density Functional Theory (DFT) calculations. A continuous green to blue emission in (Ba, Sr)3Si6O9N4: Eu2+ phosphors could be achieved by tuning the crystal structure and local coordination environment acting on Eu2+ with Sr/Ba substitution. More Sr/Ba substitution improved thermal quenching and resulted in a different characteristic of emission peak shift upon increasing the temperature.","(Ba, Sr)SiON: Eu; Blueshift; Local structure disordering; Phosphor; Second-sphere shrinkage","en","journal article","","","","","","Accepted Author Manuscript","","2017-05-03","","","ChemE/Product and Process Engineering","","",""
"uuid:8e3bae84-604f-48d0-9468-f5f543aae588","http://resolver.tudelft.nl/uuid:8e3bae84-604f-48d0-9468-f5f543aae588","The influence of statistical uncertainty in the hydraulic boundary conditions on the probabilistically computed highwater level frequency curve in the Rhine Delta","Zhong, Hua (Nanjing Hydraulic Research Institute; State Key Laboratory of Hydrology–Water Resources and Hydraulic Engineering); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); Wang, Wen (Hohai University; State Key Laboratory of Hydrology–Water Resources and Hydraulic Engineering); Wang, Gaoxu (Nanjing Hydraulic Research Institute; State Key Laboratory of Hydrology–Water Resources and Hydraulic Engineering); Liu, Yongzhi (Nanjing Hydraulic Research Institute; State Key Laboratory of Hydrology–Water Resources and Hydraulic Engineering); Niu, Shuai (Nanjing Hydraulic Research Institute; State Key Laboratory of Hydrology–Water Resources and Hydraulic Engineering)","","2016","The hydrodynamic characteristics of a delta or estuary are mainly governed by discharges of rivers and water level at the sea (or lake) boundaries. A joint probability approach is widely applied to quantify the high water level frequency in deltas. In the approach the relevant hydrodynamic loading variables, namely the astronomical tides, the wind induced storm surge and the river flows, are jointly investigated. The joint probability distribution is used to generate a large number of scenarios of boundary conditions which can drive a deterministic model to derive the water levels at locations of interest. The resulting water levels as well as their associated joint probabilities can be inverted to the high water level frequency curve. However, in the joint probability distribution, marginal distributions may contain large statistical uncertainties due to their relevant parameters being estimated from a limited length of data. In the case of the Rhine Delta, a nonparametric bootstrap method is applied to quantify the statistical uncertainties in three critical marginal distributions: wind induced storm surge peak level, wind induced storm surge duration and River Rhine discharge. The uncertainties are incorporated into the marginal distributions with a Monte Carlo integration method. Further the uncertainty-incorporated marginal distributions are used for the high water level frequency assessment. Compared to previous studies, water levels for given return periods are much higher. The uncertainty differs in each marginal distribution and its impact on the high water level frequency curve also varies.","High water level frequency; Lower Rhine Delta; Statistical uncertainty; The bootstrap method","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:926c1715-a19f-4473-a995-25362ca78013","http://resolver.tudelft.nl/uuid:926c1715-a19f-4473-a995-25362ca78013","A triple system of Fe(III)/sulfite/persulfate: Decolorization and mineralization of reactive Brilliant Red X-3B in aqueous solution at near-neutral pH values","Liu, Zizheng (Wuhan University); Guo, Yizhou (Huazhong University of Science and Technology); Shang, R. (TU Delft Sanitary Engineering; Huazhong University of Science and Technology); Fang, Zheng (Wuhan University); Wu, Feng (Wuhan University); Wang, Zongping (Huazhong University of Science and Technology)","","2016","We report herein the use of ferric iron (Fe 3 + ) and sulfite (SO 3 2 −) to activate persulfate (S 2 O 8 2 −). Decolorization and mineralization of reactive Brilliant Red X-3B (a model azo dye) by an Fe(III)/sulfite/persulfate triple system have been investigated in aqueous solutions. Initial pH and dis- solved oxygen are important factors influencing X-3B decolorization in this system. The rapid decoloriza- tion process occurred in 30 min and about 85% of X-3B was decolorized in this triple system at initial pH 6.0. Moreover, about 66.4% of the TOC was removed through reaction for 36 h. The generation of SO 4 •−and HO •were identified through radical quenching experiments and by electron spin resonance (ESR), which contributed to 61.1% and 28.9% of the oxidation process. Degradation products of X-3B were iden- tified by LC-ESI-MS, and the degradation pathway was proposed. Furthermore, other organic pollutants, such as Orange II, metoprolol, imipramine, naproxen, estradiol, and amitriptyline, could also be efficiently degraded in this triple system. The results of the present work suggest that the Fe(III)/sulfite/persulfate triple system can be used for the rapid decolorization and partial mineralization of dyeing wastewater at near-neutral pH values.","Decolorization; Ferric iron; Sulfite; Persulfate; Neutral pH","en","journal article","","","","","","","","2018-11-30","","","Sanitary Engineering","","",""
"uuid:a131b1f7-fef2-416a-bf26-80953bd57862","http://resolver.tudelft.nl/uuid:a131b1f7-fef2-416a-bf26-80953bd57862","Multi-resolution modeling based on quotient space and DEVS","Liu, B. (National University of Defense Technology); Verbraeck, A. (TU Delft Policy Analysis)","","2017","As simulation systems get more and more complex, the study of multi-resolution modeling (MRM) remains an exciting and fertile area of research. Contrasting its abundant successful use cases, a rigorous mathematical foundation is still lacking in MRM. In this paper, we propose a quotient space based multi-resolution modeling (QMRM) theory based on granular computing in artificial intelligence and on discrete-event system specification (DEVS) in modeling and simulation. Based on quotient sets, resolution, multi-resolution modeling and other related concepts are defined and a general concept framework is constructed. Based on the concepts of quotient set and natural projection, several MRM principles are derived. The internal consistency principle guarantees consistency among different perspectives of an atomic model, whereas the external consistency principle guarantees that different components in a coupled model are consistent. The false-preserving principle indicates that if a construction relation or state transformation relation of a component does not exist in a low resolution model, then the corresponding relations should not exist in its high resolution model. The true-preserving principle tells us that a high resolution model can be simplified by choosing the proper low resolution model. QMRM is not only a formal specification, but also a fundamental framework to understand MRM concepts, a guiding ideology to design specific MRM methods, and a modeling methodology to develop MRM systems. QMRM is created from a general simulation perspective, not limited by any specific application or problem domain aspects. The results of this paper can serve as a starting point for further study of multi-resolution problems in different domains.","Discrete-event system specification (DEVS); Equivalence relation; Multi-resolution modeling (MRM); Quotient set; Resolution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2017-03-29","","","Policy Analysis","","",""
"uuid:72891061-1a7c-4259-af45-72078352dc05","http://resolver.tudelft.nl/uuid:72891061-1a7c-4259-af45-72078352dc05","Moving Human path tracking based on video surveillance in 3D indoor scenarious","Zhou, Yan (University of Electric Science and Technology of China, Chengdu); Zlatanova, S. (TU Delft Urban Data Science); Wang, Zhe (University of Electric Science and Technology of China, Chengdu); Zhang, Yeting (Wuhan University); Liu, L. (TU Delft Urban Data Science)","","2016","Video surveillance systems are increasingly used for a variety of 3D indoor applications. We can analyse human behaviour, discover and avoid crowded areas, monitor human traffic and so forth. In this paper we concentrate on use of surveillance cameras to track and reconstruct the path a person has followed. For the purpose we integrated video surveillance data with a 3D indoor model of the building and develop a single human moving path tracking method. We process the surveillance videos to detected single human moving traces; then we match the depth information of 3D scenes to the constructed 3D indoor network model and define the human traces in the 3D indoor space. Finally, the single human traces extracted from multiple cameras are connected with the help of the connectivity provided by the 3D network model. Using this approach, we can reconstruct the entire walking path. The provided experiments with a single person have verified the effectiveness and robustness of the method","Moving Object Tracking; Video Surveillance; 3D Indoor Scenario","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:7adccf53-b3e8-41d5-85ef-d3542df5ffbf","http://resolver.tudelft.nl/uuid:7adccf53-b3e8-41d5-85ef-d3542df5ffbf","Identification of driving factors for green building development in China","Wang, Xia (Chongqing University); Ren, Hong (Chongqing University); Cai, Weiguang (Chongqing University); Liu, Y. (TU Delft Integral Design & Management); Luo, Lizi (The Hong Kong Polytechnic University)","","2016","Green building (GB) has been actively promoted in many countries, but it has not become the mainstream in Chinese construction industry due to various reasons. This paper aims to investigate the major driving factors for the development of GB with reference of the Chinese construction market. Twenty-one factors influencing the development of GB were identified through a literature review, questionnaire survey, and face-to-face interview with professionals in the construction industry. Structural equation model was established to identify the critical driving path and three critical factors hierarchies. The result of model analysis also verifies the theoretical hypotheses that government body is the biggest motivation for the development of GB, and the path coefficient is high. The results demonstrate the necessity for the formulation of incentive policies and power of GB propaganda. We identify distinct government and market effects and then induce a government-led GB development path. These findings provide a valuable reference for government body aiming at promoting GB in the construction industry to put forward relevant policies and incentives and for the market body to understand the major driving factors and path when making decisions.","Driving factors; Driving path; Green building; Structural equation modelin","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:4a4a4b71-b034-4795-b548-dcfd9a365805","http://resolver.tudelft.nl/uuid:4a4a4b71-b034-4795-b548-dcfd9a365805","Combined effect of hysteresis and heterogeneity on the stability of an embankment under transient seepage","Liu, K. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Arnold, P. (TU Delft Geo-engineering)","","2017","The stability of most earth embankments is strongly influenced by the water content of the soil. The water content directly influences the suction or pore pressure in the soil, as well as the mass of material, thereby affecting the stress state and strength, and leading to changes in the stability. These aspects are coupled by the so-called soil water retention behaviour, which is observed to be a hysteretic phenomenon. Moreover, soils are known to be spatially variable or heterogeneous in nature, which can lead to preferential flow paths and stronger or weaker zones. In this paper the behaviour of a heterogeneous earth embankment subjected to cyclic water level fluctuation, including the impact of hysteresis, is investigated. The soil property values governing the unsaturated hydraulic response of the embankment are considered as spatially random variables, with the mechanical property values considered deterministic in order to isolate the impact of the hydraulic behaviour. The Monte Carlo Method (MCM) is used to conduct probabilistic analyses and an assessment of the relative influence of material properties illustrates that the saturated hydraulic conductivity, ksat, plays a dominant role in the slope stability. Moreover, in the initially drying condition, the average factor of safety (FOS) and the 95th percentile FOS of the slope considering hysteresis are smaller than those without considering hysteresis, at all times, while the variability of the FOS considering hysteresis is larger than that when not considering hysteresis. In practice, this means that slopes under seepage conditions, which are assessed to have a low FOS, should be assessed including the hysteretic behaviour to ensure stability.","Embankment; Hysteresis; Reliability; Slope stability; Spatial variability; Transient seepage","en","journal article","","","","","","","","2018-12-30","","","Geo-engineering","","",""
"uuid:40315251-e559-4357-ae47-f2fcba68c783","http://resolver.tudelft.nl/uuid:40315251-e559-4357-ae47-f2fcba68c783","Managing Large Multidimensional Array Hydrologic Datasets: A Case Study Comparing NetCDF and SciDB","Liu, H. (Changjiang River Scientific Research Institute (CRSRI)); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Hu, C. (Changjiang River Scientific Research Institute (CRSRI)); Wang, Wen (Hohai University)","","2016","Management of large hydrologic datasets including storage, structuring, indexing and query is one of the crucial challenges in the era of big data. This research originates from a specific data query problem: time series extraction at specific locations takes a long time when a large multidimensional dataset is stored in non-chunked NetCDF classic or 64-bit offset format. The essence of this issue lies in the contiguous storage structure adopted by NetCDF. In this research, NetCDF file based solutions and a multidimensional (MD) array database management system (DBMS) applying chunked storage structure are benchmarked to determine the best solution for storing and querying large hydrologic datasets. To achieve this, expert consultancy was conducted to establish benchmark sets. To guarantee a fair benchmark test environment, HydroNET-4 system was utilized and adapters for NetCDF files and SciDB were developed to manage and query data. In final benchmark tests, effect of data storage configurations such as chunk size and compression on query performance is also explored. Results indicate that SciDB arrays utilizing small chunk sizes show favorable performance. However with current implementation of SciDB, large numbers of small chunks cause huge overload of main memory which constraints SciDB's scalability. Compression of SciDB can either have negative or no effect on query performance, while it causes significant query degradation to NetCDF-4 solution. The research illustrates that for big hydrologic array data management, the properly chunked NetCDF-4 solution without compression is in general more efficient than the SciDB DBMS. So under current big data environment, traditionally adopted file-based hydroinformatic solutions can still be applicable after proper updating.","benchmark; chunked storage structure; hydrologic dataset; NetCDF; SciDB","en","journal article","","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:aeefaee3-3d1f-48f8-b9a2-131971ca5e55","http://resolver.tudelft.nl/uuid:aeefaee3-3d1f-48f8-b9a2-131971ca5e55","Combination of surface and borehole seismic data for robust target-oriented imaging","Liu, Yi (Norwegian University of Science and Technology (NTNU)); van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Arntsen, B; Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2016","A novel application of seismic interferometry (SI) and Marchenko imaging using both surface and borehole data is presented. A series of redatuming schemes is proposed to combine both data sets for robust deep local imaging in the presence of velocity uncertainties. The redatuming schemes create a virtual acquisition geometry where both sources and receivers lie at the horizontal borehole level, thus only a local velocity model near the borehole is needed for imaging, and erroneous velocities in the shallow area have no effect on imaging around the borehole level. By joining the advantages of SI and Marchenko imaging, a macrovelocity model is no longer required and the proposed schemes use only single-component data. Furthermore, the schemes result in a set of virtual data that have fewer spurious events and internal multiples than previous virtual source redatuming methods. Two numerical examples are shown to illustrate the workflow and to demonstrate the benefits of the method. One is a synthetic model and the other is a realistic model of a field in the North Sea. In both tests, improved local images near the boreholes are obtained using the redatumed data without accurate velocities, because the redatumed data are close to the target.","Inverse theory; Downhole methods; Interferometry; Wave propagation","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:b4cce9d1-1cdb-49bb-950b-6627e2a18680","http://resolver.tudelft.nl/uuid:b4cce9d1-1cdb-49bb-950b-6627e2a18680","Relating the 3D electrode morphology to Li-ion battery performance; a case for LiFePO4","Liu, Zhao (Northwestern University); Verhallen, T.W. (TU Delft RST/Fundamental Aspects of Materials and Energy); Singh, D.P. (TU Delft RST/Fundamental Aspects of Materials and Energy); Wang, Hongqian (Northwestern University); Wagemaker, M. (Northwestern University); Barnett, Scott (Northwestern University)","","2016","One of the main goals in lithium ion battery electrode design is to increase the power density. This requires insight in the relation between the complex heterogeneous microstructure existing of active material, conductive additive and electrolyte providing the required electronic and Li-ion transport. FIB-SEM is used to determine the three phase 3D morphology, and Li-ion concentration profiles obtained with Neutron Depth Profiling (NDP) are compared for two cases, conventional LiFePO4 electrodes and better performing carbonate templated LiFePO4 electrodes. This provides detailed understanding of the impact of key parameters such as the tortuosity for electron and Li-ion transport though the electrodes. The created hierarchical pore network of the templated electrodes, containing micron sized pores, appears to be effective only at high rate charge where electrolyte depletion is hindering fast discharge. Surprisingly the carbonate templating method results in a better electronic conductive CB network, enhancing the activity of LiFePO4 near the electrolyte-electrode interface as directly observed with NDP, which in a large part is responsible for the improved rate performance both during charge and discharge. The results demonstrate that standard electrodes have a far from optimal charge transport network and that significantly improved electrode performance should be possible by engineering the microstructure.","3D imaging; Charge transport; Electrode morphology; FIB-SEM; Li-ion batteries; LiFePO; Neutron depth profiling","en","journal article","","","","","","Accepted Author Manuscript","","2018-05-30","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:9ca0d208-ace1-4674-9a58-2b0d5fa4f5cc","http://resolver.tudelft.nl/uuid:9ca0d208-ace1-4674-9a58-2b0d5fa4f5cc","Spatial identification of critical nutrient loads of large shallow lakes: Implications for Lake Taihu (China)","Janssen, Annette B.G. (Netherlands Institute of Ecology; Wageningen University & Research); de Jager, Victor C.L. (Netherlands Institute of Ecology); Janse, Jan H. (Netherlands Institute of Ecology; PBL Netherlands Environmental Assessment Agency); Kong, Xiangzhen (Netherlands Institute of Ecology; Peking University); Liu, S. (TU Delft Coastal Engineering; Deltares); Ye, Qinghua (TU Delft Coastal Engineering; Deltares); Mooij, Wolf M. (Netherlands Institute of Ecology; Wageningen University & Research)","","2017","Ongoing eutrophication frequently causes toxic phytoplankton blooms. This induces huge worldwide challenges for drinking water quality, food security and public health. Of crucial importance in avoiding and reducing blooms is to determine the maximum nutrient load ecosystems can absorb, while remaining in a good ecological state. These so called critical nutrient loads for lakes depend on the shape of the load-response curve. Due to spatial variation within lakes, load-response curves and therefore critical nutrient loads could vary throughout the lake. In this study we determine spatial patterns in critical nutrient loads for Lake Taihu (China) with a novel modelling approach called Spatial Ecosystem Bifurcation Analysis (SEBA). SEBA evaluates the impact of the lake's total external nutrient load on the local lake dynamics, resulting in a map of critical nutrient loads for different locations throughout the lake. Our analysis shows that the largest part of Lake Taihu follows a nonlinear load-response curve without hysteresis. The corresponding critical nutrient loads vary within the lake and depend on management goals, i.e. the maximum allowable chlorophyll concentration. According to our model, total nutrient loads need to be more than halved to reach chlorophyll-a concentrations of 30–40 μg L−1 in most sections of the lake. To prevent phytoplankton blooms with 20 μg L−1 chlorophyll-a throughout Lake Taihu, both phosphorus and nitrogen loads need a nearly 90% reduction. We conclude that our approach is of great value to determine critical nutrient loads of lake ecosystems such as Taihu and likely of spatially heterogeneous ecosystems in general.","Algal blooms; Bifurcation analysis; Eutrophication; Load-response curve; PCLake; Spatial heterogeneity","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:adc422cd-2dfc-4f18-b288-c7be4a5bcbf5","http://resolver.tudelft.nl/uuid:adc422cd-2dfc-4f18-b288-c7be4a5bcbf5","Potential of Partially Superconducting Generators for Large Direct-Drive Wind Turbines","Liu, D. (TU Delft DC systems, Energy conversion & Storage); Polinder, H. (TU Delft DC systems, Energy conversion & Storage); Abrahamsen, Asger B. (Technical University of Denmark); Ferreira, Jan Abraham (TU Delft ESE Programmes)","","2017","This paper aims at assessing the potential of partially superconducting generators for 10 MW direct-drive wind turbines by investigating their performance for a very wide range of excitation currents. Performance indicators such as shear stress and efficiency and other generator characteristics are compared for 12 different generator topologies. To be sufficiently attractive, superconducting generators must have significant advantages over permanent magnet direct-drive generators, which typically have shear stresses of the order of 53 kPa and efficiencies of 96%. Therefore, we investigate what excitation is required to obtain a doubled shear stress and an efficiency of 98%. To achieve this, the different topologies require a range of excitation from 200 to 550 kAt (ampere-turns) with a low armature current density of 2 A/mm2. The more iron that is used in the core of these topologies, the easier they achieve this performance. By examining the maximum magnetic flux density at the location of the superconducting field winding, feasible superconductors can be chosen according to their engineering current density capabilities. It is found that high- and low-temperature superconductors can meet the performance criteria for many of the topologies. MgB2 superconductors are feasible for the fully iron-cored topology with salient poles but need cooling down to 10 K.","Direct drive; high temperature superconductor (HTS); low temperature superconductor (LTS)","en","journal article","","","","","","Accepted Author Manuscript","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:af81ff69-3827-41d5-900b-e1e543111d9f","http://resolver.tudelft.nl/uuid:af81ff69-3827-41d5-900b-e1e543111d9f","Effect of residual H2O2 from advanced oxidation processes on subsequent biological water treatmen: A laboratory batch study","Wang, F. (TU Delft Sanitary Engineering); van Halem, D. (TU Delft Sanitary Engineering); Liu, G. (TU Delft Sanitary Engineering; Oasen); Lekkerkerker, K. (Dunea); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2017","H2O2 residuals from advanced oxidation processes (AOPs) may have critical impacts on the microbial ecology and performance of subsequent biological treatment processes, but little is known. The objective of this study was to evaluate how H2O2 residuals influence sand systems with an emphasis on dissolved organic carbon (DOC) removal, microbial activity change and bacterial community evolution. The results from laboratory batch studies showed that 0.25 mg/L H2O2 lowered DOC removal by 10% while higher H2O2 concentrations at 3 and 5 mg/L promoted DOC removal by 8% and 28%. A H2O2 dosage of 0.25 mg/L did not impact microbial activity (as measured by ATP) while high H2O2 dosages, 1, 3 and 5 mg/L, resulted in reduced microbial activity of 23%, 37% and 37% respectively. Therefore, DOC removal was promoted by the increase of H2O2 dosage while microbial activity was reduced. The pyrosequencing results illustrated that bacterial communities were dominated by Proteobacteria. The presence of H2O2 showed clear influence on the diversity and composition of bacterial communities, which became more diverse under 0.25 mg/L H2O2 but conversely less diverse when the dosage increased to 5 mg/L H2O2. Anaerobic bacteria were found to be most sensitive to H2O2 as their growth in batch reactors was limited by both 0.25 and 5 mg/L H2O2 (17–88% reduction). In conclusion, special attention should be given to effects of AOPs residuals on microbial ecology before introducing AOPs as a pre-treatment to biological (sand) processes. Additionally, the guideline on the maximum allowable H2O2 concentration should be properly evaluated.","Advanced oxidation processes; Hydrogen peroxide; Sand systems; Water treatment; Microbial community","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:298b9ef8-7f21-47eb-8a32-8f0f811203d9","http://resolver.tudelft.nl/uuid:298b9ef8-7f21-47eb-8a32-8f0f811203d9","Fluid flow from matrix to fractures in Early Jurassic shales","Houben, M. E. (Universiteit Utrecht); Hardebol, N.J. (TU Delft Applied Geology); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics); Boersma, Q.D. (TU Delft Applied Geology; Universiteit Utrecht); Carone, A. (Universiteit Utrecht); Liu, Y. (Universiteit Utrecht); de Winter, D. A.M. (Universiteit Utrecht); Peach, C. J. (Universiteit Utrecht); Drury, M. R. (Universiteit Utrecht)","","2017","The potential of shale reservoirs for gas extraction is largely determined by the permeability of the rock. Typical pore diameters in shales range from the μm down to the nm scale. The permeability of shale reservoirs is a function of the interconnectivity between the pore space and the natural fracture network present. We have measured the permeability of the Whitby Mudstone, the exposed counterpart of the Posidonia Shales buried in the Dutch subsurface and a possible target for unconventional gas, using different methods and established a correlation with the microstructures and pore networks present down to the nanometer scale. Whitby Mudstone is a clay rich rock with a low porosity. The permeability of the Whitby Mudstone is in the range of 10−18m2–10−21m2. 2D microstructures of the Whitby Mudstone show no connected pore networks, but isolated pore bodies mainly situated in the clay matrix, whereas 3D data shows that connected pore networks are present in less compacted parts of the rock. A closely spaced interconnected fracture network is often required to speed up transport of fluids from the matrix into a producing well. For fluids within the matrix the nearest natural fracture is on average at a distance of approximately 10cm in the Whitby Mudstone. The combination of the permeability data and the porosity data with natural fracture spacing of the fractures present in outcrops along the Yorkshire coast (UK) resulted in new insights into possible fluid pathways from reservoir to well.","Fracture network; Jurassic shales; Multi-scale flow; Permeability; Whitby Mudstone","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:0ee27ef2-e834-4755-9345-681581173b00","http://resolver.tudelft.nl/uuid:0ee27ef2-e834-4755-9345-681581173b00","Operation-driven heterogeneity and overlooked feed-associated populations in global anaerobic digester microbiome","Mei, Ran (University of Illinois at Urbana-Champaign); Nobu, Masaru K. (University of Illinois at Urbana-Champaign; National Institute of Advanced Industrial Science and Technology (AIST)); Narihiro, Takashi (National Institute of Advanced Industrial Science and Technology (AIST)); Kuroda, Kyohei (University of Illinois at Urbana-Champaign; Nagaoka University of Technology); Muñoz Sierra, Julian (TU Delft Sanitary Engineering); Wu, Zhuoying (The Hong Kong Polytechnic University); Ye, Lin (Nanjing University); Lee, Patrick K.H. (City University of Hong Kong); Lee, P.H.L. (The Hong Kong Polytechnic University); van Lier, J.B. (TU Delft Sanitary Engineering); McInerney, Michael J. (University of Oklahoma); Kamagata, Yoichi (National Institute of Advanced Industrial Science and Technology (AIST)); Liu, Wen Tso (University of Illinois at Urbana-Champaign)","","2017","Anaerobic digester (AD) microbiomes harbor complex, interacting microbial populations to achieve biomass reduction and biogas production, however how they are influenced by operating conditions and feed sludge microorganisms remain unclear. These were addressed by analyzing the microbial communities of 90 full-scale digesters at 51 municipal wastewater treatment plants from five countries. Heterogeneity detected in community structures suggested that no single AD microbiome could be defined. Instead, the AD microbiomes were classified into eight clusters driven by operating conditions (e.g., pretreatment, temperature range, and salinity), whereas geographic location of the digesters did not have significant impacts. Comparing digesters populations with those present in the corresponding feed sludge led to the identification of a hitherto overlooked feed-associated microbial group (i.e., the residue populations). They accounted for up to 21.4% of total sequences in ADs operated at low temperature, presumably due to ineffective digestion, and as low as 0.8% in ADs with pretreatment. Within each cluster, a core microbiome was defined, including methanogens, syntrophic metabolizers, fermenters, and the newly described residue populations. Our work provides insights into the key factors shaping full-scale AD microbiomes in a global scale, and draws attentions to the overlooked residue populations.","Anaerobic digester; Feed sludge; Microbiome; Operation","en","journal article","","","","","","","","2019-07-24","","","Sanitary Engineering","","",""
"uuid:5f756020-9197-4b75-8ce6-71b7e78959d0","http://resolver.tudelft.nl/uuid:5f756020-9197-4b75-8ce6-71b7e78959d0","Experimental study on mechanical behavior of shear connectors of square concrete filled steel tube","Qiao, Qiyun (Beijing University of Technology); Zhang, Wenwen (Beijing University of Technology); Qian, Zhiwei (TU Delft Railway Engineering); Cao, Wanlin (Beijing University of Technology); Liu, Wenchao (Beijing University of Technology)","","2017","In order to quantitatively evaluate the shear-bearing capacity of shear connectors of square concrete filled steel tube (CFST), push-out tests on 14 square CFSTs with shear connectors have been carried out. Among the 14 CFSTs, there are 13 specimens with steel plate connectors and one specimen with steel bar connectors. The following factors are investigated to figure out their influences on the performance of CFSTs, which are the width to thickness ratio of steel tube, thickness of steel plate, length of steel plate, strength of concrete, welding condition of steel plate, number of steel plate layer and interlayer spacing. The test results show that the ultimate bearing capacity and the elastic stiffness increase with decreasing width to thickness ratio of the steel tube, and increasing thickness and length of the steel plate. With increasing concrete strength, the ultimate bearing capacity also increases. However, the welding condition has no effect on the ultimate bearing capacity. The ultimate bearing capacity of the CFST with double-layer steel plate is greater than that with single-layer steel plate. The ultimate bearing capacity of steel bar type shear connector is 87% greater than that of the steel plate type shear connector, and the steel bar specimen shows good ductility. A formula for calculating the shear-bearing capacity of shear connectors has been developed, and the calculated shear-bearing capacities are in good agreement with the test data.","Push-out test; Shear connector; Shear-bearing capacity; Square CFSTs","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:613b3263-be3c-47fd-9d31-3a7f45e16ee0","http://resolver.tudelft.nl/uuid:613b3263-be3c-47fd-9d31-3a7f45e16ee0","Hotspots for selected metal elements and microbes accumulation and the corresponding water quality deterioration potential in an unchlorinated drinking water distribution system","Liu, G. (Oasen); Tao, Yu (Imperial College London); Zhang, Ya (University of Illinois at Urbana-Champaign); Lut, M.C. (Oasen); Knibbe, Willem Jan (Oasen); van der Wielen, Paul (KWR Water Research Institute; Wageningen University & Research); Liu, Wentso (University of Illinois at Urbana-Champaign); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute); van der Meer, W.G.J. (Oasen; University of Twente)","","2017","Biofilm formation, loose deposit accumulation and water quality deterioration in drinking water distribution systems have been widely reported. However, the accumulation and distribution of harbored elements and microbes in the different niches (loose deposits, PVC-U biofilm, and HDPE biofilm) and their corresponding potential contribution to water quality deterioration remain unknown. This precludes an in-depth understanding of water quality deterioration and the development of proactive management strategies. The present study quantitatively evaluated the distribution of elements, ATP, Aeromonas spp., and bacterial communities in distribution pipes (PVC-U, D = 110 mm, loose deposit and biofilm niches) and household connection pipes (HDPE, D = 32 mm, HDPE biofilm niches) at ten locations in an unchlorinated distribution system. The results show that loose deposits in PVC-U pipes, acting as sinks, constitute a hotspot (highest total amount per meter pipe) for elements, ATP, and target bacteria groups (e.g., Aeromonas spp., Mycobacterium spp., and Legionella spp.). When drinking water distribution system niches with harbored elements and microbes become sources in the event of disturbances, the highest quality deterioration potential (QDP) is that of HDPE biofilm; this can be attributed to its high surface-to-volume ratio. 16s rRNA analysis demonstrates that, at the genus level, the bacterial communities in the water, loose deposits, PVC-U biofilm, and HDPE biofilm were dominated, respectively, by Polaromonas spp. (2–23%), Nitrosipra spp. (1–47%), Flavobacterium spp. (1–36%), and Flavobacterium spp. (5–67%). The combined results of elemental composition and bacterial community analyses indicate that different dominant bio-chemical processes might occur within the different niches—for example, iron-arsenic oxidizing in loose deposits, bio-calumniation in PVC-U biofilm, and methane oxidizing in HDPE biofilm. The release of 20% loose deposits, 20% PVC-U biofilm and 10% HDPE biofilm will cause significant changes of water bacterial community.","Drinking water distribution system; Hotspot; Material accumulation; Next generation sequencing; Quality deterioration potential","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:bbae3221-ebdb-4a34-8dec-7f89546b2f22","http://resolver.tudelft.nl/uuid:bbae3221-ebdb-4a34-8dec-7f89546b2f22","Google-Earth Based Visualizations for Environmental Flows and Pollutant Dispersion in Urban Areas","Liu, D. (Shanghai Advanced Research Institute; University of Chinese Academy of Science); Kenjeres, S. (TU Delft ChemE/Transport Phenomena)","","2017","In the present study, we address the development and application of an efficient tool for conversion of results obtained by an integrated computational fluid dynamics (CFD) and computational reaction dynamics (CRD) approach and their visualization in the Google Earth. We focus on results typical for environmental fluid mechanics studies at a city scale that include characteristic wind flow patterns and dispersion of reactive scalars. This is achieved by developing a code based on the Java language, which converts the typical four-dimensional structure (spatial and temporal dependency) of data results in the Keyhole Markup Language (KML) format. The visualization techniques most often used are revisited and implemented into the conversion tool. The potential of the tool is demonstrated in a case study of smog formation due to an intense traffic emission in Rotterdam (The Netherlands). It is shown that the Google Earth can provide a computationally efficient and user-friendly means of data representation. This feature can be very useful for visualization of pollution at street levels, which is of great importance for the city residents. Various meteorological and traffic emissions can be easily visualized and analyzed, providing a powerful, user-friendly tool for traffic regulations and urban climate adaptations.","computational fluid dynamics; visualization; Google Earth; environmental pollution; KML","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:4de63c65-812d-4e09-9671-957f0474e8cb","http://resolver.tudelft.nl/uuid:4de63c65-812d-4e09-9671-957f0474e8cb","A Concealed Car Extraction Method Based on Full-Waveform LiDAR Data","Li, Chuanrong (Chinese Academy of Sciences); Zhou, Mei (Chinese Academy of Sciences); Liu, Menghua (Chinese Academy of Sciences); Ma, Lian (Chinese Academy of Sciences); Wang, J. (TU Delft Optical and Laser Remote Sensing)","","2016","Concealed cars extraction from point clouds data acquired by airborne laser scanning has gained its popularity in recent years. However, due to the occlusion effect, the number of laser points for concealed cars under trees is not enough. Thus, the concealed cars extraction is difficult and unreliable. In this paper, 3D point cloud segmentation and classification approach based on full-waveform LiDAR was presented. This approach first employed the autocorrelation G coefficient and the echo ratio to determine concealed cars areas. Then the points in the concealed cars areas were segmented with regard to elevation distribution of concealed cars. Based on the previous steps, a strategy integrating backscattered waveform features and the view histogram descriptor was developed to train sample data of concealed cars and generate the feature pattern. Finally concealed cars were classified by pattern matching. The approach was validated by full-waveform LiDAR data and experimental results demonstrated that the presented approach can extract concealed cars with accuracy more than 78.6% in the experiment areas.","","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:ff792e10-311b-45b1-82ca-f0ab80ff720d","http://resolver.tudelft.nl/uuid:ff792e10-311b-45b1-82ca-f0ab80ff720d","Revealing the relation between the structure, Li-ion conductivity and solid state battery performance for the argyrodite Li6PS5Br solid electrolyte","Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Ganapathy, S. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Eck, Ernst R H (Radboud Universiteit Nijmegen); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Basak, S.; Liu, Yanyan (State Key Laboratory of Metastable, Materials Science and Technology, Yanshan University); Zhang, Long (State Key Laboratory of Metastable, Materials Science and Technology, Yanshan University); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab); Wagemaker, M. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2017","Based on its high Li-ion conductivity, argyrodite Li6PS5Br is a promising solid electrolyte for all-solid-state batteries. However, more understanding is required on the relation between the solid electrolyte conductivity and the solid-state battery performance with the argyrodite structure, crystallinity and particle size that depend on the synthesis conditions. In the present study, this relationship is investigated using neutron and X-ray diffraction to determine the detailed structure and impedance as well as 7Li solid state NMR spectroscopy to study the Li-ion kinetics. It is found that depending on the synthesis conditions the distribution of the Br dopant over the crystallographic sites in Li6PS5Br is inhomogeneous, and that this may be responsible for a larger mobile Li-ion fraction at the interface regions in the annealed argyrodite materials. Comparing the bulk and interface properties of the differently prepared Li6PS5Br materials, it is proposed that optimal solid-state battery performance requires a different particle size for the solid electrolyte only region and the solid electrolyte in the cathode mixture. In the electrolyte region, the grain boundary resistance is minimized by annealing the argyrodite Li6PS5Br resulting in relatively large crystallites. In the cathode mixture however, additional particle size reduction of the Li6PS5Br is required to provide abundant Li6PS5Br-Li2S interfaces that reduce the resistance of this rate limiting step in Li-ion transport. Thereby the results give insight in how to improve solidstate battery performance by controlling the solid electrolyte structure.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:cd5e4f56-a96a-4758-b84b-1698e3fa931d","http://resolver.tudelft.nl/uuid:cd5e4f56-a96a-4758-b84b-1698e3fa931d","Binaural Sound Localization Based on Reverberation Weighting and Generalized Parametric Mapping","Pang, Cheng (Peking University); Liu, Hong (Peking University); Zhang, J. (TU Delft Signal Processing Systems); Li, Xiaofei (Inria Grenoble Rhône-Alpes)","","2017","Binaural sound source localization is an important technique for speech enhancement, video conferencing, and human-robot interaction, etc. However, in realistic scenarios, the reverberation and environmental noise would degrade the precision of sound direction estimation. Therefore, reliable sound localization is essential to practical applications. To deal with these disturbances, this paper presents a novel binaural sound source localization approach based on reverberation weighting and generalized parametric mapping. First, the reverberation weighting as a preprocessing stage, is used to separately suppress the early and late reverberation, while preserving interaural cues. Then, two binaural cues, i.e., interaural time and intensity differences, are extracted from the frequency-domain representations of dereverberated binaural signals for the online localization. Their corresponding templates are established using the training data. Furthermore, the generalized parametric mapping is proposed to build a generalized parametric model for describing relationships between azimuth and binaural cues analytically. Finally, a two-step sound localization process is introduced to refine azimuth estimation based on the generalized parametric model and template matching. Experiments in both simulated and real scenarios validate that the proposed method can achieve better localization performance compared to state-of-the-art methods.","Binaural localization; reverberation weighting; generalized parametric mapping; template matching","en","journal article","","","","","","Accepted Author Manuscript","","","","","Signal Processing Systems","","",""
"uuid:048b6e7c-398b-42ee-8d9b-53c693cc3023","http://resolver.tudelft.nl/uuid:048b6e7c-398b-42ee-8d9b-53c693cc3023","A new approach to separate seismic time-lapse time shifts in the reservoir and overburden","Liu, Y. (Norwegian University of Science and Technology (NTNU)); Landrø, Martin (Norwegian University of Science and Technology (NTNU)); Arntsen, Børge (Norwegian University of Science and Technology (NTNU)); van der Neut, J.R. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft ImPhys/Acoustical Wavefield Imaging; TU Delft Applied Geophysics and Petrophysics)","","2017","For a robust way of estimating time shifts near horizontal boreholes, we have developed a method for separating the reflection responses above and below a horizontal borehole. Together with the surface reflection data, the method uses the direct arrivals from borehole data in the Marchenko method. The first step is to retrieve the focusing functions and the updown wavefields at the borehole level using an iterative Marchenko scheme. The second step is to solve two linear equations using a least-squares minimizing method for the two desired reflection responses. Then, the time shifts that are directly linked to the changes on either side of the borehole are calculated using a standard crosscorrelation technique. The method is applied with good results to synthetic 2D pressure data from the North Sea. One example uses purely artificial velocity changes (negative above the borehole and positive below), and the other example uses more realistic changes based on well logs. In the 2D case with an adequate survey coverage at the surface, the method is completely data driven. In the 3D case inwhich there is a limited number of horizontal wells, a kinematic correct velocity model is needed, but only for the volume between the surface and the borehole. Possible error factors related to the Marchenko scheme, such as an inaccurate source wavelet, imperfect surface multiples removal, and medium with loss are not included in this study.","","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:57e7bbeb-a3ad-4879-95c1-f5b99958e7be","http://resolver.tudelft.nl/uuid:57e7bbeb-a3ad-4879-95c1-f5b99958e7be","High-Performance and Low-Cost Sodium-Ion Anode Based on a Facile Black Phosphorus-Carbon Nanocomposite","Peng, B. (TU Delft ChemE/Materials for Energy Conversion and Storage; Renmin University of China); Xu, Y. (TU Delft ChemE/Materials for Energy Conversion and Storage); Liu, Kai (Renmin University of China); Wang, Xiaoqun (Renmin University of China; Shanghai Jiao Tong University); Mulder, F.M. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2017","Black phosphorus (BP) has received increasing research attention as an anode material in sodium-ion batteries (SIBs), owing to its high capacity, electronic conductivity, and chemical stability. However, it is still challenging for BP-based SIB anodes to achieve a high electrochemical performance utilizing cost-effective materials and synthetic methods. This work presents a sodium-ion anode based on a BP-carbon nanocomposite synthesized from commercial red phosphorus and low-cost super P carbon black. Intimate interactions between BP and carbon are present, which helps to maintain the electrical conduction during cycling and, therefore, a high cycling stability is achieved. It exhibits a high capacity retention of 1381mAhg-1 for sodium-ion storage after 100 cycles, maintaining 90.5% of the initial reversible capacity. Such high performance/materials cost ratio may provide direction for future phosphorus-based anodes in high energy density SIBs.","Anode materials; Black phosphorus; Nanocomposites; Sodium-ion batteries","en","journal article","","","","","","","","2017-12-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:b62de1a7-9e82-4b0a-b58f-c243e849b90f","http://resolver.tudelft.nl/uuid:b62de1a7-9e82-4b0a-b58f-c243e849b90f","Target-enclosed seismic imaging","van der Neut, J.R. (TU Delft Applied Geophysics and Petrophysics); Ravasi, Matteo (Statoil ASA); Liu, Y. (Norwegian University of Science and Technology (NTNU)); Vasconcelos, Ivan (Universiteit Utrecht)","","2017","Seismic reflection data can be redatumed to a specified boundary in the subsurface by solving an inverse (or multidimensional deconvolution) problem. The redatumed data can be interpreted as an extended image of the subsurface at the redatuming boundary, depending on the subsurface offset and time. We retrieve targetenclosed extended images by using two redatuming boundaries, which are selected above and below a specified target volume. As input, we require the upgoing and downgoing wavefields at both redatuming boundaries due to impulsive sources at the earth's surface. These wavefields can be obtained from actual measurements in the subsurface, they can be numerically modeled, or they can be retrieved by solving a multidimensional Marchenko equation. As output, we retrieved virtual reflection and transmission responses as if sources and receivers were located at the two target-enclosing boundaries. These data contain all orders of reflections inside the target volume but exclude all interactions with the part of the medium outside this volume. The retrieved reflection responses can be used to image the target volume from above or from below. We found that the images from above and below are similar (given that the Marchenko equation is used for wavefield retrieval). If a model with sharp boundaries in the target volume is available, the redatumed data can also be used for two-sided imaging, where the retrieved reflection and transmission responses are exploited. Because multiple reflections are used by this strategy, seismic resolution can be improved significantly. Because target-enclosed extended images are independent on the part of the medium outside the target volume, our methodology is also beneficial to reduce the computational burden of localized inversion, which can now be applied inside the target volume only, without suffering from interactions with other parts of the medium.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:76455acf-b6f5-4f93-9167-7387359fbe36","http://resolver.tudelft.nl/uuid:76455acf-b6f5-4f93-9167-7387359fbe36","Measurement of reaction kinetics of [177Lu]Lu-DOTA-TATE using a microfluidic system","Liu, Z. (TU Delft RST/Reactor Physics and Nuclear Materials); Schaap, Kevin; Ballemans, Laura; de Zanger, Rory (Erasmus MC); de Blois, E (Erasmus MC); Rohde, M. (TU Delft RST/Reactor Physics and Nuclear Materials); Paulssen, E. (TU Delft RST/Applied Radiation & Isotopes)","","2017","Microfluidic synthesis techniques can offer improvement over batch syntheses which are currently used for radiopharmaceutical production. These improvements are, for example, better mixing of reactants, more efficient energy transfer, less radiolysis, faster reaction optimization, and overall improved reaction control. However, scale-up challenges hinder the routine clinical use, so the main advantage is currently the ability to optimize reactions rapidly and with low reactant consumption. Translating those results to clinical systems could be done based on calculations, if kinetic constants and diffusion coefficients were known. This study describes a microfluidic system with which it was possible to determine the kinetic association rate constants for the formation of [177Lu]Lu-DOTA-TATE under conditions currently used for clinical production. The kinetic rate constants showed a temperature dependence that followed the Arrhenius equation, allowing the determination of Arrhenius parameters for a Lu-DOTA conjugate (A = 1.24 ± 0.05 × 1019 M−1 s−1, EA = 109.5 ± 0.1 × 103 J mol−1) for the first time. The required reaction time for the formation of [177Lu]Lu-DOTA-TATE (99% yield) at 80 °C was 44 s in a microfluidic channel (100 μm). Simulations done with COMSOL Multiphysics® indicated that processing clinical amounts (3 mL reaction solution) in less than 12 min is possible in a micro- or milli-fluidic system, if the diameter of the reaction channel is increased to over 500 μm. These results show that a continuous, microfluidic system can become a viable alternative to the conventional, batch-wise radiolabelling technique.","","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:c79810f3-24a0-49cc-b8f0-e653cfb28be2","http://resolver.tudelft.nl/uuid:c79810f3-24a0-49cc-b8f0-e653cfb28be2","Multityped Community Discovery in Time-Evolving Heterogeneous Information Networks Based on Tensor Decomposition","Wu, Jibing (National University of Defense Technology); Yu, Lianfei (National University of Defense Technology; Army Academy of Border and Coastal Defense); Zhang, Qun (National University of Defense Technology); Shi, P. (TU Delft Computer Graphics and Visualisation); Liu, Lihua (National University of Defense Technology); Deng, Su (National University of Defense Technology); Huang, Hongbin (National University of Defense Technology)","","2018","The heterogeneous information networks are omnipresent in real-world applications, which consist of multiple types of objects with various rich semantic meaningful links among them. Community discovery is an effective method to extract the hidden structures in networks. Usually, heterogeneous information networks are time-evolving, whose objects and links are dynamic and varying gradually. In such time-evolving heterogeneous information networks, community discovery is a challenging topic and quite more difficult than that in traditional static homogeneous information networks. In contrast to communities in traditional approaches, which only contain one type of objects and links, communities in heterogeneous information networks contain multiple types of dynamic objects and links. Recently, some studies focus on dynamic heterogeneous information networks and achieve some satisfactory results. However, they assume that heterogeneous information networks usually follow some simple schemas, such as bityped network and star network schema. In this paper, we propose a multityped community discovery method for time-evolving heterogeneous information networks with general network schemas. A tensor decomposition framework, which integrates tensor CP factorization with a temporal evolution regularization term, is designed to model the multityped communities and address their evolution. Experimental results on both synthetic and real-world datasets demonstrate the efficiency of our framework.","","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:15dd135b-378f-4fd5-9ee7-a7a3145833bc","http://resolver.tudelft.nl/uuid:15dd135b-378f-4fd5-9ee7-a7a3145833bc","Evaluation of modeling NO2 concentrations driven by satellite-derived and bottom-up emission inventories using in situ measurements over China","Liu, Fei (Royal Netherlands Meteorological Institute (KNMI); Universities Space Research Association; NASA Goddard Space Flight Center); van der A, Ronald J. (Royal Netherlands Meteorological Institute (KNMI)); Eskes, Henk (Royal Netherlands Meteorological Institute (KNMI)); Ding, J. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Mijling, Bas (Royal Netherlands Meteorological Institute (KNMI))","","2018","Chemical transport models together with emission inventories are widely used to simulate NO2 concentrations over China, but validation of the simulations with in situ measurements has been extremely limited. Here we use ground measurements obtained from the air quality monitoring network recently developed by the Ministry of Environmental Protection of China to validate modeling surface NO2 concentrations from the CHIMERE regional chemical transport model driven by the satellite-derived DECSO and the bottom-up MIX emission inventories. We applied a correction factor to the observations to account for the interferences of other oxidized nitrogen compounds (NOz), based on the modeled ratio of NO2 to NOz. The model accurately reproduces the spatial variability in NO2 from in situ measurements, with a spatial correlation coefficient of over 0.7 for simulations based on both inventories. A negative and positive bias is found for the simulation with the DECSO (slope= 0.74 and 0.64 for the daily mean and daytime only) and the MIX (slope= 1.3 and 1.1) inventories, respectively, suggesting an underestimation and overestimation of NOx emissions from corresponding inventories. The bias between observed and modeled concentrations is reduced, with the slope dropping from 1.3 to 1.0 when the spatial distribution of NOx emissions in the DECSO inventory is applied as the spatial proxy for the MIX inventory, which suggests an improvement of the distribution of emissions between urban and suburban or rural areas in the DECSO inventory compared to that used in the bottom-up inventory. A rough estimate indicates that the observed concentrations, from sites predominantly placed in the populated urban areas, may be 10-40 % higher than the corresponding model grid cell mean. This reduces the estimate of the negative bias of the DECSO-based simulation to the range of -30 to 0 % on average and more firmly establishes that the MIX inventory is biased high over major cities. The performance of the model is comparable over seasons, with a slightly worse spatial correlation in summer due to the difficulties in resolving the more active NOx photochemistry and larger concentration gradients in summer by the model. In addition, the model well captures the daytime diurnal cycle but shows more significant disagreement between simulations and measurements during nighttime, which likely produces a positive model bias of about 15 % in the daily mean concentrations. This is most likely related to the uncertainty in vertical mixing in the model at night.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:2ef9e517-d6e5-432d-add4-9ed5eee27d99","http://resolver.tudelft.nl/uuid:2ef9e517-d6e5-432d-add4-9ed5eee27d99","Analysis of the evolvement of contact wire wear irregularity in railway catenary based on historical data","Wang, H. (TU Delft Railway Engineering; Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Song, Yang (Southwest Jiaotong University); Duan, Fuchuan (Southwest Jiaotong University); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2017","This paper studies the evolvement of the wear irregularity of contact wire using wire thickness data measured yearly from a section of railway catenary. The power spectral density and time–frequency representation based on the wavelet transform are employed for data analysis, with an emphasis on local wear irregularities that are crucial for contact wire condition assessment. To investigate the cause of wear irregularity evolvement and the mutual influence with the pantograph–catenary dynamic interaction, simulations considering the influence of wear irregularity are carried out based on the finite element method. Analyses of the actual wear irregularities and the dynamic contact force under singular and complex wear irregularities are performed. Although the wear irregularity has limited impact on the pantograph–catenary interaction, it can induce the vibration of pantograph and contact wire that will lead to a notable increase of contact force standard deviation. The evolvement of wear irregularity is closely associated with the span length and dropper distribution of catenary structure and the running direction of pantograph. In addition, it is found feasible to detect the wear irregularity based on contact force, on condition that the sampling frequency is high enough to reflect the indicative frequencies.","evolvement and cause; finite element method; historical data; Railway catenary; wavelet transform; wear irregularity","en","journal article","","","","","","","","2019-04-30","","","Railway Engineering","","",""
"uuid:15464d1f-e7eb-40bd-b096-4fe80e64a2fb","http://resolver.tudelft.nl/uuid:15464d1f-e7eb-40bd-b096-4fe80e64a2fb","Numerical study of wheel-rail impact contact solutions at an insulated rail joint","Yang, Z. (TU Delft Railway Engineering); Boogaard, M.A. (TU Delft Railway Engineering); Wei, Z. (TU Delft Railway Engineering); Liu, Jinzhao (China Academy of Railway Sciences); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2018","This paper presents an analysis of the transient contact solutions of wheel-rail frictional rolling impacts calculated by an explicit finite element model of the wheel-insulated rail joint (IRJ) dynamic interaction. The ability of the model to simulate the dynamic behavior of an IRJ has been validated against a comprehensive field measurement in a recent paper (Yang et al., 2018). In addition to the measured railhead geometry and bi-linear elastoplastic material model used in Yang et al. (2018), this study adopts a nominal railhead geometry and an elastic material model for the simulations to provide an overall understanding of the transient contact behavior of wheel-IRJ impacts. Each simulation calculates the evolution of the contact patch area, stress magnitude and direction, micro-slip distribution, and railhead nodal vibration velocity in the vicinity of the joint during the wheel-IRJ impacts. The simulations apply small computational and output time steps to capture the high-frequency dynamic effects at the wheel-IRJ impact contact. Regular wave patterns that indicate wave generation, propagation and reflection are produced by the simulations; this has rarely been reported in previous research. The simulated waves reflect continuum vibrations excited by wheel-rail frictional rolling and indicate that the simulated impact contact solutions are reliable.","Insulated rail joint (IRJ); Explicit FEM; Wheel-rail impact contact; Transient solution; Wave","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-08-12","","","Railway Engineering","","",""
"uuid:11aadc5e-398c-45e0-ab29-a6f60d51609c","http://resolver.tudelft.nl/uuid:11aadc5e-398c-45e0-ab29-a6f60d51609c","Autocorrelation of the susceptible-infected-susceptible process on networks","Liu, Q. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2018","In this paper, we focus on the autocorrelation of the susceptible-infected-susceptible (SIS) process on networks. The N-intertwined mean-field approximation (NIMFA) is applied to calculate the autocorrelation properties of the exact SIS process. We derive the autocorrelation of the infection state of each node and the fraction of infected nodes both in the steady and transient states as functions of the infection probabilities of nodes. Moreover, we show that the autocorrelation can be used to estimate the infection and curing rates of the SIS process. The theoretical results are compared with the simulation of the exact SIS process. Our work fully utilizes the potential of the mean-field method and shows that NIMFA can indeed capture the autocorrelation properties of the exact SIS process.","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:320a952f-7328-4449-90b3-8111ea4b9ad5","http://resolver.tudelft.nl/uuid:320a952f-7328-4449-90b3-8111ea4b9ad5","Analysis and compensation for the effect of the catheter position on image intensities in intravascular optical coherence tomography","Liu, Shengnan (Leiden University Medical Center); Eggermont, Jeroen (Leiden University Medical Center); Wolterbeek, Ron (Leiden University Medical Center); Broersen, Alexander (Leiden University Medical Center); Busk, Carol A.G.R. (University of Southern Denmark); Precht, Helle (University College Lillebælt); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dijkstra, J. (Leiden University Medical Center)","","2016","Intravascular optical coherence tomography (IVOCT) is an imaging technique that is used to analyze the underlying cause of cardiovascular disease. Because a catheter is used during imaging, the intensities can be affected by the catheter position. This work aims to analyze the effect of the catheter position on IVOCT image intensities and to propose a compensation method to minimize this effect in order to improve the visualization and the automatic analysis of IVOCT images. The effect of catheter position is modeled with respect to the distance between the catheter and the arterial wall (distance-dependent factor) and the incident angle onto the arterial wall (angle-dependent factor). A light transmission model incorporating both factors is introduced. On the basis of this model, the interaction effect of both factors is estimated with a hierarchical multivariant linear regression model. Statistical analysis shows that IVOCT intensities are significantly affected by both factors with p<0.001, as either aspect increases the intensity decreases. This effect differs for different pullbacks. The regression results were used to compensate for this effect. Experiments show that the proposed compensation method can improve the performance of the automatic bioresorbable vascular scaffold strut detection.","angle-dependency of near-infrared light; backscattered intensity; distance-dependency of near-infrared; hierarchical linear regression; intracoronary optical coherence tomography; Intravascular optical coherence tomography","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:3e9873dd-a6d6-49d6-8fc5-57c0ab99acae","http://resolver.tudelft.nl/uuid:3e9873dd-a6d6-49d6-8fc5-57c0ab99acae","Current and future trends in topology optimization for additive manufacturing","Liu, Jikai (University of Pittsburgh); Gaynor, Andrew T. (U.S. Army Research Laboratory); Chen, Shikui (State University of New York); Kang, Zhan (Dalian University of Technology); Suresh, Krishnan (University of Wisconsin-Madison); Takezawa, Akihiro (Hiroshima University); Li, Lei (University of Notre Dame); Kato, Junji (Tohoku University); Tang, Jinyuan (Central South University); Wang, C.C. (TU Delft Materials and Manufacturing); Cheng, Lin (University of Pittsburgh); Liang, Xuan (University of Pittsburgh); To, Albert. C. (University of Pittsburgh)","","2018","Manufacturing-oriented topology optimization has been extensively studied the past two decades, in particular for the conventional manufacturing methods, for example, machining and injection molding or casting. Both design and manufacturing engineers have benefited from these efforts because of the close-to-optimal and friendly-to-manufacture design solutions. Recently, additive manufacturing (AM) has received significant attention from both academia and industry. AM is characterized by producing geometrically complex components layer-by-layer, and greatly reduces the geometric complexity restrictions imposed on topology optimization by conventional manufacturing. In other words, AM can make near-full use of the freeform structural evolution of topology optimization. Even so, new rules and restrictions emerge due to the diverse and intricate AM processes, which should be carefully addressed when developing the AM-specific topology optimization algorithms. Therefore, the motivation of this perspective paper is to summarize the state-of-art topology optimization methods for a variety of AM topics. At the same time, this paper also expresses the authors’ perspectives on the challenges and opportunities in these topics. The hope is to inspire both researchers and engineers to meet these challenges with innovative solutions.","Additive manufacturing; Lattice infill; Material feature; Multi-material; Post-treatment; Support structure; Topology optimization; Uncertainty","en","journal article","","","","","","Accepted author manuscript","","2019-06-30","","","Materials and Manufacturing","","",""
"uuid:dd7a8a26-22cf-49e4-a184-7763af323651","http://resolver.tudelft.nl/uuid:dd7a8a26-22cf-49e4-a184-7763af323651","Non-iterative method for phase retrieval and coherence characterization by focus variation using a fixed star-shaped mask","Konijnenberg, A.P. (TU Delft ImPhys/Optics); Lu, Xingyuan (Soochow University); Liu, Leixin (Soochow University); Coene, W.M.J.M. (TU Delft ImPhys/Optics; ASML); Zhao, C.L. (Soochow University); Urbach, Paul (TU Delft ImPhys/Optics)","","2018","A novel non-iterative phase retrieval method is proposed and demonstrated with a
proof-of-principle experiment. The method uses a fixed specially designed mask and through-focus intensity measurements. It is demonstrated that this method is robust to spatial partial coherence in the illumination, making it suitable for coherent diffractive imaging using spatially partially coherent light, as well as for coherence characterization.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:5c62da94-b6e1-4830-b797-92e7380b5a64","http://resolver.tudelft.nl/uuid:5c62da94-b6e1-4830-b797-92e7380b5a64","An interactive model among potential human risk factors: 331 cases of coal mine roof accidents in China","Tong, Ruipeng (China University of Mining and Technology (Beijing)); Zhai, Cunli (China University of Mining and Technology (Beijing)); Jia, Qingli (China University of Mining and Technology (Beijing)); Wu, Chunlin (Beihang University); Liu, Y. (TU Delft Integral Design & Management); Xue, Surui (China University of Labor Relations)","","2018","In order to explore optimal strategies for managing potential human risk factors, this paper developed an interactive model among potential human risk factors based on the development processes of accidents. This model was divided into four stages, i.e., risk latency stage, risk accumulation stage, risk explosion stage and risk residue stage. Based on this model, this paper analyzed risk management procedures and relevant personal’s responsibility in each stage, and then probed into the interactive mechanism among human risk factors in three aspects, i.e., knowledge, information and communication. The validity and feasibility of the model was validated by analyzing a coal mine roof accident in China. In addition, the contribution of different functional levels’ personnel in risk evolution was discussed. It showed that this model can effectively reveal the interactive mechanism of potential human risk factors, and can thus give significant insights into the development of risk management theories and practices. It also proves that the contribution of different functional levels’ personnel in the model is different. This can further help practitioners design enhanced Behavioral-Based Safety (BBS) intervention approaches which can have a more sustainable and persistent impact on corporate personnel’s safety behavior. Specific recommendations and suggestions are provided fundamentally for future BBS practices in the coal mine industry.","Communication degree; Human risk; Information integrity; Interactive model; Knowledge level; Potential factor","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:e8eea959-f375-4c2c-a614-098734c0c067","http://resolver.tudelft.nl/uuid:e8eea959-f375-4c2c-a614-098734c0c067","Optimized algorithm of active injection circuit to calibrate DC circuit breaker","Liu, L. (TU Delft Intelligent Electrical Power Grids); Liu, S. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2018","The widely acknowledged high-voltage direct current (HVDC) technology has now been accepted as a solution of connecting renewable energy sources. However, this technology is vulnerable when facing DC-side faults; due to
the low DC impedance, the fault current can rise to an extremely high value in a short time. In addition, when building a multi-terminal DC (MTDC) system, the fault can make a worse failure or blackout of the system when it is not cleared or isolated in time. The urgent need to ensure reliable mentioned HVDC power system can be realized by making use of DC circuit breaker (DCCB). The vacuum CB, which is one division of active DCCBs, has its own operational limit; it can interrupt fault currents when the di/dt of injected current is lower than a critical
value, otherwise the arc may reignite. Therefore, the designing and testing of a DCCB must consider this feature. On the other hand, because of the complex
configuration of an MTDC system, one DC-side fault can result in different fault currents at faulty line’s terminals; thus, the DCCB needs to be calibrated based on its local fault information. This paper presents an algorithm to optimize the DCCB according to its critical di/dt and local fault current. Furthermore, the operational delay and chopping current of circuit breaker are also considered and
modelled. The simulation results from PSCAD platform verify the effectiveness of the presented algorithm.","Active DC circuit breaker (DCCB); DC fault; Fault interruption; High-voltage direct current (HVDC)","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:d1aa189a-faa1-45df-be8a-4f9f445d291e","http://resolver.tudelft.nl/uuid:d1aa189a-faa1-45df-be8a-4f9f445d291e","Horizontal Circulation Patterns in a Large Shallow Lake: Taihu Lake, China","Liu, S. (TU Delft Coastal Engineering); Ye, Qinghua (TU Delft Coastal Engineering; Deltares); Wu, Shiqiang (Nanjing Hydraulic Research Institute); Stive, M.J.F. (TU Delft Coastal Engineering)","","2018","Wind induced hydrodynamic circulations play significant roles in the transport and mixing process of pollutants and nutrients in large shallow lakes, but they have been usually overlooked, while environmental, biological, and ecological aspects of eutrophication problems get the most focus. Herein we use a three-dimensional model, driven by steady/unsteady wind, river discharge, rainfall, evaporation to investigate the spatially heterogeneous, large-scale hydrodynamic circulations and their role in transporting and mixing mechanisms in Taihu Lake. Wind direction and velocity determines the overall hydrodynamic circulation structure, i.e. direction, intensity, and position. A relative stable hydrodynamic circulation pattern has been formed shortly with steady wind (~2 days). Vertical profiles of horizontal velocities are linearly correlated to the relative shallowness of water depth. Volume exchange between subbasins, influenced by wind speed and initial water level, differs due to the complex topography and irregular shape. With unsteady wind, these findings are still valid to a high degree. Vertical variations in hydrodynamic circulation are important in explaining the surface accumulation of algae scums in Meiliang Bay in summers. Vorticity of velocity field, a key indicator of hydrodynamic circulation, is determined by wind direction, bathymetry gradient, and water depth. The maximum change of velocity vorticity happens when wind direction is perpendicular to bathymetry gradient. Furthermore, Lagrangian-based tracer transport is used to estimate emergency pollution leakage impacts, and also to evaluate operational management measurements, such as, the large-scale water transfer. The conclusion is that the large-scale water transfer does not affect the hydrodynamic circulation and volume exchanges between subbasins significantly, but succeeds to transport and then mix the fresh, clean Yangtze River water to a majority area of Taihu Lake.","hydrodynamic circulation; large shallow lakes; vorticity of velocity; wind-induced current; Taihu Lake; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:f4e3eb5b-6c8b-43a8-8b9d-80d213984aa8","http://resolver.tudelft.nl/uuid:f4e3eb5b-6c8b-43a8-8b9d-80d213984aa8","Quantized Majorana conductance","Zhang, H. (TU Delft QRD/Kouwenhoven Lab); Liu, Chun Xiao (University of Maryland); Gazibegovic, S. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Xu, D. (TU Delft QRD/Kouwenhoven Lab); Logan, John A. (University of California); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab); van Loo, N. (TU Delft Applied Sciences); Bommer, J.D.S. (TU Delft QRD/Kouwenhoven Lab); de Moor, M.W.A. (TU Delft QRD/Kouwenhoven Lab); Car, D. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); op het Veld, R.L.M. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Van Veldhoven, Petrus J. (Eindhoven University of Technology); Kölling, S. (TU Delft QRD/Kouwenhoven Lab; Eindhoven University of Technology); Verheijen, M.P.A.M. (TU Delft Integral Design & Management; Eindhoven University of Technology; Philips Research); Pendharkar, Mihir (University of California); Pennachio, Daniel J. (University of California); Shojaei, Borzoyeh (University of California); Lee, Joon Sue (University of California); Palmstrøm, Chris J. (University of California); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; Eindhoven University of Technology); Sarma, S. Das (University of Maryland); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft)","","2018","Majorana zero-modes - a type of localized quasiparticle - hold great promise for topological quantum computing. Tunnelling spectroscopy in electrical transport is the primary tool for identifying the presence of Majorana zero-modes, for instance as a zero-bias peak in differential conductance. The height of the Majorana zero-bias peak is predicted to be quantized at the universal conductance value of 2e 2 /h at zero temperature (where e is the charge of an electron and h is the Planck constant), as a direct consequence of the famous Majorana symmetry in which a particle is its own antiparticle. The Majorana symmetry protects the quantization against disorder, interactions and variations in the tunnel coupling. Previous experiments, however, have mostly shown zero-bias peaks much smaller than 2e 2 /h, with a recent observation of a peak height close to 2e 2 /h. Here we report a quantized conductance plateau at 2e 2 /h in the zero-bias conductance measured in indium antimonide semiconductor nanowires covered with an aluminium superconducting shell. The height of our zero-bias peak remains constant despite changing parameters such as the magnetic field and tunnel coupling, indicating that it is a quantized conductance plateau. We distinguish this quantized Majorana peak from possible non-Majorana origins by investigating its robustness to electric and magnetic fields as well as its temperature dependence. The observation of a quantized conductance plateau strongly supports the existence of Majorana zero-modes in the system, consequently paving the way for future braiding experiments that could lead to topological quantum computing.","Electronic properties and materials; Nanowires; Superconducting properties and materials","en","journal article","","","","","","Accepted Author Manuscript This title has a addendum: editorial expression of concern, see Relations below","","2018-09-28","Applied Sciences","","QRD/Kouwenhoven Lab","","",""
"uuid:17f29ac9-95d6-4ed3-a70b-3ca326ffbe88","http://resolver.tudelft.nl/uuid:17f29ac9-95d6-4ed3-a70b-3ca326ffbe88","Rheological Behavior and Its Chemical Interpretation of Crumb Rubber Modified Asphalt Containing Warm-Mix Additives","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2018","The microstructure and chemical composition of asphalt binders have a significant effect on their rheological properties and, therefore, their performance as road paving binders. This study aims to investigate the effects of warm-mix asphalt (WMA) additives, organic type and chemical type, on the rheological properties and chemical internal structure of base asphalt and crumb rubber modified asphalt (CRMA). A set of dynamic shear rheometer (DSR) tests was conducted to obtain the rheological parameters (e.g., complex viscosity, complex modulus, phase angle) of asphalt binders. The flow activation energy was
calculated from Arrhenius equation based on viscosity data to rank the thermal susceptibility. Black diagrams and master curves of complex modulus and phase angle were utilized to analyze the rheological properties. The molecular weight distributions of asphalt binders were inverted from the phase angle master curve to evaluate the molecular weight characteristics. It was found that the the addition of crumb rubber into base asphalt improves the rheological properties of enhanced modulus and elasticity. Organic and chemical types of WMA additives have different chemo-physical effects on both base asphalt and CRMA. Phase angle inversion method provides a powerful tool to monitor the molecular structure change and, therefore, the chemo-physical interactions of asphalt binders induced by modifications. Finally, there is a good correlation between
flow activation energy and molecular weight","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:fbae5c23-b48c-4b00-8e71-b21807c87dbf","http://resolver.tudelft.nl/uuid:fbae5c23-b48c-4b00-8e71-b21807c87dbf","A new hermetic sealing method for ceramic package using nanosilver sintering technology","Zhang, H. (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting); Liu, Yang (Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Jiajie (Hohai University; Beijing Research Center; Changzhou Institute of Technology Research for Solid State Lighting); Fan, Xuejun (Lamar University; Changzhou Institute of Technology Research for Solid State Lighting); Sun, Fenglian (Harbin University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Changzhou Institute of Technology Research for Solid State Lighting)","","2018","High reliable packaging materials are needed for electronics when they work at harsh environments. Among which, the nanosilver material has been widely studied and applied in power electronics due to its low processing temperature and high reliability. This paper investigates the bonding properties of nanosilver sintered hermetic cavity. There are two kinds of lids used in this study, including copper lid and silicon lid. The X-ray and C-Mode Scanning Acoustic Microscopy (C-SAM) results revealed that delamination tended to happen in Cu lid sintered cavity as the recovery of deformed Cu lid was hindered by sintered dense Ag layer. However, no delamination or cracks were found in Si lid sintered cavity. Finite element analysis (FEA) method was used to investigate the effects of lid materials on the stress distribution of lid. The results indicated that the Cu lid sintered cavity showed a much higher stress than the Si lid sintered cavity under the sintering parameters of 250 °C and 10 MPa. There is no obvious change in the stress distribution areas on Cu lid with the increasing of pressures from 5 to 30 MPa. However, the distribution area of stress on Si lid expanded obviously only when the sintering pressure increased to 30 MPa. With the increase of sintering pressures from 5 to 30 MPa, the maximum stresses on Cu lid are almost the same, while increasing trend was found on Si lid.","Harsh environments; Nanosilver; Sintered; Lid; Stress","en","journal article","","","","","","Accepted author manuscript","","2020-01-04","","","Electronic Components, Technology and Materials","","",""
"uuid:9b8a77b0-ed9a-4254-9347-33f123fd66c4","http://resolver.tudelft.nl/uuid:9b8a77b0-ed9a-4254-9347-33f123fd66c4","Effect of Sintering Pressure on the Porosity and the Shear Strength of the Pressure-Assisted Silver Sintering Bonding","Liu, Yang (Harbin University of Science and Technology); Zhang, H. (Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology)","","2018","The microstructure, thickness, porosity, and shear performance of the silver (Ag) sintering layers under different sintering pressures were investigated. Experimental results demonstrated that the thickness and the porosity of the sintering layer decreased when the sintering pressure varied from 5 MPa to 30 MPa. This densification phenomenon facilitated the enhancement of the Ag sintering layers. The shear strength was improved significantly from 44.19 MPa to 69.41 MPa when the sintering pressure increased from 5 MPa to 10 MPa. When the sintering pressure ranged from 10 MPa to 30 MPa, the shear strength presented a slow increase from 69.41 MPa to 73.38 MPa. According to the results of the failure analysis, fracture mode transformation was considered as the basic reason for this phenomenon. The increasing sintering pressure promoted the bonding of the nano-Ag particles during the sintering process. Consequently, the fracture of the sintered-Ag layer transformed from brittle fracture to ductile fracture because of the increasing sintering pressure. The delamination area between Cu and Ni layers coated on the bottom Mo plate was clearly enlarged with the increasing sintering pressure. The delamination between Cu and Ni layers coated on the bottom Mo plate turned to be the main failure mode when the sintering pressure was higher than 10 MPa.","Reliability; sintering; porosity; strength; bonding","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9848d77d-a98a-45c5-8077-aa3735d53f04","http://resolver.tudelft.nl/uuid:9848d77d-a98a-45c5-8077-aa3735d53f04","Production and immobilization of lipase PCL and its application in synthesis of α‐linolenic acid‐rich diacylglycerol","Liu, Nan (South China University of Technology); Liu, D. (South China University of Technology); Wang, Weifei (Guangdong Academy of Agricultural Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Xu, Long (South China University of Technology); Ma, Yunjian (South China University of Technology); Yang, Bo (South China University of Technology); Bai, Weidong (Zhongkai University of Agriculture and Engineering); Sun, Xiaotao (Beijing Technology and Business University); Wang, Yonghua (South China University of Technology)","","2018","α‐Linolenic acid‐rich diacylglycerol has been demonstrated with promising health promotion functions. This study examined the production, immobilization of lipase PCL, and its application in the synthesis of diacylglycerol by esterification of α‐linolenic acid with glycerol. The resin ECR8806 was selected as an effective support for the immobilization of lipase PCL. Fourier transform infrared and Laser scanning confocal microscope analysis proved that the lipase was successfully immobilized on the resin. Compared with the free PCL, the immobilized one exhibited higher temperature tolerance. Under optimized reaction conditions, a DAG content of 54.49% were obtained. After further purified by molecular distillation, the purity of DAG was up to 99.28%. During esterification, the immobilized PCL was quite stable and retained more than 91.60% of its initial activity after 10 cycles. These new findings on the immobilized PCL will make it to be a prospective enzyme in oils and fats industry.","diacylglycerol; esterification; immobilization; reusability; a-Linolenic acid","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-23","","","BT/Biocatalysis","","",""
"uuid:9688b047-630c-4c6f-a474-5f167a0736d3","http://resolver.tudelft.nl/uuid:9688b047-630c-4c6f-a474-5f167a0736d3","Filter Design for Autoregressive Moving Average Graph Filters","Liu, J. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2018","In the field of signal processing on graphs, graph filters play a crucial role in processing the spectrum of graph signals. This paper proposes two different strategies for designing autoregressive moving average (ARMA) graph filters on both directed and undirected graphs. The first approach is inspired by Prony's method, which considers a modified error between the modeled and the desired frequency response. The second technique is based on an iterative approach, which finds the filter coefficients by iteratively minimizing the true error (instead of the modified error) between the modeled and the desired frequency response. The performance of the proposed algorithms is evaluated and compared with finite impulse response (FIR) graph filters, on both synthetic and real data. The obtained results show that ARMA filters outperform FIR filters in terms of approximation accuracy and they are suitable for graph signal interpolation, compression and prediction.","autoregressive moving average graph filters; iterative processing; Pronys method; Signal processing on graphs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-10-01","","","Signal Processing Systems","","",""
"uuid:277cf6f2-ac91-42a4-855c-47f2505fbf11","http://resolver.tudelft.nl/uuid:277cf6f2-ac91-42a4-855c-47f2505fbf11","Design, construction, and testing of a gasifier-specific solid oxide fuel cell system","Monteiro Fernandes, A.B. (TU Delft Energy Technology); Brabandt, Joerg (Sunfire GmbH); Posdziech, Oliver (Sunfire GmbH); Saadabadi, S.A. (TU Delft Energy Technology); Recalde Moreno Del Rocio, M.D.R. (TU Delft Energy Technology); Fan, L. (TU Delft Energy Technology); Promes, E.J.O. (TU Delft Energy Technology); Liu, M. (TU Delft Energy Technology); Woudstra, T. (TU Delft 3ME Algemeen); Aravind, P.V. (TU Delft Energy Technology)","","2018","This paper describes the steps involved in the design, construction, and testing of a gasifier-specific solid oxide fuel cell (SOFC) system. The design choices are based on reported thermodynamic simulation results for the entire gasifier- gas cleanup-SOFC system. The constructed SOFC system is tested and the measured parameters are compared with those given by a system simulation. Furthermore, a detailed exergy analysis is performed to determine the components responsible for poor efficiency. It is concluded that the SOFC system demonstrates reasonable agreement with the simulated results. Furthermore, based on the exergy results, the components causing major irreversible performance losses are identified.","SOFC; validation; simulation; exergy; syngas; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:f70483ce-63ab-4975-830a-4a9bde60a95d","http://resolver.tudelft.nl/uuid:f70483ce-63ab-4975-830a-4a9bde60a95d","Histogram-based standardization of intravascular optical coherence tomography images acquired from different imaging systems","Liu, Shengnan (Leiden University Medical Center); Dzyubachyk, Oleh (Leiden University Medical Center); Eggermont, Jeroen (Leiden University Medical Center); Nakatani, Shimpei (Sakurabashi Watanabe Hospital); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dijkstra, J. (Leiden University Medical Center)","","2018","Purpose: Intravascular optical coherence tomography (OCT) is widely used for analysis of the coronary artery disease. Its high spatial resolution allows for visualization of arterial tissue components in detail. There are different OCT systems on the market, each of which produces data characterized by its own intensity range and distribution. These differences should be taken into account for the development of image processing algorithms. In order to overcome this difference in the intensity range and distribution, we developed a framework for matching intensities based on the exact histogram matching technique. Methods: In our method, the key step for using the exact histogram matching is to determine the target histogram. For this, we proposed two schemes: a global scheme that uses a single histogram as the target histogram for all the pullbacks, and a local scheme that selects for each single image a target histogram from a predefined database. These two schemes are compared on a unique dataset containing pairs of pullbacks that were acquired shortly after each other with systems from two vendors, St. Jude and Terumo. Pullbacks were aligned according to anatomical landmarks, and a database of matched histogram pairs was created. A leave-one-out cross validation was used to compare performance of the two schemes. The matching accuracy was evaluated by comparing: (a) histograms using Euclidean (dx2) and Kolmogorov–Smirnov (dKS) distances, and (b) median intensity level within anatomical regions of interest. Results: Leave-one-out validation indicated that both matching schemes yield comparably high accuracies across the entire validation dataset. The local scheme outperforms the global scheme with marginally lower dissimilarities at both histogram level and intensity level. High visual similarity was observed when comparing the matched images to their aligned counterparts. Conclusion: Both local and global schemes are robust and produce accurate intensity matching. While local scheme performs marginally better than the global scheme, it requires a predefined histogram dataset and is more time consuming. Thus, for offline standardization of the images, the local scheme should be preferred for being more accurate. For online standardization or when another system is involved, the global scheme can be used as a simple and nearly-as-accurate alternative.","histogram specification; image intensity; intensity standardization; intravascular optical coherence tomography (IVOCT)","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:ae67ef8c-426f-40c2-bf33-c2dc3599a2fd","http://resolver.tudelft.nl/uuid:ae67ef8c-426f-40c2-bf33-c2dc3599a2fd","Chemo-Rheological Study of Hardening of Epoxy Modified Bituminous Binders with the Finite Element Method","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Pipintakos, G. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2018","The chemical irreversible hardening of epoxy modified bitumen is affected by various physical factors and the successful application of this technology is directly linked with full understanding of chemo-rheological material characteristics. This study proposes a model to describe the material viscosity evolution during hardening of epoxy modified bitumen. The findings from numerical analyses performed to assess the mechanical response of epoxy modified bituminous binders are presented. Information of the chemical interaction of epoxy within a bituminous matrix was collected and all the influential factors have been determined. The proposed chemo-rheological model accounting for the polymerization of the epoxy in the bitumen was formulated and the sensitivity of material parameters, such as activation energy, reaction order and extent of hardening reaction until the gel point of epoxy modified binders, was demonstrated. Results of the analyses suggest that lower levels of activation energy increase the degree of hardening and the rate of viscosity development. By decreasing the hardening reaction until the gel point the achieved viscosity of epoxy modified bitumen was increased showing the importance of gel reaction extent on material viscosity evolution. The numerical studies have shown also that the polymerization rate in the epoxy modified bitumen is highly dependent on the temperature under various (non-) isothermal conditions. Also, the polymerization rate should be considered through all the material curing processes to avoid unwanted variations in the mechanical properties.","","en","journal article","","","","","","","","2019-01-01","","","Pavement Engineering","","",""
"uuid:1ba59274-d7d0-4ed1-9287-b99888639532","http://resolver.tudelft.nl/uuid:1ba59274-d7d0-4ed1-9287-b99888639532","Improvements in the Monthly Gravity Field Solutions Through Modeling the Colored Noise in the GRACE Data","Guo, Xiang (Wuhan University); Zhao, Qile (Wuhan University); Ditmar, P.G. (TU Delft Physical and Space Geodesy); Sun, Yu (Fuzhou University); Liu, Jingnan (Wuhan University)","","2018","The Gravity Recovery And Climate Experiment (GRACE) mission has achieved a quantum leap in knowledge of the Earth's gravity field. However, current gravity field solutions still cannot reach the prelaunch baseline accuracy. One of the reasons for that is the presence of colored noise in GRACE data, which is typically ignored in the classical dynamic approach to gravity field modeling. In this research, we propose to account for colored noise in the classical dynamic approach by applying the frequency-dependent data weighting (FDDW) scheme, so that enhanced estimates of gravity field solutions are produced. The monthly solutions are compared with those produced using the standard least squares adjustment without a data weighting scheme. The comparison is performed in both spectral and spatial domains, showing the positive effect of the FDDW scheme in all considered cases. For instance, the cumulative geoid height errors up to degree 96 are reduced by 18%. In the spatial domain, the FDDW scheme lowers noise level in mass changes over the oceans, Mississippi river basin, and Greenland by 20, 38, and 23%, respectively, when compared to the without a data weighting scheme. In addition, the consistency of mass changes over the Mississippi and Congo river basins with those inferred from the state-of-the-art hydrology model WaterGAP is substantially improved when the FDDW scheme is applied. These results indicate that modeling colored noise in the GRACE data allows to significantly improve the recovered monthly solutions. This finding is likely applicable also to the GRACE Follow-On mission.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:9419e3b7-5fd5-4767-94a3-058aef84e578","http://resolver.tudelft.nl/uuid:9419e3b7-5fd5-4767-94a3-058aef84e578","Sustainable Infrastructure Design Framework through Integration of Rating Systems and Building Information Modeling","Liu, Y. (TU Delft Integral Design & Management); van Nederveen, G.A. (TU Delft Integral Design & Management); Wu, Chunlin (Beihang University); Hertogh, M.J.C.M. (TU Delft Integral Design & Management)","","2018","BIM (building information modeling) can be the basis for carrying out various performance analyses. Sustainable infrastructure rating systems are suitable tools for assessing an infrastructure’s environmental performance. It is necessary to integrate them in the design process. The research adopted a thorough literature review to follow the development trends, interviews with professionals from the academia and industry, and a critical analysis of technical requirements for integrating BIM tools and infrastructure sustainability rating systems in the design process. This study propagates a conceptual framework for integrating sustainability rating systems by introducing BIM with a sustainability metric plug-in. The adoption of the proposed solution allows for what-if scenarios to better support the incorporation of sustainability into design decisions and the assessment of sustainability at the design phase of the infrastructure project. The framework is used to refine designs and ensure that sustainable goals are met and to demonstrate compliance with regulatory requirements. This paper concludes that greater emphasis should be placed on supporting technical requirements to facilitate the integration of BIM and sustainability rating systems. It defines the possibility of BIM adoption to influence the sustainable project performance in the infrastructure. This framework could streamline the sustainable design process and lead to more integrated infrastructure delivery.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:d3d84124-face-45af-82b9-93c270321529","http://resolver.tudelft.nl/uuid:d3d84124-face-45af-82b9-93c270321529","Multi-omics integrative analysis with genome-scale metabolic model simulation reveals global cellular adaptation of Aspergillus niger under industrial enzyme production condition","Lu, Hongzhong (East China University of Science and Technology); Cao, Weiqiang (East China University of Science and Technology); Liu, X. (East China University of Science and Technology); Sui, Yufei (East China University of Science and Technology); Ouyang, Liming (East China University of Science and Technology); Xia, Jianye (East China University of Science and Technology); Huang, Mingzhi (East China University of Science and Technology); Zhuang, Yingping (East China University of Science and Technology); Zhang, Siliang (East China University of Science and Technology); Noorman, H.J. (TU Delft BT/Bioprocess Engineering); Chu, Ju (East China University of Science and Technology)","","2018","Oxygen limitation is regarded as a useful strategy to improve enzyme production by mycelial fungus like Aspergillus niger. However, the intracellular metabolic response of A. niger to oxygen limitation is still obscure. To address this, the metabolism of A. niger was studied using multi-omics integrated analysis based on the latest GEMs (genome-scale metabolic model), including metabolomics, fluxomics and transcriptomics. Upon sharp reduction of the oxygen supply, A. niger metabolism shifted to higher redox level status, as well as lower energy supply, down-regulation of genes for fatty acid synthesis and a rapid decrease of the specific growth rate. The gene expression of the glyoxylate bypass was activated, which was consistent with flux analysis using the A. niger GEMs iHL1210. The increasing flux of the glyoxylate bypass was assumed to reduce the NADH formation from TCA cycle and benefit maintenance of the cellular redox balance under hypoxic conditions. In addition, the relative fluxes of the EMP pathway were increased, which possibly relieved the energy demand for cell metabolism. The above multi-omics integrative analysis provided new insights on metabolic regulatory mechanisms of A. niger associated with enzyme production under oxygen-limited condition, which will benefit systematic design and optimization of the A. niger microbial cell factory.","","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:9c663ca9-a4ce-48c7-a9f6-62052a78f80d","http://resolver.tudelft.nl/uuid:9c663ca9-a4ce-48c7-a9f6-62052a78f80d","Hydride transfer versus deprotonation kinetics in the isobutane−propene alkylation reaction: A computational study","Liu, Chong (Eindhoven University of Technology); Van Santen, Rutger A. (Eindhoven University of Technology); Poursaeidesfahani, A. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Pidko, Evgeny A. (Eindhoven University of Technology; ITMO University); Hensen, Emiel J M (Eindhoven University of Technology)","","2017","The alkylation of isobutane with light alkenes plays an essential role in modern petrochemical processes for the production of high-octane gasoline. In this study we have employed periodic DFT calculations combined with microkinetic simulations to investigate the complex reaction mechanism of isobutane–propene alkylation catalyzed by zeolitic solid acids. Particular emphasis was given to addressing the selectivity of the alkylate formation versus alkene formation, which requires a high rate of hydride transfer in comparison to the competitive oligomerization and deprotonation reactions resulting in catalyst deactivation. Our calculations reveal that hydride transfer from isobutane to a carbenium ion occurs via a concerted C–C bond formation between a tert-butyl fragment and an additional olefin, or via deprotonation of the tert-butyl fragment to generate isobutene. A combination of high isobutane concentration and low propene concentration at the reaction center favor the selective alkylation. The key reaction step that has to be suppressed to increase the catalyst lifetime is the deprotonation of carbenium intermediates that are part of the hydride transfer reaction cycle.","hydride transfer; alkylation; deactivation; faujasite; periodic DFT; microkinetics","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:f3cfcb86-0fc6-4abd-a700-7ec774e9f4c2","http://resolver.tudelft.nl/uuid:f3cfcb86-0fc6-4abd-a700-7ec774e9f4c2","Efficiency of multi-beam Fourier phase gratings at 1.4 THz","Mirzaei, B. (TU Delft QN/Gao Lab; Kavli institute of nanoscience Delft); Silva, J. R.G. (SRON Netherlands Institute for Space Research); Luo, Y. (Student TU Delft; Kavli institute of nanoscience Delft); Liu, X. X.; Wei, L. (TU Delft ImPhys/Optics); Hayton, Darren J. (SRON Netherlands Institute for Space Research); Gao, J.R. (TU Delft QN/Gao Lab; SRON Netherlands Institute for Space Research; Kavli institute of nanoscience Delft); Groppi, C (Arizona State University)","","2017","We compare the results of simulated and measured power efficiency and far-field beam pattern, for two reflective Fourier phase gratings, designed to generate 2 x 2 and 2 x 4 beams respectively from a single-beam, coherent source at 1.4 THz. The designed surface structures were manufactured on aluminum plates by a computer numerical control (CNC) micro-milling machine. Despite small differences between the designed and fabricated gratings, we measured power efficiencies of both gratings to be around 70%, which is in a good agreement with the simulated values. We also find a good agreement between the simulated and measured diffracted beam size and spatial distribution. We demonstrate the application of both gratings as multiple beam local oscillators to simultaneously pump (or operate) a 4-pixel array of superconducting heterodyne mixers.","","en","journal article","","","","","","","","","","","QN/Gao Lab","","",""
"uuid:b6516ae2-f2c4-452e-8a07-7c60245eea46","http://resolver.tudelft.nl/uuid:b6516ae2-f2c4-452e-8a07-7c60245eea46","Study on the Mg-Li-Zn ternary alloy system with improved mechanical properties, good degradation performance and different responses to cells","Liu, Yang (Peking University); Wu, Yuanhao (Peking University); Bian, Dong (Peking University); Gao, Shuang (Peking University); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Guo, Hui (Peking University); Zheng, Yufeng (Peking University); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2017","Novel Mg-(3.5, 6.5wt%)Li-(0.5, 2, 4wt%)Zn ternary alloys were developed as new kinds of biodegradable metallic materials with potential for stent application. Their mechanical properties, degradation behavior, cytocompatibility and hemocompatibility were studied. These potential biomaterials showed higher ultimate tensile strength than previously reported binary Mg-Li alloys and ternary Mg-Li-X (X=Al, Y, Ce, Sc, Mn and Ag) alloys. Among the alloys studied, the Mg-3.5Li-2Zn and Mg-6.5Li-2Zn alloys exhibited comparable corrosion resistance in Hank's solution to pure magnesium and better corrosion resistance in a cell culture medium than pure magnesium. Corrosion products observed on the corroded surface were composed of Mg(OH)2, MgCO3 and Ca-free Mg/P inorganics and Ca/P inorganics. In vitro cytotoxicity assay revealed different behaviors of Human Umbilical Vein Endothelial Cells (HUVECs) and Human Aorta Vascular Smooth Muscle Cells (VSMCs) to material extracts. HUVECs showed increasing nitric oxide (NO) release and tolerable toxicity, whereas VSMCs exhibited limited decreasing viability with time. Platelet adhesion, hemolysis and coagulation tests of these Mg-Li-Zn alloys showed different degrees of activation behavior, in which the hemolysis of the Mg-3.5Li-2Zn alloy was lower than 5%. These results indicated the potential of the Mg-Li-Zn alloys as good candidate materials for cardiovascular stent applications. Statement of significance: Mg-Li alloys are promising as absorbable metallic biomaterials, which however have not received significant attention since the low strength, controversial corrosion performance and the doubts in Li toxicity. The Mg-Li-Zn alloy in the present study revealed much improved mechanical properties higher than most reported binary Mg-Li and ternary Mg-Li-X alloys, with superior corrosion resistance in cell culture media. Surprisingly, the addition of Li and Zn showed increased nitric oxide release. The present study indicates good potential of Mg-Li-Zn alloy as absorbable cardiovascular stent material.","Biodegradable metals; Corrosion; Cytocompatibility; Hemocompatibility; Mg-Li-Zn alloy","en","journal article","","","","","","Accepted Author Manuscript","","2019-09-26","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:430af4d8-5737-45b1-ac0b-5baa96a4de98","http://resolver.tudelft.nl/uuid:430af4d8-5737-45b1-ac0b-5baa96a4de98","Experimental research on the determination of the coefficient of sliding wear under iron ore handling conditions","Chen, G. (TU Delft Transport Engineering and Logistics); Liu, Y. (TU Delft Micro and Nano Engineering); Lodewijks, G. (TU Delft Transport Engineering and Logistics); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2017","The handling of iron ore bulk solids maintains an increasing trend due to economic development. Because iron ore particles have hard composites and irregular shapes, the bulk solids handling equipment surface can suffer from severe sliding wear. Prediction of equipment surface wear volume is beneficial to the efficient maintenance of worn areas. Archard’s equation provides a theoretical solution to predict wear volume. To use Archard’s equation, the coefficient of sliding wear must be determined. To our best knowledge, the coefficient of sliding wear for iron ore handling conditions has not yet been determined. In this research, using a pin-on-disk tribometer, the coefficients of sliding wear for both Sishen particles and mild steel are determined with regard to iron ore handling conditions. Both naturally irregular and spherical shapes of particles are used to estimate average values of wear rate. Moreover, the hardness and inner structures of Sishen particles are examined, which adds the evidence of the interpretation of wear results. It is concluded that the coefficients of sliding wear can vary largely for both Sishen particle and mild steel. The wear rate decreases from transient- to steady-state. The average coefficient of sliding wear is capable of predicting wear with respect to long distances at the steady-state. Two types of sliding friction are distinguished. In addition, it is found that the temperature rise of the friction pairs has negligible influence on wear rate.","Particle wear; Hardness; Pin-on-disk; Sliding friction; Contact temperature","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:1cceb732-d169-4388-8018-c5427f219fd7","http://resolver.tudelft.nl/uuid:1cceb732-d169-4388-8018-c5427f219fd7","Cross section-based hollowing and structural enhancement","Wang, Weiming (Dalian University of Technology); Li, Baojun (Dalian University of Technology); Qian, Sicheng (Dalian University of Technology); Liu, Yong-Jin (Tsinghua University); Wang, C.C. (TU Delft Materials and Manufacturing); Liu, Ligang (University of Science and Technology of China); Yin, Baocai (Dalian University of Technology); Liu, Xiuping (Dalian University of Technology)","","2017","Recently, 3D printing has become a powerful tool for personal fabrication. However, the price of some materials is still high which limits its applications in home users. To optimize the volume of the model, while not largely affecting the strength of the objects, researchers propose algorithms to divide the model with different kinds of lightweight structures, such as frame structure, honeycomb cell structure, truss structure, medial axis tree. However, these algorithms are not suitable for the model whose internal space needs to be reused. In addition, the structural strength and static stability of the models, obtained with modern 3D model acquirement methods, are not guaranteed. In consequence, some models are too fragile to print and cannot be survived in daily usage, handling, and transportation or cannot stand in a stable. To handle the mentioned problems, an algorithm system is proposed based on cross sections in this work. The structural weak cross sections are enhanced, and structural strong cross sections are adaptively hollowed to meet a given structural strength, static stability, printability, etc., while the material usage is minimized. The proposed algorithm system has been tested on several typical 3D models. The experimental results demonstrate the effectiveness and practicability of our system.","3D printing; Adaptive hollowing; Cross section; Structural enhancement","en","journal article","","","","","","Author Accepted Manuscript","","2018-05-16","","","Materials and Manufacturing","","",""
"uuid:80653ae8-8b91-4eb2-b37e-8183eef2f5c5","http://resolver.tudelft.nl/uuid:80653ae8-8b91-4eb2-b37e-8183eef2f5c5","Intercomparison of NOx emission inventories over East Asia","Ding, J. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Miyazaki, Kazuyuki (Japan Agency for Marine-Earth Science and Technology; California Institute of Technology); Johannes Van Der A, Ronald (Royal Netherlands Meteorological Institute (KNMI); Nanjing University of Information Sciences and Technology); Mijling, Bas (Royal Netherlands Meteorological Institute (KNMI)); Kurokawa, Jun Ichi (Asia Center for Air Pollution Research); Cho, Seog Yeon (Inha University, Incheon); Janssens-Maenhout, Greet (Joint Research Centre); Zhang, Qiang (Tsinghua University); Liu, Fei (Royal Netherlands Meteorological Institute (KNMI)); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI))","","2017","We compare nine emission inventories of nitrogen oxides including four satellite-derived NOx inventories and the following bottom-up inventories for East Asia: REAS (Regional Emission inventory in ASia), MEIC (Multiresolution Emission Inventory for China), CAPSS (Clean Air Policy Support System) and EDGAR (Emissions Database for Global Atmospheric Research). Two of the satellitederived inventories are estimated by using the DECSO (Daily Emission derived Constrained by Satellite Observations) algorithm, which is based on an extended Kalman filter applied to observations from OMI or from GOME-2. The other two are derived with the EnKF algorithm, which is based on an ensemble Kalman filter applied to observations of multiple species using either the chemical transport model CHASER and MIROC-chem. The temporal behaviour and spatial distribution of the inventories are compared on a national and regional scale. A distinction is also made between urban and rural areas. The intercomparison of all inventories shows good agreement in total NOx emissions over mainland China, especially for trends, with an average bias of about 20% for yearly emissions. All the inventories show the typical emission reduction of 10% during the Chinese New Year and a peak in December. Satellite-derived approaches using OMI show a summer peak due to strong emissions from soil and biomass burning in this season. Biases in NOx emissions and uncertainties in temporal variability increase quickly when the spatial scale decreases. The analyses of the differences show the importance of using observations from multiple instruments and a high spatial resolution model for the satellite-derived inventories, while for bottom-up inventories, accurate emission factors and activity information are required. The advantage of the satellite-derived approach is that the emissions are soon available after observation, while the strength of the bottom-up inventories is that they include detailed information of emissions for each source category.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:3006c9cd-1ddf-4dbc-9dcc-7c30c3c5494b","http://resolver.tudelft.nl/uuid:3006c9cd-1ddf-4dbc-9dcc-7c30c3c5494b","Crack monitoring method for an FRP-strengthened steel structure based on an antenna sensor","Liu, Z. (Wuhan University of Technology); Chen, Kai (Wuhan University of Technology); Li, Z. (TU Delft Transport Engineering and Logistics); Jiang, X. (TU Delft Transport Engineering and Logistics)","","2017","Fiber-reinforced polymer (FRP) has been increasingly applied to steel structures for structural strengthening or crack repair, given its high strength-to-weight ratio and high stiffness-to-weight ratio. Cracks in steel structures are the dominant hidden threats to structural safety. However, it is difficult to monitor structural cracks under FRP coverage and there is little related research. In this paper, a crack monitoring method for an FRP-strengthened steel structure deploying a microstrip antenna sensor is presented. A theoretical model of the dual-substrate antenna sensor with FRP is established and the sensitivity of crack monitoring is studied. The effects of the weak conductivity of carbon fiber reinforced polymers (CFRPs) on the performance of crack monitoring are analyzed via contrast experiments. The effects of FRP thickness on the performance of the antenna sensor are studied. The influence of structural strain on crack detection coupling is studied through strain–crack coupling experiments. The results indicate that the antenna sensor can detect cracks in steel structures covered by FRP (including CFRP). FRP thickness affects the antenna sensor’s performance significantly, while the effects of strain can be ignored. The results provide a new approach for crack monitoring of FRP-strengthened steel structures with extensive application prospects.","Antenna sensor; Cracking; FRP thickness; FRP-strengthened steel structure; Resonant frequency; Sensitivity","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:3e297dbd-b7f5-45b8-9c36-e789036e3c8a","http://resolver.tudelft.nl/uuid:3e297dbd-b7f5-45b8-9c36-e789036e3c8a","Impact wear of structural steel with yield strength of 235 MPa in various liquids","Liu, Y. (TU Delft Micro and Nano Engineering; Material Innovation Institute (M2i)); Janssen, G.C.A.M. (TU Delft Micro and Nano Engineering)","","2017","The wear of pipelines, used in slurry transport, results in high costs for maintenance and replacement. The wear mechanism involves abrasion, corrosion, impact, and the interaction among them. In this work, we study the effect of impact on the wear mechanism and wear rate. Results show that when the effect of impact is small, the wear mechanism is dominated by electrochemically induced surface modification, which leads to a lower wear rate in a corrosive environment than in a non-corrosive environment. By contrast, when the effect of impact is large, the wear mechanism is drastically altered. In that regime plastic deformation is important. The influence of corrosion in the high impact regime can be neglected. Our findings show the importance of including impact effect in the distinction of wear of slurry pipes","wear; corrosion; impact; deformation; surface modification; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:8ab241bd-269b-4b91-8f95-298e7ed99c42","http://resolver.tudelft.nl/uuid:8ab241bd-269b-4b91-8f95-298e7ed99c42","Support-Free Hollowing","Wang, Weiming (Dalian University of Technology); Liu, Yong-Jin (Tsinghua University); Wu, J. (TU Delft Materials and Manufacturing); Tian, Shengjing (Dalian University of Technology); Wang, C.C. (TU Delft Materials and Manufacturing); Liu, Ligang (University of Science and Technology of China); Liu, Xiuping (Dalian University of Technology)","","2018","Offsetting-based hollowing is a solid modeling operation widely used in 3D printing, which can change the model's physical properties and reduce the weight by generating voids inside a model. However, a hollowing operation can lead to additional supporting structures for fabrication in interior voids, which cannot be removed. As a consequence, the result of a hollowing operation is affected by these additional supporting structures when applying the operation to optimize physical properties of different models. This paper proposes a support-free hollowing framework to overcome the difficulty of fabricating voids inside a solid. The challenge of computing a support-free hollowing is decomposed into a sequence of shape optimization steps, which are repeatedly applied to interior mesh surfaces. The optimization of physical properties in different applications can be easily integrated into our framework. Comparing to prior approaches that can generate support-free inner structures, our hollowing operation can reduce more volume of material and thus provide a larger solution space for physical optimization. Experimental tests are taken on a number of 3D models to demonstrate the effectiveness of this framework.","shape optimization; support-free; hollowing; topology variation; 3D printing","en","journal article","","","","","","Accepted author manuscript","","","","","Materials and Manufacturing","","",""
"uuid:9f3425b3-287b-45a5-8392-e8143a8d7499","http://resolver.tudelft.nl/uuid:9f3425b3-287b-45a5-8392-e8143a8d7499","Delta DLP 3-D printing of large models","Yi, Ran (Tsinghua University); Wu, Chenming (Tsinghua University); Liu, Yong-Jin (Tsinghua University); He, Ying (Nanyang Technological University); Wang, C.C. (TU Delft Materials and Manufacturing)","","2018","This paper presents a 3-D printing system that uses a low-cost off-the-shelf consumer projector to fabricate large models. Compared with traditional digital light processing (DLP) 3-D printers using a single vertical carriage, the platform of our DLP 3-D printer using delta mechanism can also move horizontally in the plane. We show that this system can print 3-D models much larger than traditional DLP 3-D printers. The major challenge to realize 3-D printing of large models in our system comes from how to cover a planar polygonal domain by a minimum number of rectangles with fixed size, which is NP-hard. We propose a simple yet efficient approximation algorithm to solve this problem. The key idea is to segment a polygonal domain using its medial axis and afterward merge small parts in the segmentation. Given an arbitrary polygon Ω with n generators (i.e., line segments and reflex vertices in Ω), we show that the time complexity of our algorithm is O(n²log² n) and the number of output rectangles covering Ω is O(Kn), where K is an input-polygon-dependent constant. A physical prototype system is built and several large 3-D models with complex geometric structures have been printed as examples to demonstrate the effectiveness of our approach.","Manufacturing; mechanisms; primary topics; secondary topics","en","journal article","","","","","","Accepted author manuscript","","","","","Materials and Manufacturing","","",""
"uuid:ce9392fd-e3ad-4010-aeb3-b810600c4b87","http://resolver.tudelft.nl/uuid:ce9392fd-e3ad-4010-aeb3-b810600c4b87","Quantitative coating thickness determination using a coefficient-independent hyperspectral scattering model","Dingemans, LM; Papadakis, V. (TU Delft Structural Integrity & Composites); Liu, P. (TU Delft Structural Integrity & Composites); Adam, A.J.L. (TU Delft ImPhys/Optics); Groves, R.M. (TU Delft Structural Integrity & Composites)","","2017","Background
Hyperspectral imaging is a technique that enables the mapping of spectral signatures across a surface. It is most commonly used for surface chemical mapping in fields as diverse as satellite remote sensing, biomedical imaging and heritage science. Existing models, such as the Kubelka-Munk theory and the Lambert-Beer law also relate layer thickness with absorption, and in the case of the Kubelka-Munk theory scattering, however they are not able to fully describe the complex behavior of the light-layer interaction.
Methods
This paper describes a new approach for hyperspectral imaging, the mapping of coating surface thickness using a coefficient-independent scattering model. The approach taken in this paper is to model the absorption and scattering behavior using a developed coefficient-independent model, calibrated using reference sample thickness measurements performed with optical coherence tomography.
Results
The results show that this new model, by considering the spectral variation that can be recorded by the hyperspectral imaging camera, is able to measure coatings of 250 μm thickness with an accuracy of 11 μm in a fast and repeatable way.
Conclusions
The new coefficient-independent scattering model presented can successfully measure the thickness of coatings from hyperspectral imaging data.
Specifically, we apply the Random Recursive Tree (RRT) to model the growth of cascade trees. The RRT model could capture key features, i.e. the average path length and degree variance of a cascade tree in relation to the number of nodes (size) of the tree. Its single identified parameter quantifies the relative depth or broadness of the cascade trees and indicates that information propagates via a star-like broadcasting or viral-like hop by hop spreading. The RRT model explains the appearance of hubs, thus a possibly smaller average path length as the cascade size increases, as observed in WeChat. We further propose the stochastic Susceptible View Forward Removed (SVFR) model to depict the dynamic user behavior including creating, viewing, forwarding and ignoring a message on a given social network. Beside the average path length and degree variance of the cascade trees in relation to their sizes, the SVFR model could further explain the power-law cascade size distribution in WeChat and unravel that a user with a large number of friends may actually have a smaller probability to read a message (s)he receives due to limited attention.","Information cascade; Stochastic model; Social networks; WeChat; Random recursive tree","en","journal article","","","","","","Accepted author manuscript","","2020-02-06","","Intelligent Systems","Multimedia Computing","","",""
"uuid:0795e7df-2fdc-4015-a34a-aee394e86cc0","http://resolver.tudelft.nl/uuid:0795e7df-2fdc-4015-a34a-aee394e86cc0","A DSC method for strict-feedback nonlinear systems with possibly unbounded control gain functions","Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University); Wang, Ying (Air Force Engineering University); Baldi, S. (TU Delft Team Bart De Schutter); Liu, Zongcheng (Air Force Engineering University); Wang, Zutong (National Defence University)","","2018","In dynamic surface control (DSC) methods, the control gain functions of systems are always assumed to be bounded, which is a restrictive assumption. This work proposes a novel DSC approach for an extended class of strict-feedback nonlinear systems whose control gain functions are continuous and possibly unbounded. Appropriate compact sets are constructed in such a way that the trajectories of the closed-loop system do not leave these sets, therefore, in these sets, maximums and minimums values of the continuous control gain functions are well defined even if the control gain functions are possibly unbounded. By using Lyapunov theory and invariant set theory, semi-globally uniformly ultimately boundedness is analytically proved: all the signals of closed-loop system will always stay in these compact sets, while the tracking error is shown to converge to a residual set that can be made as small as desired by adjusting design parameters appropriately. Finally, the effectiveness of the designed method is demonstrated via two examples.","Adaptive neural control; Dynamic surface control; Invariant set theory; Robust control","en","journal article","","","","","","Accepted Author Manuscript","","2019-12-19","","","Team Bart De Schutter","","",""
"uuid:1a986844-69b7-4445-941e-4420ac3c190e","http://resolver.tudelft.nl/uuid:1a986844-69b7-4445-941e-4420ac3c190e","Sequential reduction of slope stability uncertainty based on temporal hydraulic measurements via the ensemble Kalman filter","Liu, K. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","","2018","A data assimilation framework, utilising measurements of pore water pressure to sequentially improve the estimation of soil hydraulic parameters and, in turn, the prediction of slope stability, is proposed. Its effectiveness is demonstrated for an idealised numerical example involving the spatial variability of saturated hydraulic conductivity, ksat. It is shown that the estimation of ksat generally improves with more measurement points. The degree of spatial correlation of ksat influences the improvement in the predicted performance, as does the selection of initial input statistics. However, the results are robust with respect to moderate uncertainty in the spatial and point statistics.","Data assimilation; Ensemble Kalman filter; Finite elements; Random fields; Slope reliability; Spatial variability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-09-01","","","Geo-engineering","","",""
"uuid:1c855809-d1e6-46aa-8086-bf055c67eea9","http://resolver.tudelft.nl/uuid:1c855809-d1e6-46aa-8086-bf055c67eea9","In-situ STEM imaging of growth and phase change of individual CuAlX precipitates in Al alloy","Liu, C. (TU Delft QN/High Resolution Electron Microscopy; Hunan University; Kavli institute of nanoscience Delft); Malladi, S.R.K. (TU Delft QN/Zandbergen Lab; Indian Institute of Technology Hyderabad; Kavli institute of nanoscience Delft); Xu, Q. (TU Delft QN/Zandbergen Lab; DENSsolutions; Kavli institute of nanoscience Delft); Chen, Jianghua (Hunan University); Tichelaar, F.D. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Zhuge, Xiaodong (Centrum Wiskunde & Informatica (CWI)); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft)","","2017","Age-hardening in Al alloys has been used for over a century to improve its mechanical properties. However, the lack of direct observation limits our understanding of the dynamic nature of the evolution of nanoprecipitates during age-hardening. Using in-situ (scanning) transmission electron microscopy (S/TEM) while heating an Al-Cu alloy, we were able to follow the growth of individual nanoprecipitates at atomic scale. The heat treatments carried out at 140, 160, 180 and 200 °C reveal a temperature dependence on the kinetics of precipitation and three kinds of interactions of nano-precipitates. These are precipitate-matrix, precipitate-dislocation, and precipitate-precipitate interactions. The diffusion of Cu and Al during these interactions, results in diffusion-controlled individual precipitate growth, an accelerated growth when interactions with dislocations occur and a size dependent precipitate-precipitate interaction: growth and shrinkage. Precipitates can grow and shrink at opposite ends at the same time resulting in an effective displacement. Furthermore, the evolution of the crystal structure within an individual nanoprecipiate, specifically the mechanism of formation of the strengthening phase, θ′, during heat-treatment is elucidated by following the same precipitate through its intermediate stages for the first time using in-situ S/TEM studies.","","en","journal article","","","","","","","","","","","QN/High Resolution Electron Microscopy","","",""
"uuid:768ce4fa-0e77-4c2b-995c-40fee3a3ab37","http://resolver.tudelft.nl/uuid:768ce4fa-0e77-4c2b-995c-40fee3a3ab37","Human-water interface in hydrological modelling: Current status and future directions","Wada, Yoshihide (International Institute for Applied Systems Analysis, Laxenburg; Universiteit Utrecht); Bierkens, Marc F.P. (Universiteit Utrecht; Deltares); de Roo, A (Universiteit Utrecht; Joint Research Centre); Dirmeyer, Paul A. (George Mason University); Famiglietti, James S. (California Institute of Technology); Hanasaki, Naota (National Institute for Environmental Studies of Japan); Konar, Megan (University of Illinois at Urbana-Champaign); Liu, Junguo (International Institute for Applied Systems Analysis, Laxenburg; Southern University of Science and Technology); Schmied, Hannes Möller (Goethe University; Senckenberg Biodiversity and Climate Research Centre (BiK-F)); Oki, Taikan (University of Tokyo; United Nations University); Pokhrel, Yadu (Michigan State University); Sivapalan, Murugesu (University of Illinois at Urbana-Champaign); Troy, Tara J. (Lehigh University); Van Dijk, Albert I J M (Australian National University); van Emmerik, T.H.M. (TU Delft Water Resources); Van Huijgevoort, Marjolein H.J. (Princeton University); Van Lanen, Henny A.J. (Wageningen University & Research); Vörösmarty, Charles J. (City College of New York; CUNY Advanced Science Research Center); Wanders, Niko (Universiteit Utrecht; Princeton University); Wheater, Howard (University of Saskatchewan)","","2017","Over recent decades, the global population has been rapidly increasing and human activities have altered terrestrial water fluxes to an unprecedented extent. The phenomenal growth of the human footprint has significantly modified hydrological processes in various ways (e.g. irrigation, artificial dams, and water diversion) and at various scales (from a watershed to the globe). During the early 1990s, awareness of the potential for increased water scarcity led to the first detailed global water resource assessments. Shortly thereafter, in order to analyse the human perturbation on terrestrial water resources, the first generation of largescale hydrological models (LHMs) was produced. However, at this early stage few models considered the interaction between terrestrial water fluxes and human activities, including water use and reservoir regulation, and even fewer models distinguished water use from surface water and groundwater resources. Since the early 2000s, a growing number of LHMs have incorporated human impacts on the hydrological cycle, yet the representation of human activities in hydrological models remains challenging. In this paper we provide a synthesis of progress in the development and application of human impact modelling in LHMs. We highlight a number of key challenges and discuss possible improvements in order to better represent the human-water interface in hydrological models.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:63bfbf38-11e0-413c-8e5c-2538b3ddf384","http://resolver.tudelft.nl/uuid:63bfbf38-11e0-413c-8e5c-2538b3ddf384","The complexities of urban flood response: Flood frequency analyses for the Charlotte metropolitan region","Zhou, Zhengzheng (Tongji University; Princeton University; UNEP-Tongji Institute of Environment for Sustainable Development); Smith, James A. (Princeton University); Yang, Long (Princeton University); Baeck, Mary Lynn (Princeton University); Chaney, Molly (Princeton University); ten Veldhuis, Marie-claire (TU Delft Water Resources); Deng, Huiping (UNEP-Tongji Institute of Environment for Sustainable Development; Tongji University); Liu, Shuguang (Tongji University; UNEP-Tongji Institute of Environment for Sustainable Development)","","2017","We examine urban flood response through data-driven analyses for a diverse sample of “small” watersheds (basin scale ranging from 7.0 to 111.1 km2) in the Charlotte Metropolitan region. These watersheds have experienced extensive urbanization and suburban development since the 1960s. The objective of this study is to develop a broad characterization of land surface and hydrometeorological controls of urban flood hydrology. Our analyses are based on peaks-over-threshold flood data developed from USGS streamflow observations and are motivated by problems of flood hazard characterization for urban regions. We examine flood-producing rainfall using high-resolution (1 km2 spatial resolution and 15 min time resolution), bias-corrected radar rainfall fields that are developed through the Hydro-NEXRAD system. The analyses focus on the 2001–2015 period. The results highlight the complexities of urban flood response. There are striking spatial heterogeneities in flood peak magnitudes, response times, and runoff ratios across the study region. These spatial heterogeneities are mainly linked to watershed scale, the distribution of impervious cover, and storm water management. Contrasting land surface properties also determine the mixture of flood-generating mechanisms for a particular watershed. Warm-season thunderstorm systems and tropical cyclones are main flood agents in Charlotte, with winter/spring storms playing a role in less-urbanized watersheds. The mixture of flood agents exerts a strong impact on the upper tail of flood frequency distributions. Antecedent watershed wetness plays a minor role in urban flood response, compared with less-urbanized watersheds. Implications for flood hazard characterization in urban watersheds and for advances in flood science are discussed.","flood frequency analysis; flood response; urban watersheds","en","journal article","","","","","","","","2018-03-01","","","Water Resources","","",""
"uuid:27f67e03-f54c-4398-8abb-834f440cdf44","http://resolver.tudelft.nl/uuid:27f67e03-f54c-4398-8abb-834f440cdf44","Organic Solvent-Tolerant Marine Microorganisms as Catalysts for Kinetic Resolution of Cyclic β-Hydroxy Ketones","Chen, B. (TU Delft BT/Biocatalysis; Sun Yat-sen University); Liu, Hui (Sun Yat-sen University); Zeferino Ribeiro De Souza, F. (TU Delft BT/Biocatalysis; Universidade de São Paulo); Liu, Lan (Sun Yat-sen University)","","2017","Chiral cyclic β-hydroxy ketones represent key motifs in the production of natural products of biological interest. Although the molecules are structurally simple, they require cumbersome synthetic steps to get access to them and their synthesis remains a challenge in organic chemistry. In this report, we describe a straightforward approach to enantiomerically enriched (R)- and (S)-3-hydroxycyclopentanone 2a, (R)- and (S)-3-hydroxycyclohexanone 2b, and (R)- and (S)-3-hydroxycycloheptanone 2c involving a transesterification resolution of the racemates using whole cells of marine microorganisms as catalysts and vinyl acetate the acyl donor and solvent. Twenty-six strains from a wide collection of isolates from marine sediments were screened, and seven strains were found to markedly catalyze the resolution in an asymmetric fashion. Using the strain Serratia sp., (R)-2a was isolated in 27% yield with 92% ee and (S)-2a in 65% yield with 43% ee, corresponding to an E-value of 37; (R)-2b was isolated in 25% yield with 91% ee and (S)-2b in 67% yield with 39% ee, corresponding to an E-value of 40; and (R)-2c was isolated in 30% yield with 96% ee and (S)-2c in 63% yield with 63% ee, corresponding to an E-value of 75.","Biocatalysis; Cyclic β-hydroxy ketones; E-value; Kinetic resolution; Marine microorganisms","en","journal article","","","","","","Accepted Author Manuscript","","2018-06-13","","","BT/Biocatalysis","","",""
"uuid:1851f049-2289-4c28-b1c5-3373eb130481","http://resolver.tudelft.nl/uuid:1851f049-2289-4c28-b1c5-3373eb130481","A Charge-Redistribution Phase-Domain ADC Using an IQ-Assisted Binary-Search Algorithm","Rajabi, Leila (Ferdowsi University of Mashhad); Saberi, Mehdi (Ferdowsi University of Mashhad); Liu, Y. (TU Delft Bio-Electronics); Lotfi, R. (TU Delft Bio-Electronics; Ferdowsi University of Mashhad); Serdijn, W.A. (TU Delft Bio-Electronics)","","2017","Phase-domain Analog-to-Digital Converters (Ph-ADCs) have been considered for power-efficient implementation of body-area network transceivers employing phase demodulation. Conventional implementations of the Ph-ADCs, which work based on a full-flash zero-crossing algorithm, use linear resistive/current combiners to determine the thermometer digital code of the signal phase. These architectures suffer from high-accuracy requirements, high-circuit complexity, and high-power consumption. Therefore, in this paper, a new IQ-assisted binary-search algorithm is proposed for implementing the Ph-ADC. The proposed Ph-ADC architecture avoids employing the power-hungry linear combiner. Moreover, for an N-bit Ph-ADC, the proposed algorithm requires only N +1 comparisons, whereas the conventional full-flash counterpart demands 2N-1 comparisons. Based on the proposed architecture, two different 5-bit charge-redistribution Ph-ADC s are designed and one of them is fabricated in a standard 0.18-μm CMOS technology. The prototype achieves an ENOB of 4.85 bits at 1 MS/s, while dissipating 12.9 μW from a 1.2-V supply.","Phase-domain analog-to-digital converter; IQ-assisted binary search; charge-redistribution Ph-ADC","en","journal article","","","","","","Accepted Author Manuscript","","","","","Bio-Electronics","","",""
"uuid:2500734a-32d6-41c6-828f-956219ab984e","http://resolver.tudelft.nl/uuid:2500734a-32d6-41c6-828f-956219ab984e","Synthesis of Asphalt Binder Aging and the State of the Art of Antiaging Technologies","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2017","“Aging” is the accumulation process of diverse detrimental changes in molecular structures with advancing age. Resistance to aging is termed “durability.” Complex molecular systems such as asphalt binder (AB) need to be protected against aging. This paper provides a state-of-the-art review of antiaging technologies used to prohibit or to rejuvenate aged asphaltic materials. The kinetics of molecular structures during aging and the group of molecules that mainly are affected are discussed. The latest developments in antioxidation and rejuvenation technologies are presented, as well as evidence of the impact of antiaging technologies on AB.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:073c7e32-596a-4c6a-b25f-9abab6e5daff","http://resolver.tudelft.nl/uuid:073c7e32-596a-4c6a-b25f-9abab6e5daff","Toward the Design of an Induction Heating System for Asphalt Pavements with the Finite Element Method","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering)","","2017","Induction technology was introduced to the paving industry to assist pavement operations by heating asphalt layers efficiently from the surface. Many experimental studies have been conducted to investigate the impact of inductive particles on the heating efficiency of asphalt mixes. However, research is limited on the quantification of design, the operational factors, and the associated degree of heat generation of induction treatment. This study assessed the hypothesis that different systems of induction coils provoke different levels of heat generation within an inductive asphalt layer. First, a three-dimensional induction heating finite element model was developed to evaluate the design and effect of operational factors for a static single-turn induction coil system. The electrical conductivity values of the material in the inductive asphalt pavement were calibrated with a laboratory-scale induction device. Moving induction systems were analyzed with different operational conditions considered. The supplied power and the traveling speed of the induction system appeared to be the most influential operational factors for the development of a quick and highly efficient system. The developed model creates an opportunity to apply these analyses to asphalt pavements to optimize the technology in situ.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:e367721f-aba6-4ac1-9a96-af91298ffcfc","http://resolver.tudelft.nl/uuid:e367721f-aba6-4ac1-9a96-af91298ffcfc","Experimental analysis of railway track settlement in transition zones","Wang, Haoyu (TU Delft Railway Engineering); Markine, V.L. (TU Delft Railway Engineering); Liu, X. (TU Delft Railway Engineering)","","2017","Transition zones in railway tracks are the locations with considerable changes in the vertical support structures. Due to the differential stiffness and settlement in the open track and the engineering structure resulting in the dynamic amplification of the wheel forces, track settlement is usually observed in the approaching zones. The settlement in transition zones is detrimental to the track components and passenger comfort. This paper presents the results of the experimental analysis performed in three transition zones which were in various conditions. The dynamic displacements of rails due to passing trains were measured at multiple points (dynamic profile) in the approaching zones. The device employed is a contactless mobile device for measuring displacements, which is based on the digital image correlation technique. Because the operational parameters of the digital image correlation-based devices are important for measurement accuracy, prior to the in situ measurements, this device was tested in a laboratory to study the influence of the operational parameters, including the elevation/heading angles, the focal length of the cameras, and the measuring distance. After determining the optimal operational parameters for the railway field, multiple-point measurements were performed in the transition zones. The length of the approaching zone was studied first. Also, the dynamic profiles of the embankment–bridge and bridge–embankment transitions were analysed. Finally, by comparing the multiple-point displacements in the approaching zones in different conditions, it was found that the dynamic profile of the rail displacements has a good correlation with the track condition in the transition zone. The results are presented and discussed.","Railway; transition zone; measurement; digital image correlation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:5a4d2b64-36f9-4ba3-8b6c-22ddb66676c0","http://resolver.tudelft.nl/uuid:5a4d2b64-36f9-4ba3-8b6c-22ddb66676c0","A 0.7-V 0.43-pJ/cycle Wakeup Timer based on a Bang-bang Digital-Intensive frequency-Locked-Loop for IoT Applications","Ding, Ming (Holst Centre); Zhou, Zhihao (Student TU Delft); Liu, Yao-Hong (Holst Centre); Traferro, Stefano (Holst Centre); Bachmann, Christian (Holst Centre); Philips, Kathleen (Holst Centre); Sebastiano, F. (TU Delft (OLD)Applied Quantum Architectures)","","2018","A 40-nm CMOS wakeup timer employing a bang-bang digital-intensive frequency-locked loop for Internet-of-Things applications is presented. A self-biased ΣΔ digitally controlled oscillator (DCO) is locked to an RC time constant via a single-bit chopped comparator and a digital loop filter. Such highly digitized architecture fully exploits the advantages of advanced CMOS processes, thus enabling operation down to 0.7 V and a small area (0.07 mm 2 ). Most circuitry operates at 32× lower frequency than the DCO in order to reduce the total power consumption down to 181 nW. High frequency accuracy and a 10× enhancement of long-term stability is achieved by the adoption of chopping to reduce the effect of comparator offset and 1/f noise and by the use of ΣΔ modulation to improve the DCO resolution. The proposed timer achieves the best energy efficiency (0.43 pJ/cycle at 417 kHz) over prior art while keeping excellent on-par long-term stability (Allan deviation floor <;20 ppm) and temperature stability (106 ppm/°C).","Digital-intensive; frequency locked-loop; Internet of Things (IoT); low-power; oscillator; wakeup timer","en","journal article","","","","","","Accepted Author Manuscript","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:a9330f64-325f-4246-9d65-10249488d280","http://resolver.tudelft.nl/uuid:a9330f64-325f-4246-9d65-10249488d280","Retrievals of tropospheric ozone profiles from the synergism of AIRS and OMI: Methodology and validation","Fu, Dejian (California Institute of Technology); Herman, Robert L. (California Institute of Technology); Osterman, Gregory B. (California Institute of Technology); Liu, Xiong (Harvard-Smithsonian Center for Astrophysics); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Thompson, Anne M. (NASA Goddard Space Flight Center); Luo, Ming (California Institute of Technology)","","2018","The Tropospheric Emission Spectrometer (TES) on the A-Train Aura satellite was designed to profile tropospheric ozone and its precursors, taking measurements from 2004 to 2018. Starting in 2008, TES global sampling of tropospheric ozone was gradually reduced in latitude, with global coverage stopping in 2011. To extend the record of TES, this work presents a multispectral approach that will provide O3 data products with vertical resolution and measurement error similar to TES by combining the single-footprint thermal infrared (TIR) hyperspectral radiances from the Aqua Atmospheric Infrared Sounder (AIRS) instrument and the ultraviolet (UV) channels from the Aura Ozone Monitoring Instrument (OMI). The joint AIRS+OMI O3 retrievals are processed through the MUlti-SpEctra, MUlti-SpEcies, MUlti-SEnsors (MUSES) retrieval algorithm. Comparisons of collocated joint AIRS+OMI and TES to ozonesonde measurements show that both systems have similar errors, with mean and standard deviation of the differences well within the estimated measurement error. AIRS+OMI and TES have slightly different biases (within 5 parts per billion) vs. the sondes. Both AIRS and OMI have wide swath widths ( ĝ1/4 1650 km for AIRS; ĝ1/4 2600 km for OMI) across satellite ground tracks. Consequently, the joint AIRS+OMI measurements have the potential to maintain TES vertical sensitivity while increasing coverage by 2 orders of magnitude, thus providing an unprecedented new data set with which to quantify the evolution of tropospheric ozone.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:f3301dad-e141-41b7-ad32-de74e9dab490","http://resolver.tudelft.nl/uuid:f3301dad-e141-41b7-ad32-de74e9dab490","A Supply Pushing Reduction Technique for LC Oscillators Based on Ripple Replication and Cancellation","Chen, Y. (TU Delft Electronics); Liu, Yao-Hong (Holst Centre); Zong, Z. (TU Delft Electronics); Dijkhuis, Johan (Holst Centre); Dolmans, Guido (Holst Centre); Staszewski, R.B. (University College Dublin); Babaie, M. (TU Delft Electronics)","","2019","In this paper, we propose a method to suppress supply pushing of an LC oscillator such that it may directly operate from a switched-mode dc-dc converter generating fairly large ripples. A ripple replication block (RRB) generates an amplified ripple replica at the gate terminal of the tail current source to stabilize the oscillator's tail current and thus its oscillating amplitude. The parasitic capacitance of the active devices and correspondingly the oscillation frequency are stabilized in turn. A calibration loop is also integrated on-chip to automatically set the optimum replication gain that minimizes the variation of the oscillation amplitude. A 4.9-5.6-GHz oscillator is realized in 40-nm CMOS and occupies 0.23 mm² while consuming 0.8-1.3 mW across the tuning range (TR). The supply pushing is improved to <1 MHz/V resulting in a low <-49-dBc spur due to 0.5-12-MHz sinusoidal supply ripples as large as 50 mVpp. We experimentally verify the effectiveness of the proposed technique also in face of saw-tooth, multi-tone, and modulated supply ripples.","Common-mode resonance; current-biased oscillator; dc-dc converter; digitally controlled oscillator (DCO); foreground calibration; Frequency conversion; LC oscillator; LC oscillators; power supply rejection (PSR); ripple replication and cancellation; supply pushing; voltage-controlled oscillator (VCO).; ommon-mode resonance; voltage-controlled oscillator (VCO)","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:12234a16-a12e-419a-a361-3b9dd5e33085","http://resolver.tudelft.nl/uuid:12234a16-a12e-419a-a361-3b9dd5e33085","The Potential of Demand-Responsive Transport as a Complement to Public Transport: An Assessment Framework and an Empirical Evaluation","Alonso González, M.J. (TU Delft Transport and Planning); Liu, T.L.K. (TU Delft Transport and Planning); Cats, O. (TU Delft Transport and Planning); van Oort, N. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2018","Demand-responsive transport (DRT) services (collective on-demand services, such as shared ridesourcing and microtransit) offer a collective flexible travel alternative that can potentially complement fixed transit (FT). The combination of an on-demand and line-based service holds the promise of improved mobility and increased service coverage. However, to date, it remains unknown whether DRT services deliver these much anticipated improvements. This study presents an assessment framework to evaluate the performance of DRT and related changes in accessibility, and performs an empirical analysis for a recently introduced DRT service in the Netherlands. The framework includes a performance benchmark between DRT and FT based on the computation of generalized journey times of the DRT rides and the FT alternatives, and can help identify whether DRT is used as a complement or a substitute for FT. The framework covers the spatial and temporal dimensions, and the explicit consideration of rejected trips is an integral part of the evaluation. Results suggest large accessibility improvements for DRT users, especially for some underserved origin–destination pairs.","","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:bb492bb2-3700-4224-8ee3-3b70ce87be91","http://resolver.tudelft.nl/uuid:bb492bb2-3700-4224-8ee3-3b70ce87be91","Asphalt-rubber interaction and performance evaluation of rubberised asphalt binders containing non-foaming warm-mix additives","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute); Erkens, S. (TU Delft Pavement Engineering)","","2018","Warm mix asphalt (WMA) technology has been increasingly utilised in rubberised asphalt pavements to reduce the production and compaction temperatures and the incidental fumes and odours. This study aims to investigate the high, intermediate and low-temperature performance of crumb rubber modified asphalt binders containing WMA additives. The asphalt-rubber interactions under various mixing combinations of temperature and time were investigated through both microscopic and mechanical methods to obtain the optimum mixing procedure. The effects of WMA additives (wax-based and chemical-based products) on the binder performance were investigated by multiple stress creep and recovery (MSCR) test, linear amplitude sweep (LAS) test and low-temperature frequency sweep test. Results show that rubberised asphalt binders significantly improve the binder performance of base asphalt at different temperature ranges. The effects of WMA additives on binder performance varied with base asphalt and rubberised asphalt binder. In addition, the nonrecoverable compliance difference was found not suitable to characterise the stress sensitivity of rubberised binders and the difference in the nonrecoverable compliance for an incremental change in applied stress was proved to be a more accurate alternative. For the cyclic LAS test, the failure energy was found to have a strong correlation with the predicted fatigue life using simplified viscoelastic continuum damage analysis and therefore can be considered as a simple indicator for binder fatigue performance ranking. Relaxation modulus and rate derived from low-temperature frequency sweep tests produced comparable results for ranking the low-temperature performance of different binders. It is feasible and promising to use a unified DSR test methodology to characterise the binder performance covering the whole service temperature range.","crumb rubber modifier; frequency sweep; LAS; MSCR; warm mix asphalt","en","journal article","","","","","","","","2020-01-01","","","Pavement Engineering","","",""
"uuid:05c9fc08-c81f-4356-857e-48a27e7ec7f6","http://resolver.tudelft.nl/uuid:05c9fc08-c81f-4356-857e-48a27e7ec7f6","Effects of sintering pressure on the densification and mechanical properties of nanosilver double side sintered power module","Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Liu, Y. (Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Sun, Fenglian (Harbin University of Science and Technology); Fan, Jiajie (Hohai University); Placette, Mark D. (Iowa State University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","Modern power electronics has the increased demands in current density and high-temperature reliability. However, these performance factors are limited due to the die attach materials used to affix power dies microchips to electric circuitry. Although several die attach materials and methods exist, nanosilver sintering technology has received much attention in attaching power dies due to its superior high-temperature reliability. This paper investigated the sintering properties of nanosilver film in double-side sintered power packages. X-ray diffraction results revealed that the size of nanosilver particles increased after pressure-free sintering. Compared with the pressure-free sintered nanosilver particles, the 5-MPa sintered particles showed a higher density. When increasing sintering pressure from 5 to 30 MPa, the shear strength of the sintered package increased from 8.71 to 86.26 MPa. When sintering at pressures below 20 MPa, the fracture areas are mainly located between the sintered Ag layer and the surface metallization layer on the fast recovery diode (FRD) die. The fracture occurs through the FRD die and the metallization layer on the bottom molybdenum substrate when sintering at 30 MPa.","Fracture; nanosilver sintering; power electronics; shear strength","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9aaf81c7-a8c6-4f36-afb5-45ac88fab089","http://resolver.tudelft.nl/uuid:9aaf81c7-a8c6-4f36-afb5-45ac88fab089","Effect of synthetic fibres on fracture performance of asphalt mortar","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Daniel, Gerald C. (Student TU Delft); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2019","The utilisation of synthetic fibres has been reported in the past showing enhancement of fatigue and thermal cracking resistance in asphaltic materials. However, the limited evidences about the benefits of these fibres in asphaltic materials at low material scales have been noticed. Also, the exact reinforcing mechanism of binding system in the asphalt pavement mixes is still unclear. Therefore, this research examined experimentally the fracture performance of the synthetic reinforced asphalt mixes at the mortar level by using specially designed tools. Samples of three different fibre contents and two fibre lengths were evaluated. Pull-out tests, whose objective was to explore the potential interaction of fibre-matrix, demonstrated a matrix-type of fracture. Moreover, direct tension tests were carried out with both monotonic and cyclic loading to assess the effect of the synthetic fibres on tensile strength, fracture energy and ductility; and fatigue life of reinforced mixes. Improvements on mechanical characteristics of asphalt mortars have been observed when fibres were added. Also, the longer fibres of low dosages generated equivalent performance to the mix with the high dosages of shorter fibre. Hence, a potential initial cost reduction could be reached by means of utilising the longer synthetic fibres. Overall, the current results elucidated that implementing dedicated studies at various material levels can assist on understanding the material performance and on tailoring systems beyond sometimes the supplier-recommended additive dosages.","word; fracture performance; synthetic fibres; asphalt mortar","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:16c27c38-253a-4897-92df-6eaf4fd29b92","http://resolver.tudelft.nl/uuid:16c27c38-253a-4897-92df-6eaf4fd29b92","Management of large indoor point clouds: An initial exploration","Liu, H. (TU Delft OLD Department of GIS Technology); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Meijers, B.M. (TU Delft OLD Department of GIS Technology); Verbree, E. (TU Delft OLD Department of GIS Technology)","","2018","Indoor navigation and visualization become increasingly important nowadays. Meanwhile, the proliferation of new sensors as well as the advancement of data processing provide massive point clouds to model the indoor environment in high accuracy. However, current state-of-the-art solutions fail to manage such large datasets efficiently. File based solutions often require substantial development work while database solutions are still faced with issues such as inefficient data loading and indexing. In this research, through a case study which aims to solve the problem of intermittent rendering of massive points in the context of indoor navigation, we devised and implemented an algorithm to compute the continuous Level of Detail (cLoD) where geometric and classification information are considered. Benchmarks are developed and different approaches in Oracle are tested to learn the pros and cons. Surprisingly, the flat table approach could be very efficient compared with other schemes. The crucial point lies in how to address priority of different dimensions including cLoD, classification and spatial dimensions, and avoid unnecessary scanning of the table. Writing results either to the memory or the disk constitutes major part of the time cost when large output is concerned. Conventional solutions based on spatial data objects present poor performance due to cumbersome indexing structure, inaccurate selection and additional decoding process. Besides, approximate selection in the unit of physical object is proposed and the performance is satisfactory when large amount of data is requested. The knowledge acquired could prompt the development of a novel data management of high dimensional point clouds where the classification information is involved.","Benchmark; Data management; Indoor; Level of detail; Morton curve; Oracle; Point cloud","en","journal article","","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:0626e61b-95cc-4b0c-bbcc-dd8450b9c9d3","http://resolver.tudelft.nl/uuid:0626e61b-95cc-4b0c-bbcc-dd8450b9c9d3","The co-creation of values-in-use at the front end of infrastructure development programs","Liu, Y. (TU Delft Integral Design & Management); van Marrewijk, A.H. (Vrije Universiteit Amsterdam); Houwing, E.J. (TU Delft Integral Design & Management; Rijkswaterstaat DWW); Hertogh, M.J.C.M. (TU Delft Integral Design & Management)","","2019","There has been recent academic interest in programs as value creation processes. Scholars focus particularly on the front end of programs as opportunities for clients to create value. At the front end, client and market partners can actively co-produce value through co-creation sessions. This paper investigates what stakeholders do in co-creation sessions and how this contributes to the co-creation of value at the front end of programs. We used an action research approach combined with participant observation, document analysis, and interviews with participants to study stakeholder engagement in co-creation sessions at the front end of a Dutch infrastructure development program. The findings show that the client intended to realize a value (value-for-firm) that was competing with market partners' values. By engaging in co-creation sessions with the client, market partners and knowledge partners co-created three sets of values (value-in-use) as follows: commercial, intellectual and collaborative values. The findings contribute to the academic debate on value creation in programs with an in-depth understanding of co-creation sessions at the front end.","Action research; Co-creation; Front end; Infrastructure development; Program; Value creation","en","journal article","","","","","","Accepted Author Manuscript","","2022-05-29","","","Integral Design & Management","","",""
"uuid:6afa6cf3-f9b1-4efd-af6d-a2bafe1a3678","http://resolver.tudelft.nl/uuid:6afa6cf3-f9b1-4efd-af6d-a2bafe1a3678","Integrated isotope-assisted metabolomics and 13C metabolic flux analysis reveals metabolic flux redistribution for high glucoamylase production by Aspergillus niger","Lu, Hongzhong (East China University of Science and Technology); Liu, X. (TU Delft OLD BT/Cell Systems Engineering; East China University of Science and Technology); Huang, Mingzhi (East China University of Science and Technology); Xia, Jianye (East China University of Science and Technology); Chu, Ju (East China University of Science and Technology); Zhuang, Yingping (East China University of Science and Technology); Zhang, Siliang (East China University of Science and Technology); Noorman, H.J. (TU Delft BT/Bioprocess Engineering; DSM)","","2015","Background: Aspergillus niger is widely used for enzyme production and achievement of high enzyme production depends on the comprehensive understanding of cell's metabolic regulation mechanisms. Results: In this paper, we investigate the metabolic differences and regulation mechanisms between a high glucoamylase-producing strain A. niger DS03043 and its wild-type parent strain A. niger CBS513.88 via an integrated isotope-assisted metabolomics and 13C metabolic flux analysis approach. We found that A. niger DS03043 had higher cell growth, glucose uptake, and glucoamylase production rates but lower oxalic acid and citric acid secretion rates. In response to above phenotype changes, A. niger DS03043 was characterized by an increased carbon flux directed to the oxidative pentose phosphate pathway in contrast to reduced flux through TCA cycle, which were confirmed by consistent changes in pool sizes of metabolites. A higher ratio of ATP over AMP in the high producing strain might contribute to the increase in the PP pathway flux as glucosephosphate isomerase was inhibited at higher ATP concentrations. A. niger CBS513.88, however, was in a higher redox state due to the imbalance of NADH regeneration and consumption, resulting in the secretion of oxalic acid and citric acid, as well as the accumulation of intracellular OAA and PEP, which may in turn result in the decrease in the glucose uptake rate. Conclusions: The application of integrated metabolomics and 13C metabolic flux analysis highlights the regulation mechanisms of energy and redox metabolism on flux redistribution in A. niger. Graphical abstract An integrated isotope-assisted metabolomics and 13C metabolic flux analysis was was firstly systematically performed in A. niger. In response to enzyme production, the metabolic flux in A. niger DS03043 (high-producing) was redistributed, characterized by an increased carbon flux directed to the oxidative pentose phosphate pathway as well as an increased pool size of pentose. The consistency in 13C metabolic flux analysis and metabolites quantification indicated that an imbalance of NADH formation and consumption led to the accumulation and secretion of organic acids in A. niger CBS513.88 (wild-type)","13C metabolic flux analysis; Aspergillus niger; Cofactor metabolism; Glucoamylase; Metabolomics","en","journal article","","","","","","","","","","","OLD BT/Cell Systems Engineering","","",""
"uuid:600e2e72-bba9-4915-a1e8-6d9ab52c04d1","http://resolver.tudelft.nl/uuid:600e2e72-bba9-4915-a1e8-6d9ab52c04d1","Hydrodynamic characteristics of multiple-rudder configurations","Liu, J. (TU Delft Ship Design, Production and Operations); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations); Rotteveel, E. (TU Delft Ship Design, Production and Operations); Hopman, J.J. (TU Delft Marine and Transport Technology; TU Delft Ship Design, Production and Operations)","","2017","The manoeuvring performance of inland vessels is even more crucial than that of seagoing ships due to more complex navigation environment. One of the most effective possibilities to improve ship manoeuvrability is to change the rudder configuration. Twin or even quadruple rudders and high-lift profiles are widely applied to inland vessels. When inland vessels equip with multiple rudders, the interaction effects between the rudders affect the hydrodynamic characteristics of each rudder. This paper presents a study on these interaction effects using two-dimensional Reynolds-averaged Navier–Stokes (RANS) methods. Various twin-rudder and quadruple-rudder configurations with different profiles and spacing among the multiple rudders were studied. RANS simulations were performed with a k−ω SST turbulence model and a pressure-based coupled algorithm. Series of NACA, IFS and wedge-tail profiles were tested. Regression formulas have been proposed for the twin-rudder lift and drag coefficients. Finally, interaction effects on multiple rudder hydrodynamics have been summarised.","interactions among multiple rudders; multiple-rudder hydrodynamics; twin-rudder ships; quadruple-rudder ships; RANS simulations","en","journal article","","","","","","Accepted Author Manuscript","","2018-01-21","","Marine and Transport Technology","Ship Design, Production and Operations","","",""
"uuid:93ac17ab-a727-4f0f-be49-6ee1c40ee168","http://resolver.tudelft.nl/uuid:93ac17ab-a727-4f0f-be49-6ee1c40ee168","Towards understanding the influence of porosity on mechanical and fracture behaviour of quasi-brittle materials: Experiments and modelling","Liu, D (University of Bristol; University of Oxford); Šavija, B. (TU Delft Materials and Environment); Smith, G.E. (University of Bristol); Flewitt, P.E.J. (University of Bristol); Lowe, T.; Schlangen, E. (TU Delft Materials and Environment)","","2017","In this work, porosity-property relationships of quasi-brittle materials are explored through a combined experimental and numerical approach. In the experimental part, hemihyrate gypsum plaster powder (CaSO 4 ⋅1/2H 2 O CaSO4⋅1/2H2O) and expanded spherical polystyrene beads (1.5–2.0 mm dia.) have been mixed to form a model material with controlled additions of porosity. The expanded polystyrene beads represent pores within the bulk due to their light weight and low strength compared with plaster. Varying the addition of infill allows the production of a material with different percentages of porosity: 0, 10, 20, 30 and 31 vol%. The size and location of these pores have been characterised by 3D X-ray computed tomography. Beams of the size of 20×20×150 20×20×150 mm were cast and loaded under four-point bending to obtain the mechanical characteristics of each porosity level. The elastic modulus and flexural strength are found to decrease with increased porosity. Fractography studies have been undertaken to identify the role of the pores on the fracture path. Based on the known porosity, a 3D model of each microstructure has been built and the deformation and fracture was computed using a lattice-based multi-scale finite element model. This model predicted similar trends as the experimental results and was able to quantify the fractured sites. The results from this model material experimental data and the lattice model predictions are discussed with respect to the role of porosity on the deformation and fracture of quasi-brittle materials.","Quasi-brittle materials; Porosity; Strength; Microstructure","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:bdfe31e4-d9bd-4cdd-8b0e-2a74d9c3ad01","http://resolver.tudelft.nl/uuid:bdfe31e4-d9bd-4cdd-8b0e-2a74d9c3ad01","The Iqmulus urban showcase: Automatic tree classification and identification in huge mobile mapping point clouds","Böhm, J. (University College London (UCL)); Bredif, M. (Université Paris-Est); Gierlinger, T. (Fraunhofer Institute for Computer Graphics Research IGD); Krämer, M. (Fraunhofer Institute for Computer Graphics Research IGD); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Liu, K. (TU Delft Geo-engineering; University College London (UCL)); Michel, F. (Fraunhofer Institute for Computer Graphics Research IGD); Sirmacek, B. (TU Delft Optical and Laser Remote Sensing)","","2016","Current 3D data capturing as implemented on for example airborne or mobile laser scanning systems is able to efficiently sample the surface of a city by billions of unselective points during one working day. What is still difficult is to extract and visualize meaningful information hidden in these point clouds with the same efficiency. This is where the FP7 IQmulus project enters the scene. IQmulus is an interactive facility for processing and visualizing big spatial data. In this study the potential of IQmulus is demonstrated on a laser mobile mapping point cloud of 1 billion points sampling "" 10 km of street environment in Toulouse, France. After the data is uploaded to the IQmulus Hadoop Distributed File System, a workflow is defined by the user consisting of retiling the data followed by a PCA driven local dimensionality analysis, which runs efficiently on the IQmulus cloud facility using a Spark implementation. Points scattering in 3 directions are clustered in the tree class, and are separated next into individual trees. Five hours of processing at the 12 node computing cluster results in the automatic identification of 4000+ urban trees. Visualization of the results in the IQmulus fat client helps users to appreciate the results, and developers to identify remaining flaws in the processing workflow.","Big data; Classification; Cloud computing; Mobile mapping; Trees; Web-based visualization","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:ff69215c-eaf4-40dc-8083-0a27f48b45b3","http://resolver.tudelft.nl/uuid:ff69215c-eaf4-40dc-8083-0a27f48b45b3","Mimicking an Atomically Thin ""Vacuum Spacer"" to Measure the Hamaker Constant between Graphene Oxide and Silica","Chu, L. (TU Delft OLD ChemE/Organic Materials and Interfaces); Korobko, A.V. (TU Delft OLD ChemE/Organic Materials and Interfaces); Cao, A. (TU Delft OLD ChemE/Organic Materials and Interfaces); Sachdeva, S. (TU Delft OLD ChemE/Organic Materials and Interfaces); Liu, Z. (TU Delft OLD ChemE/Organic Materials and Interfaces); de Smet, L.C.P.M. (TU Delft OLD ChemE/Organic Materials and Interfaces); Sudhölter, Ernst J. R. (TU Delft OLD ChemE/Organic Materials and Interfaces); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Besseling, N.A.M. (TU Delft OLD ChemE/Organic Materials and Interfaces)","","2017","The Hamaker constant between graphene oxide and silica, which quantifies the strength of van der Waals forces is determined, by mimicking a “vacuum spacer” in an atomic force microscopyforce study. It is demonstrated that, a 2D spacer is expected to yield an accurately defined separation, owing to the high atom density and strength in planar direction compared with other dimensional spacers.
2 capture","Shan, M. (TU Delft ChemE/Catalysis Engineering; TU Delft ChemE/Transport Phenomena); Liu, X. (TU Delft ChemE/Catalysis Engineering); Wang, X. (TU Delft ChemE/Catalysis Engineering); Yarulina, I. (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology); Seoane, Beatriz (TU Delft ChemE/Catalysis Engineering; Debye Institute); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology)","","2018","The development of new membranes with high H2 separation performance under industrially relevant conditions (high temperatures and pressures) is of primary importance. For instance, these membranes may facilitate the implementation of energy-efficient precombustion CO2 capture or reduce energy intensity in other industrial processes such as ammonia synthesis. We report a facile synthetic protocol based on interfacial polymerization for the fabrication of supported benzimidazole-linked polymer membranes that display an unprecedented H2/CO2 selectivity (up to 40) at 423 K together with high-pressure resistance and long-term stability (>800 hours in the presence of water vapor).","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:bc762b18-8277-4e87-840d-6adfd523cfff","http://resolver.tudelft.nl/uuid:bc762b18-8277-4e87-840d-6adfd523cfff","Underfrequency Load Shedding using Locally Estimated RoCoF of the Center of Inertia","Sun, Mingyu (The University of Manchester); Liu, Gaoyuan (The University of Manchester); Popov, M. (TU Delft Intelligent Electrical Power Grids); Terzija, Vladimir (Skolkovo Institute of Science and Technology); Azizi, Sadegh (University of Leeds)","","2021","The conventional Under Frequency Load Shedding (UFLS) scheme could result in unacceptably low frequency nadirs or overshedding in power systems with volatile inertia. This paper proposes a novel UFLS scheme for modern power systems whose inertia may vary in a wide range due to high penetration of renewable energy sources (RESs). The proposed scheme estimates the rate of change of frequency (RoCoF) of the center of inertia (CoI), and consequently, the loss of generation (LoG) size, using local frequency measurements only. An innovative inflection point detector technique is presented to remove the effect of local frequency oscillations. This enables fast and accurate LoG size calculation, thereby more effective load shedding. The proposed UFLS scheme also accounts for the effect of the inertia change resulting from LoG events. The performance of the proposed scheme is validated by conducting extensive dynamic simulations on the IEEE 39-bus test system using Real Time Digital Simulator (RTDS). Simulation results confirm that the proposed UFLS scheme outperforms the conventional UFLS scheme in terms of both arresting frequency deviations and the amount of load shed.","Center of inertia (CoI); Rate of change of frequency (RoCoF); Underfrequency load shedding (UFLS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-01","","","Intelligent Electrical Power Grids","","",""
"uuid:0587da55-4476-41d6-8564-f7fb11171679","http://resolver.tudelft.nl/uuid:0587da55-4476-41d6-8564-f7fb11171679","Spectroscopic optical coherence tomography at 1200 nm for lipid detection","Kuttippurath, Vivek (Erasmus MC); Slijkhuis, Nuria (Erasmus MC); Liu, Shengnan (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering)","","2023","Significance: Spectroscopic analysis of optical coherence tomography (OCT) data can yield added information about the sample's chemical composition, along with high-resolution images. Typical commercial OCT systems operate at wavelengths that may not be optimal for identifying lipid-containing samples based on absorption features. Aim: The main aim of this study was to develop a 1200 nm spectroscopic OCT (SOCT) for the classification of lipid-based and water-based samples by extracting the lipid absorption peak at 1210 nm from the OCT data. Approach: We developed a 1200 nm OCT system and implemented a signal processing algorithm that simultaneously retrieves spectroscopic and structural information from the sample. In this study, we validated the performance of our OCT system by imaging weakly scattering phantoms with and without lipid absorption features. An orthogonal projections to latent structures-discriminant analysis (OPLS-DA) model was developed and applied to classify weakly scattering samples based on their absorption features. Results: The OCT system achieved an axial resolution of 7.2 m and a sensitivity of 95 dB. The calibrated OPLS-DA model on weakly scattering samples with lipid and water-based absorption features correctly classified 19/20 validation samples. Conclusions: The 1200 nm SOCT system can discriminate the lipid-containing weakly scattering samples from water-based weakly scattering samples with good predictive ability.","biomedical imaging; lipid-rich plaques; optical coherence tomography; spectroscopic optical coherence tomography","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:d717f418-0a4d-492f-bf79-5902214c967a","http://resolver.tudelft.nl/uuid:d717f418-0a4d-492f-bf79-5902214c967a","Numerical investigation of external sulfate attack and its effect on chloride binding and diffusion in concrete","Zhang, Cheng-lin (Shanghai Jiao Tong University); Chen, Wei-kang (Shanghai Jiao Tong University); Mu, Song (Jiangsu Research Institute of Building Science,); Šavija, B. (TU Delft Materials and Environment); Liu, Qing-feng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure)","","2021","Reinforced concrete (RC) structures may suffer from serious durability problems during long-term service. To investigate the deterioration of RC structures subjected to the dual attacks of chlorides and sulfates, this study proposes a coupled model for external sulfate attack (ESA) and its effect on chloride binding and diffusion. To account for the influence of sulfate attack on chloride binding, a reduction function is proposed. The effect of sulfate-induced damage on the chloride diffusion and chloride binding is considered through a damage function. The coupled model is validated against third-party experimental data from multiple perspectives. Some important factors such as solution concentration, immersion time, curing time and water-cement ratio, as well as how these factors affect the impact of sulfate attack on chloride transport, were elaborated. The findings may bring insights to the durability design of RC structures serving in harsh environments.","Binding capacity; Chloride diffusivity; Damage evolution; External sulfate attack; Multi-phase","en","journal article","","","","","","Accepted author manuscript","","2023-03-11","","","Materials and Environment","","",""
"uuid:043e1118-cc8f-47c0-b436-b5d871c6d633","http://resolver.tudelft.nl/uuid:043e1118-cc8f-47c0-b436-b5d871c6d633","Preparation of a poly(acrylic acid) based hydrogel with fast adsorption rate and high adsorption capacity for the removal of cationic dyes","Yuan, Zhenyu (East China University of Science and Technology); Wang, Jie (East China University of Science and Technology); Wang, Y. (TU Delft ChemE/Advanced Soft Matter); Liu, Q. (TU Delft ChemE/Advanced Soft Matter); Zhong, Yujie (East China University of Science and Technology); Wang, Yu (East China University of Science and Technology); Li, Li (East China University of Science and Technology); Lincoln, Stephen F. (University of Adelaide); Guo, Xuhong (East China University of Science and Technology; Shihezi University)","","2019","A biocompatible Dex-MA/PAA hydrogel was prepared through copolymerization of glycidyl methacrylate substituted dextran (Dex-MA) with acrylic acid (AA), which was applied as the adsorbent to remove cationic dyes from aqueous solutions. Dex-MA/PAA hydrogel presented a fast adsorption rate and the removal efficiency of Methylene Blue (MB) and Crystal Violet (CV) reached 93.9% and 86.4%, respectively within one minute at an initial concentration of 50 mg L-1. The adsorption equilibrium data fitted the Sips isotherm model well with high adsorption capacities of 1994 mg g-1 for MB and 2390 mg g-1 for CV. Besides, dye adsorption occurred efficiently over the pH range 3-10 and the temperature range 20-60 °C. Moreover, the removal efficiencies for MB and CV were still >95% even after five adsorption/desorption cycles which indicates the robust nature of the Dex-MA/PAA hydrogel and its potential as an eco-friendly adsorbent for water treatment.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:52aff7cc-ea8c-4f8d-b07d-adacdc1c1380","http://resolver.tudelft.nl/uuid:52aff7cc-ea8c-4f8d-b07d-adacdc1c1380","Response of sub-daily L-band backscatter to internal and surface canopy water dynamics","Vermunt, P.C. (TU Delft Water Resources); Khabbazan, S. (TU Delft Water Resources); Steele-Dunne, S.C. (TU Delft Water Resources); Judge, Jasmeet (University of Florida); Monsivais-Huertero, Alejandro (National Polytechnic Institute); Guerriero, Leila (Tor Vergata University); Liu, Pang Wei (NASA Goddard Space Flight Center; Science Systems and Applications Inc.)","","2020","The latest developments in radar mission concepts suggest that subdaily synthetic aperture radar will become available in the next decades. The goal of this study was to demonstrate the potential value of subdaily spaceborne radar for monitoring vegetation water dynamics, which is essential to understand the role of vegetation in the climate system. In particular, we aimed to quantify fluctuations of internal and surface canopy water (SCW) and understand their effect on subdaily patterns of L-band backscatter. An intensive field campaign was conducted in north-central Florida, USA, in 2018. A truck-mounted polarimetric L-band scatterometer was used to scan a sweet corn field multiple times per day, from sowing to harvest. SCW (dew, interception), soil moisture, and plant and soil hydraulics were monitored every 15 min. In addition, regular destructive sampling was conducted to measure seasonal and diurnal variations of internal vegetation water content. The results showed that backscatter was sensitive to both transient rainfall interception events, and slower daily cycles of internal canopy water and dew. On late-season days without rainfall, maximum diurnal backscatter variations of >2 dB due to internal and SCW were observed in all polarizations. These results demonstrate a potentially valuable application for the next generation of spaceborne radar missions.","Backscatter; L-band; corn; dew; diurnal; ground-based; interception; sap flow; scatterometer; subdaily radar; vegetation; water content.","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-19","","","Water Resources","","",""
"uuid:e2d74a7c-e853-44c3-b784-b5d81b0b2c7c","http://resolver.tudelft.nl/uuid:e2d74a7c-e853-44c3-b784-b5d81b0b2c7c","Planarized nanophotonic sensor for real-time fluid sensing","Liu, Y. (TU Delft Reservoir Engineering); Salemink, H.W.M. (TU Delft Reservoir Engineering; Radboud Universiteit Nijmegen)","","2017","A planarized on-chip nanophotonic sensor based on a photonic crystal cavity is realized in this work. The sensor was embedded in a solid protecting material (flowable oxide) with perfect filled holes: this eliminates problems of fouling in practical applications. The functional area of the sensor is created by carefully removing the protecting material only on the top surface of the cavity. A wavelength shift of 7.5 nm was observed in experiment which is very close to a simulation result of 9.0 nm for sensing water (n=1.33) and crude oil (n=1.45) samples. Swift and accurate sensing was verified by a real-time dynamic measurement with rapidly alternating analytes in a microfluid channel.","Photonic crystal waveguides; Chemical compounds; Crude oil; Optical properties; Electrical properties","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:90caa250-478c-43d3-a1b5-dea587f8d6c0","http://resolver.tudelft.nl/uuid:90caa250-478c-43d3-a1b5-dea587f8d6c0","Quantification of the Li-ion diffusion over an interface coating in all-solid-state batteries via NMR measurements","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy; Tsinghua University); van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy); Lin, Kui (Tsinghua University); Arszelewska, Violetta (TU Delft RST/Storage of Electrochemical Energy); Li, Baohua (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2021","A key challenge for solid-state-batteries development is to design electrode-electrolyte interfaces that combine (electro)chemical and mechanical stability with facile Li-ion transport. However, while the solid-electrolyte/electrode interfacial area should be maximized to facilitate the transport of high electrical currents on the one hand, on the other hand, this area should be minimized to reduce the parasitic interfacial reactions and promote the overall cell stability. To improve these aspects simultaneously, we report the use of an interfacial inorganic coating and the study of its impact on the local Li-ion transport over the grain boundaries. Via exchange-NMR measurements, we quantify the equilibrium between the various phases present at the interface between an S-based positive electrode and an inorganic solid-electrolyte. We also demonstrate the beneficial effect of the LiI coating on the all-solid-state cell performances, which leads to efficient sulfur activation and prevention of solid-electrolyte decomposition. Finally, we report 200 cycles with a stable capacity of around 600 mAh g−1 at 0.264 mA cm−2 for a full lab-scale cell comprising of LiI-coated Li2S-based cathode, Li-In alloy anode and Li6PS5Cl solid electrolyte.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:3b701db8-f662-4cf9-9e3d-020cdf130de5","http://resolver.tudelft.nl/uuid:3b701db8-f662-4cf9-9e3d-020cdf130de5","Evaluation of epoxy modification in bitumen","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2019","In epoxy-bitumen systems, temperature contributes to the development of physiochemical and mechanical characteristics during curing (chemical hardening) and at the long-term service (oxidative aging) of pavement structures. Hardening (i.e., chemical or oxidative controlled) is a complex phenomenon in epoxy-modified binders and the in-depth exploration of incorporating epoxy chemistry in bitumen is needed to understand the evolution of the properties of these binders in time. Within this framework, changes in two different diluted epoxy-modified binders after oven-hardening for various time scales were analysed by means of Fourier transform infrared spectroscopy, modulated dynamic scanning calorimetry and dynamic shear rheometry. The chemical, thermal and mechanical properties of hardened binders were compared showing remarkable differences in their response under various conditions. The degree of aging was dependent on the level of epoxy modification in bitumen. It was found that the sulfoxide compounds are the most representative index for assessing the oxidative-controlled hardening of epoxy-modified bitumens. The phase angle gives useful information for assessing the long-term aging of modified binders demonstrating a linear relationship between the phase angle and sulfoxide index changes with respect to oxidative hardening. The improved performance (i.e., higher tensile strength, flexibility and enhanced longevity) of newly modified binders was demonstrated as well. Overall, the enhanced resistance against aging in combination with the superior mechanical characteristics when the epoxy modification is implemented in bitumen promises a very effective technology for developing long-lasting pavement materials.","Bitumen; Epoxy modification; Long-lasting materials","en","journal article","","","","","","","","2021-03-08","","","Pavement Engineering","","",""
"uuid:d0e88140-c330-4c99-b6ba-bdf01b5edfa8","http://resolver.tudelft.nl/uuid:d0e88140-c330-4c99-b6ba-bdf01b5edfa8","A meta-analysis of genome-wide association studies identifies multiple longevity genes","Deelen, J (Max Planck Institute for Biology of Ageing; Leiden University Medical Center); D., Evans (California Pacific Medical Center Research Institute, San Francisco); Dan E., Arking (Johns Hopkins University); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics); M, Nygaard (University of Southern Denmark); Liu, Xiaoming (BGI-Shenzhen, Shenzhen); Mary K., Wojczynski (Washington University School of Medicine); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics); Holstege, H. (TU Delft Intelligent Systems)","","2019","Human longevity is heritable, but genome-wide association (GWA) studies have had limitedsuccess. Here, we perform two meta-analyses of GWA studies of a rigorous longevityphenotype definition including 11,262/3484 cases surviving at or beyond the age corre-sponding to the 90th/99th survival percentile, respectively, and 25,483 controls whose ageat death or at last contact was at or below the age corresponding to the 60th survivalpercentile. Consistent with previous reports, rs429358 (apolipoprotein E (ApoE)ε4) isassociated with lower odds of surviving to the 90th and 99th percentile age, while rs7412(ApoEε2) shows the opposite. Moreover, rs7676745, located nearGPR78, associates withlower odds of surviving to the 90th percentile age. Gene-level association analysis reveals arole for tissue-specific expression of multiple genes in longevity. Finally, genetic correlation ofthe longevity GWA results with that of several disease-related phenotypes points to a sharedgenetic architecture between health and longevity","","en","journal article","","","","","","Correction DOI .1038/s41467-021-22613-2","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:19934462-a374-4f18-a25f-c4e2d0d18f86","http://resolver.tudelft.nl/uuid:19934462-a374-4f18-a25f-c4e2d0d18f86","On the relationship between compensation difference and voluntary turnover rate of core staff and enterprise performance of small and micro technology enterprises based on tournament theory","Liu, A. (Chongqing University); Zhong, W. (TU Delft Policy Analysis); Xiang, Rong (Chongqing University); Yi, Silian (Chongqing University); Pan, Lu (Chongqing University)","","2017","Small and micro technology enterprises are the most active and promising groups in scientific and technological innovation. The health and stability of these enterprises are critical to the steady and rapid development of the national economy. Based on the tournament theory and empirical analysis, this paper probes into the influence of compensation difference on the voluntary turnover of senior executives, examines how compensation difference affects the enterprise performance indirectly through the voluntary turnover of senior executives, and clarifies the functional relationship between the compensation difference among senior executives, the voluntary turnover rate of senior executives and enterprise performance. The findings not only offer empirical evidence for the influencing mechanism of how compensation difference affects the enterprise performance indirectly through the voluntary turnover of senior executives, but also provide important guidance for benign competition and healthy and stable development of small and micro technology enterprises.","Compensation difference; Enterprise performance; Small and micro technology enterprises; Voluntary turnover rate of senior executives","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:1ddbe484-d5ce-4d77-a93c-64738318888c","http://resolver.tudelft.nl/uuid:1ddbe484-d5ce-4d77-a93c-64738318888c","Lithium salts as leachable corrosion inhibitors and potential replacement for hexavalent chromium in organic coatings for the protection of aluminum alloys","Visser, P (TU Delft (OLD) MSE-6; Akzo Nobel); Liu, Y (The University of Manchester); Terryn, H.A. (TU Delft (OLD) MSE-1; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6)","","2016","Lithium salts are being investigated as leachable corrosion inhibitor and potential replacement for hexavalent chromium in organic coatings. Model coatings loaded with lithium carbonate or lithium oxalate demonstrated active corrosion inhibition and the formation of a protective layer in a damaged area during neutral salt spray exposure. The present paper provides an abridged overview of the initial studies into this novel inhibitor technology for the active corrosion protection of aluminum alloys. Coating defects were investigated by microscopic techniques before and after exposure to corrosive conditions. Scanning electron microscopy analysis of cross-sections of the coating defect area demonstrated that the protective layer comprises a typical three-layered structure, which included a dense layer near the alloy surface, a porous middle layer, and a flake-shaped out layer. Potentiodynamic polarization measurements obtained with a microcapillary cell positioned in the coating defect area and electrochemical impedance spectroscopy confirmed the corrosion protective properties of these protective layers. The long-term corrosion inhibition of the lithium-based coating technology was tested in industrial coating systems.","Aluminum; Coating; Corrosion inhibition; Leaching; Lithium","en","journal article","","","","","","","","","","","(OLD) MSE-6","","",""
"uuid:5b69728f-3eaf-43d5-acfe-4cc747d47b61","http://resolver.tudelft.nl/uuid:5b69728f-3eaf-43d5-acfe-4cc747d47b61","Characterization of epoxy-asphalt binders by differential scanning calorimetry","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2020","Asphalt binders incorporating different modifiers is a common practice but empirically driven in most of the cases. Therefore, the fundamental understanding of modification mechanisms in asphalt binders is needed to design polymer modified binders in a controllable manner, especially today in which new modification technologies are proposed. Among others, epoxy-based polymers have been accepted as a promising solution for asphalt binders to develop durable and long-lasting pavement materials. Nevertheless, a relationship between processing of epoxy-asphalt binders and their properties built-up is of high importance to prevent phenomena such as over-curing during the material production. In this research, the use of standard and modulated differential calorimetric measurements is discussed by performing analyses to asphalt binders modified with two epoxy formulations in addition to a commercially available epoxy-asphalt binder as a reference. The kinetic parameters and the Tg change of various crosslinking epoxy-based binders was assessed for the different formulations. According to the results, the Tg is shifting to higher temperatures as cure progresses and crosslinks are formed, with the neat epoxy-based polymer to crosslink faster than epoxy-asphalt binders. Difference on the crosslinking performance between the two epoxy polymers was noticed as well. This research provided valuable insight into the chemical thermodynamics of crosslinking epoxy-asphalt that can help the future material designers to control reaction-induced phenomena, such as the phase separation.","Asphalt; Bitumen; Differential scanning calorimetry; Epoxy asphalt; Glass transition temperature; Reaction kinetics; Thermal analysis","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:956cb21d-d1dc-4446-a7c3-9037a8cea914","http://resolver.tudelft.nl/uuid:956cb21d-d1dc-4446-a7c3-9037a8cea914","Revealing High Na-Content P2-Type Layered Oxides as Advanced Sodium-Ion Cathodes","Zhao, Chenglong (University of Chinese Academy of Sciences); Yao, Zhenpeng (Harvard University); Wang, Qidi (Tsinghua University); Li, Haifeng (University of Illinois at Chicago); Wang, Jianlin (Chinese Academy of Sciences); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Lu, Yaxiang (University of Chinese Academy of Sciences); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2020","Layered Na-based oxides with the general composition of NaxTMO2 (TM: transition metal) have attracted significant attention for their high compositional diversity that provides tunable electrochemical performance for electrodes in sodium-ion batteries. The various compositions bring forward complex structural chemistry that is decisive for the layered stacking structure, Na-ion conductivity, and the redox activity, potentially promising new avenues in functional material properties. In this work, we have explored the maximum Na content in P2-type layered oxides and discovered that the high-content Na in the host enhances the structural stability; moreover, it promotes the oxidation of low-valent cations to their high oxidation states (in this case Ni2+). This can be rationalized by the increased hybridization of the O(2p)-TM(3d-eg*) states, affecting both the local TM environment as well as the interactions between the NaO2 and TMO2 layers. These properties are highly beneficial for the Na storage capabilities as required for cathode materials in sodium-ion batteries. It leads to excellent Na-ion mobility, a large storage capacity (>100 mAh g-1 between 2.0-4.0 V), yet preventing the detrimental sliding of the TMO2 layers (P2-O2 structural transition), as reflected by the ultralong cycle life (3000 (dis)charge cycles demonstrated). These findings expand the horizons of high Na-content P2-type materials, providing new insights of the electronic and structural chemistry for advanced cathode materials.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:61c36909-8745-4dd7-b4c8-9b9689c15b62","http://resolver.tudelft.nl/uuid:61c36909-8745-4dd7-b4c8-9b9689c15b62","Review on shallow geothermal promoting energy efficiency of existing buildings in Europe","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Qian, QK (TU Delft Design & Construction Management); Visscher, H.J. (TU Delft Design & Construction Management); Zhang, Guoqiang (Hunan University)","","2022","The energy-saving renovation of existing buildings has been attracted sufficient attention to reduce fossil fuels and mitigate global warming in Europe. The shallow geothermal for building cooling and heating, as an environmentally-friendly and cost-effective alternative, has been widely explored to promote energy efficiency of existing buildings. However, few studies conduct the comprehensive overview on the applications, developments, and existing issues of shallow geothermal promoting energy efficiency of existing buildings (SGPEEEB) in Europe. The objective of this paper is to review the current application status and future trends of SGPEEEB in Europe. First, the common utilization forms and classifications of used shallow geothermal technologies are introduced to further clarify the investigated subject. Then, the research and application status of SGPEEEB has also analyzed and discussed. At last, this study proposes the future trends and comments of SGPEEEB in Europe.","Application and development; Energy efficiency; European countries; Existing buildings; Shallow geothermal","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:07ae2d3e-67f9-4a38-89b9-5308a17a241c","http://resolver.tudelft.nl/uuid:07ae2d3e-67f9-4a38-89b9-5308a17a241c","Mechanical Parameter Identification of Hydraulic Engineering with the Improved Deep Q-Network Algorithm","Ji, Wei (Hohai University); Liu, Xiaoqing (Hohai University); Qi, Huijun (Hohai University); Liu, Xunnan (Hohai University); Lin, C. (TU Delft Safety and Security Science; Hohai University); Li, Tongchun (Hohai University)","","2020","During the long-term operating period, the mechanical parameters of hydraulic structures and foundation deteriorated gradually because of the environmental factors. In order to evaluate the overall safety and durability, these parameters should be calculated by some accurate analysis methods, which are hindered by slow computational efficiency and optimization performance. The improved deep Q-network (DQN) algorithm combined with the deep neural network (DNN) surrogate model was proposed in this paper to ameliorate the above problems. Through the study cases of different zoning in the dam body and the actual engineering foundation, it is shown that the improved DQN algorithm has a good application effect on inversion analysis of material mechanical parameters in this paper.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:cf55be05-abfe-4943-a14f-6b5c8cb96711","http://resolver.tudelft.nl/uuid:cf55be05-abfe-4943-a14f-6b5c8cb96711","A Novel Bilevel False Data Injection Attack Model Based on Pre-and Post-Dispatch","Gao, Shibin (Southwest Jiaotong University); Lei, Jieyu (Southwest Jiaotong University); Wei, Xiaoguang (Southwest Jiaotong University); Liu, Y. (TU Delft Intelligent Electrical Power Grids); Wang, Tao (Xihua University)","","2022","This letter develops a new bilevel optimization model to construct false data injection attack based on pre- and post- dispatch. In order to enhance the attack concealment, the proposed bilevel model can minimize the variation of uploaded measurements between pre- and post-attack before dispatching, after which the attack can lead the system to an uneconomic and insecure operating state after dispatching. Simulation results validate the effectiveness of the proposed bilevel model in term of operating cost and network overloads.","bilevel optimization model; false data injection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:e254f796-cfd1-4c53-a8cb-c716eb4375fa","http://resolver.tudelft.nl/uuid:e254f796-cfd1-4c53-a8cb-c716eb4375fa","Study on vertical vibration and transmission characteristics of railway ballast using impact hammer test","Liu, Ganzhong (Southwest Jiaotong University); Cong, Jianli (Southwest Jiaotong University); Wang, Ping (Southwest Jiaotong University); Du, Shuai (Southwest Jiaotong University); Wang, L. (TU Delft Railway Engineering; Southwest Jiaotong University); Chen, Rong (Southwest Jiaotong University)","","2022","The vertical vibration and transmission characteristics of ballast are key factors that affect the dynamic stability of railway track structures and control the settlement of ballasted beds. Therefore, the following study was conducted to explore this topic. Firstly, through an impact hammer test on a ballast sensor with embedding chip, the vertical vibration data of the ballast was accurately measured. Therefore, the vertical vibration characteristics of a single ballast can be studied. Then, the vertical vibration characteristics at different positions in the stack were obtained by embedding ballast sensors into a ballasted stack. Finally, combined with field tests, a discrete element numerical model was established, then the vibration transmission speed and diffusion angle in a ballasted stack were calculated. The results of this study show that the damping ratio of ballast particles is less than 0.1, and the natural frequency is above 1000 Hz. The damping ratio and natural frequency of ballasts are greatly affected by their shape. The damping ratio of a ballasted stack is greater than that of ballast particles, and its natural frequency is lower. This indicates that the ballasted stack has the attributes of a soft material. The vertical acceleration transmission rate of ballasts is lower at frequencies below 257.94 Hz. This shows that the vibration suppression ability of the ballasted bed is better in the lower frequency range. As the depth increases, the vertical vibration transmission speed of the ballast gradually decreases, as does the accumulated external force. In the impact hammer test of a ballasted box, the average vertical vibration transmission speed was calculated to be 0.88 mm/μs, and the ballast vibration was transmitted downward at a diffusion angle of 35.32°–54.51° from the direction of gravity.","Ballast; Damping; Discrete element; Natural frequency; Transmission; Vibration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-04","","","Railway Engineering","","",""
"uuid:53dea92b-f995-4b52-ac7c-63426f6df049","http://resolver.tudelft.nl/uuid:53dea92b-f995-4b52-ac7c-63426f6df049","Integrating ride-hailing services with public transport: a stochastic user equilibrium model for multimodal transport systems","Liu, B. (TU Delft Transport and Planning; Tongji University); Ji, Yuxiong (Tongji University); Cats, O. (TU Delft Transport and Planning)","","2023","Public transport (PT) agencies are increasingly keen on integrating ride-hailing (RH) services with PT to improve overall mobility. Understanding the traffic flow distribution in the integrated system is vital for the policy decision-making and services design of such a system. We propose a stochastic user equilibrium (SUE) model for multimodal transport systems consisting of private car, PT and RH. The travel costs in the SUE model are investigated using a multimodal graph representation to capture the relationship of different travel modes in the integrated system. We apply the proposed model to a toy case and a real-world case. A RH subsidy strategy is compared with the benchmark to demonstrate travellers’ route and mode shifts in the integrated system. Our findings offer insights on subsidising RH services through the proposed model, and provide valuable knowledge on the planning and design of the integrated system.","multimodal network; public transport; ride-hailing; Stochastic user equilibrium","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:d1675f51-75a2-4a8c-bd0c-0bed58018c94","http://resolver.tudelft.nl/uuid:d1675f51-75a2-4a8c-bd0c-0bed58018c94","Collaborate to learn and learn to collaborate: a case of exploitative learning in the inter-organizational project","Liu, Y. (TU Delft Integral Design & Management); Amini-Abyaneh, Arash (Vrije Universiteit Amsterdam); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Houwing, E.J. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2021","Purpose: Management of inter-organizational projects focuses on the collective benefits of a group of organizations on a shared activity for a limited period and the coordination among them. However, how learning is facilitated in the inter-organizational project remains under-developed in the literature. Design/methodology/approach: This research analyses the exploitative learning process in the longest tunnel project on land in the Netherlands realized in a densely populated area. Data were collected through archived documents, in-depth interviews, site visits in the ethnographic research to analyze the actors, the daily practices and social situations in projects. Findings: The empirical findings indicate that exploitative learning is promoted positively between the owner and the contractor and internally within the contractor. The most significant change that the exploitative learning process has led to is the change in mindset toward the collaboration. Project culture is considered to be shaped by exploitative learning in the inter-organizational project. However, there is a gap between the transfer of knowledge from the inter-organizational project to the parent organization. Originality/value: The findings have implications for understanding learning in the inter-organizational project setting.","Construction; Innovation; Knowledge management","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:ca8acd42-eb47-45b4-b7a7-82dfcecc3614","http://resolver.tudelft.nl/uuid:ca8acd42-eb47-45b4-b7a7-82dfcecc3614","Monte Carlo simulation of SDEs using GANs","van Rhijn, J. (TU Delft ImPhys/Practicum support; Centrum Wiskunde & Informatica (CWI)); Oosterlee, C.W. (TU Delft Numerical Analysis; Universiteit Utrecht); Grzelak, L.A. (TU Delft Numerical Analysis; Rabobank); Liu, S. (TU Delft Numerical Analysis; Centrum Wiskunde & Informatica (CWI))","","2022","Generative adversarial networks (GANs) have shown promising results when applied on partial differential equations and financial time series generation. We investigate if GANs can also be used to approximate one-dimensional Ito ^ stochastic differential equations (SDEs). We propose a scheme that approximates the path-wise conditional distribution of SDEs for large time steps. Standard GANs are only able to approximate processes in distribution, yielding a weak approximation to the SDE. A conditional GAN architecture is proposed that enables strong approximation. We inform the discriminator of this GAN with the map between the prior input to the generator and the corresponding output samples, i.e. we introduce a ‘supervised GAN’. We compare the input-output map obtained with the standard GAN and supervised GAN and show experimentally that the standard GAN may fail to provide a path-wise approximation. The GAN is trained on a dataset obtained with exact simulation. The architecture was tested on geometric Brownian motion (GBM) and the Cox–Ingersoll–Ross (CIR) process. The supervised GAN outperformed the Euler and Milstein schemes in strong error on a discretisation with large time steps. It also outperformed the standard conditional GAN when approximating the conditional distribution. We also demonstrate how standard GANs may give rise to non-parsimonious input-output maps that are sensitive to perturbations, which motivates the need for constraints and regularisation on GAN generators.","Exact simulation; Generative adversarial networks; Monte Carlo sampling; Neural networks; Path-wise conditional distribution; Stochastic differential equations","en","journal article","","","","","","","","","","","ImPhys/Practicum support","","",""
"uuid:c989e4c7-a04f-4729-9da5-e3a237056044","http://resolver.tudelft.nl/uuid:c989e4c7-a04f-4729-9da5-e3a237056044","Individual pitch control by convex economic model predictive control for wind turbine side-side tower load alleviation","Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Liu, Y. (TU Delft Team Riccardo Ferrari); Hovgaard, T. G. (Vestas Technology R&D); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","The wind turbine side-side tower motion is known to be lightly damped. One viable active damping solution is realized by deploying individual pitch control (IPC) such that counteracting blade forces are created to alleviate the tower fatigue loading caused by this motion. Existing IPC methods for side-side tower damping in the literature, such as linear quadratic regulator and lead-lag controller, cannot accommodate direct optimization and tradeoff tunings of the wind turbine economic performance. In this work, a novel side-side tower damping IPC strategy under a convex economic model predictive control (CEMPC) framework is therefore developed to address these challenges. The main idea of the framework lies in the variable transformation in power and energy terms to obtain linear dynamics and convex constraints, over which the economic performance of the wind turbine is maximized with a globally optimal solution in a receding horizon manner. The effectiveness of the proposed method is showcased in a high-fidelity simulation environment under both steady and turbulent wind cases. Lower fatigue damage on the side-side tower bending moment is attained with an acceptable level of pitch activities, negligible impact on the blade loads, and minor improvement on the power production.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","http://resolver.tudelft.nl/uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","Investigating the Role of Executive Intrafirm Alumni Networks in Top Management Fraud: Multilevel Study in China's Construction Industry","Wang, Ran (Hunan University); Wang, Tongbing (Hunan University); Lee, Chia-Jung (Tunghai University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, G. (Hunan University)","","2024","To explore factors that influence the likelihood of committing fraud in the construction industry, this study concentrated on senior executives and tested whether some characteristics at the individual and firm levels have impacts on the likelihood of fraud committed by top management. Based on social network theory, this study first proposes that intrafirm alumni networks may increase the probability of senior executives engaging in corrupt behavior. Then the study explored whether the effect of executives' alumni networks on their wrongdoings is influenced by external and internal corporate governance measures. To verify the hypotheses, this study collected data on 2,017 senior executives from 118 construction companies in China from 2013 to 2021. Because of the multilevel structure of the data, hierarchical linear modeling was used. The results show that alumni networks have a significant positive effect on top management fraud. The effect is weakened by external auditing, altered by board independence, and strengthened by the size of the board of directors and the size of the supervisory board. This multilevel research contributes to advancing the understanding of managers' fraudulent behavior within an organization and extends the literature on social networks and corporate governance in the construction industry.","Alumni networks; Corporate governance; Hierarchical linear modeling; Social networks; Top management fraud","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Design & Construction Management","","",""
"uuid:c8f1d0d9-30e1-4cac-8ef1-593effe838f0","http://resolver.tudelft.nl/uuid:c8f1d0d9-30e1-4cac-8ef1-593effe838f0","Sustainable Application of a Novel Water Cycle Using Seawater for Toilet Flushing","Liu, Xiaoming (The Hong Kong University of Science and Technology); Dai, Ji (The Hong Kong University of Science and Technology); Wu, Di (The Hong Kong University of Science and Technology); Jiang, Feng (South China Normal University); Chen, Guanghao (The Hong Kong University of Science and Technology); Chui, Ho Kwong (The Hong Kong University of Science and Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2016","Global water security is a severe issue that threatens human health and well-being. Finding sustainable alternative water resources has become a matter of great urgency. For coastal urban areas, desalinated seawater could serve as a freshwater supply. However, since 20%–30% of the water supply is used for flushing waste from the city, seawater with simple treatment could also partly replace the use of freshwater. In this work, the freshwater saving potential and environmental impacts of the urban water system (water-wastewater closed loop) adopting seawater desalination, seawater for toilet flushing (SWTF), or reclaimed water for toilet flushing (RWTF) are compared with those of a conventional freshwater system, through a life-cycle assessment and sensitivity analysis. The potential applications of these processes are also assessed. The results support the environmental sustainability of the SWTF approach, but its potential application depends on the coastal distance and effective population density of a city. Developed coastal cities with an effective population density exceeding 3000 persons·km−2 and located less than 30 km from the seashore (for the main pipe supplying seawater to the city) would benefit from applying SWTF, regardless of other impact parameters. By further applying the sulfate reduction, autotrophic denitrification, and nitrification integrated (SANI) process for wastewater treatment, the maximum distance from the seashore can be extended to 60 km. Considering that most modern urbanized cities fulfill these criteria, the next generation of water supply systems could consist of a freshwater supply coupled with a seawater supply for sustainable urban development.","Alternative water resources; Life-cycle assessment; SANI; Seawater toilet flushing; Urban water system","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:5ce32d42-9663-4a06-81da-66f70c8ef8aa","http://resolver.tudelft.nl/uuid:5ce32d42-9663-4a06-81da-66f70c8ef8aa","Revealing an Interconnected Interfacial Layer in Solid-State Polymer Sodium Batteries","Zhao, Chenglong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Liu, Lilu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Lu, Yaxiang (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Chen, Liquan (Chinese Academy of Sciences); Hu, Yong Sheng (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Yangtze River Delta Physics Research Center, Liyang)","","2019","Replacing the commonly used nonaqueous liquid electrolytes in rechargeable sodium batteries with polymer solid electrolytes is expected to provide new opportunities to develop safer batteries with higher energy densities. However, this poses challenges related to the interface between the Na-metal anode and polymer electrolytes. Driven by systematically investigating the interface properties, an improved interface is established between a composite Na/C metal anode and electrolyte. The observed chemical bonding between carbon matrix of anode with solid polymer electrolyte, prevents delamination, and leads to more homogeneous plating and stripping, which reduces/suppresses dendrite formation. Full solid-state polymer Na-metal batteries, using a high mass loaded Na3V2(PO4)3 cathode, exhibit ultrahigh capacity retention of more than 92 % after 2 000 cycles and over 80 % after 5 000 cycles, as well as the outstanding rate capability.","composite metal anodes; interfacial layers; sodium batteries; solid polymer electrolytes; stable cycling","en","journal article","","","","","","Accepted Author Manuscript","","2020-09-25","","","RST/Storage of Electrochemical Energy","","",""
"uuid:3d034d35-7651-4efa-899c-1fca3dbf2e38","http://resolver.tudelft.nl/uuid:3d034d35-7651-4efa-899c-1fca3dbf2e38","Memory-Enhanced Plasticity Modeling of Sand Behavior under Undrained Cyclic Loading","Liu, H. (TU Delft Geo-engineering; Norwegian Geotechnical Institute); Diambra, Andrea (University of Bristol); Abell, José Antonio (Los Andes University); Pisano, F. (TU Delft Geo-engineering)","","2020","This work presents a critical state plasticity model for predicting the response of sands to cyclic loading. The well-known bounding surface SANISAND framework by Dafalias and Manzari is enhanced with a memory surface to capture micromechanical, fabric-related processes directly affecting cyclic sand behavior. The resulting model, SANISAND-MS, was recently proposed by Liu et al. and successfully applied to the simulation of drained sand ratcheting under thousands of loading cycles. Herein, novel ingredients are embedded into Liu et al.’s formulation to better capture the effects of fabric evolution history on sand stiffness and dilatancy. The new features enable remarkable accuracy in simulating undrained pore pressure buildup and cyclic mobility behavior in medium-dense to dense sand. The performance of the upgraded SANISAND-MS is validated against experimental test results from the literature—including undrained cyclic triaxial tests at varying cyclic loading conditions and precyclic consolidation histories. The proposed modeling platform will positively impact the study of relevant cyclic and dynamic problems, for instance, in the fields of earthquake and offshore geotechnics.","","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:b6a12698-737f-4642-9813-59fbf46b173f","http://resolver.tudelft.nl/uuid:b6a12698-737f-4642-9813-59fbf46b173f","Influence of SiO2, TiO2 and Fe2O3 nanoparticles on the properties of fly ash blended cement mortars","Siang Ng, Ding (Monash University Malaysia); Paul, Suvash Chandra (Monash University Malaysia; International University of Business Agriculture and Technology); Anggraini, Vivi (Monash University Malaysia); Kong, Sih Ying (Monash University Malaysia); Qureshi, Tanvir Shams (University of Toronto); Romero Rodriguez, C. (TU Delft Materials and Environment); Liu, Qing feng (Shanghai Jiao Tong University); Šavija, B. (TU Delft Materials and Environment)","","2020","This study explores the effects of different types of nanoparticles, namely nano-SiO2 (NS), nano-TiO2 (NT), and nano-Fe2O3 (NF) on the fresh properties, mechanical properties, and microstructure of cement mortar containing fly ash as a supplementary cementitious material. These nanoparticles existed in powder form and were incorporated into the mortar at the dosages of 1%, 3%, and 5% wt.% of cement. Also, fly ash has been added into in mortars with a constant dosage of 30% wt.% of cement. Compressive and flexural strength tests were performed to evaluate the mechanical properties of the mortar specimens with different nanoparticles at three curing ages, 7, 14, and 28 days. Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) tests were conducted to study the microstructure and the hydration products of the mortars. To elucidate the effects of nanoparticles on the binder phase, additional experiments were performed on accompanying cement pastes: nanoindentation and open porosity measurements. The study shows that, if added in appropriate amounts, all nanoparticles investigated can result in significantly improved mechanical properties compared to the reference materials. However, exceeding of the optimal concentration results in clustering of the nanoparticles and reduces the mechanical properties of the composites, which is accompanied with increasing the porosity. This study provides guidelines for further improvement of concretes with blended cements through use of nanoparticles.","FeO; Mechanical strength; Microstructure; Nanoindentation; Nanoparticles; SiO; TiO","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4c4b8f32-0a6a-43ea-b4a0-6119a89f8485","http://resolver.tudelft.nl/uuid:4c4b8f32-0a6a-43ea-b4a0-6119a89f8485","Effects of cold recovery technology on the microbial drinking water quality in unchlorinated distribution systems","Ahmad, J.I. (TU Delft Sanitary Engineering; National University of Science and Technology (NUST)); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Wielen, Paul (KWR Water Research Institute; Wageningen University & Research); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2020","Drinking water distribution systems (DWDSs) are used to supply hygienically safe and biologically stable water for human consumption. The potential of thermal energy recovery from drinking water has been explored recently to provide cooling for buildings. Yet, the effects of increased water temperature induced by this “cold recovery” on the water quality in DWDSs are not known. The objective of this study was to investigate the impact of cold recovery from DWDSs on the microbiological quality of drinking water. For this purpose, three pilot distribution systems were operated in parallel for 38 weeks. System 1 has an operational heat exchanger, mimicking the cold recovery system by maintaining the water temperature at 25 °C; system 2 operated with a non-operational heat exchanger and system 3 run without heat exchanger. The results showed no significant effects on drinking water quality: cell numbers and ATP concentrations remained around 3.5×105 cells/ml and 4 ng ATP/l, comparable observed operational taxonomic units (OTUs) (~470–490) and similar Shannon indices (7.7–8.9). In the system with cold recovery, a higher relative abundance of Pseudomonas spp. and Chryseobacterium spp. was observed in the drinking water microbial community, but only when the cold recovery induced temperature difference (ΔT) was higher than 9 °C. In the 38 weeks’ old biofilm, higher ATP concentration (475 vs. 89 pg/cm2), lower diversity (observed OTUs: 88 vs. ≥200) and a different bacterial community composition (e.g. higher relative abundance of Novosphingobium spp.) were detected, which did not influence water quality. No impacts were observed for the selected opportunisitic pathogens after introducing cold recovery. It is concluded that cold recovery does not affect bacterial water quality. Further investigation for a longer period is commended to understand the dynamic responses of biofilm to the increased temperature caused by cold recovery.","Bacterial community; Biofilm; Cold recovery; Drinking water distribution system; Drinking water quality; Microbial ecology; Opportunistic pathogens","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e95574c9-35e0-4c24-b006-fc8ade3fa0a0","http://resolver.tudelft.nl/uuid:e95574c9-35e0-4c24-b006-fc8ade3fa0a0","Controllable Single Cooper Pair Splitting in Hybrid Quantum Dot Systems","de Jong, D. (TU Delft BUS/Quantum Delft; Kavli institute of nanoscience Delft; QuTech); Prosko, C.G. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Han, L. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Malinowski, F.K. (TU Delft BUS/TNO STAFF; Kavli institute of nanoscience Delft; QuTech); Liu, Yu (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Pfaff, Wolfgang (University of Illinois at Urbana-Champaign)","","2023","Cooper pair splitters hold utility as a platform for investigating the entanglement of electrons in Cooper pairs, but probing splitters with voltage-biased Ohmic contacts prevents the retention of electrons from split pairs since they can escape to the drain reservoirs. We report the ability to controllably split and retain single Cooper pairs in a multi-quantum-dot device isolated from lead reservoirs, and separately demonstrate a technique for detecting the electrons emerging from a split pair. First, we identify a coherent Cooper pair splitting charge transition using dispersive gate sensing at GHz frequencies. Second, we utilize a double quantum dot as an electron parity sensor to detect parity changes resulting from electrons emerging from a superconducting island.","","en","journal article","","","","","","","","","","","BUS/Quantum Delft","","",""
"uuid:1a5e3f11-3fc1-4f9a-aaa9-a424b6a01930","http://resolver.tudelft.nl/uuid:1a5e3f11-3fc1-4f9a-aaa9-a424b6a01930","Protocol for Reading Out Majorana Vortex Qubits and Testing Non-Abelian Statistics","Liu, C. (TU Delft ChemE/Delft Ingenious Design; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; University of Chinese Academy of Sciences; University of Maryland); Liu, Dong E. (Tsinghua University); Zhang, Fu Chun (University of Chinese Academy of Sciences; Chinese Academy of Sciences; Nanjing University); Chiu, Ching Kai (University of Chinese Academy of Sciences; University of Maryland)","","2019","The successful testing of non-Abelian statistics not only serves as a milestone in fundamental physics but also provides a quantum-gate operation in topological quantum computation. An accurate and efficient readout scheme of a topological qubit is an essential step toward the experimental confirmation of non-Abelian statistics. In the current work, we propose a protocol to read out the quantum state of a Majorana vortex qubit on a topological superconductor island. The protocol consists of four Majorana zero modes trapped in spatially well-separated vortex cores on the two-dimensional surface of a Coulomb blockaded topological superconductor. Our proposed measurement is implemented by a pair of weakly coupled Majorana modes separately in touch with two normal-metal leads and the readout is realized by observing the conductance-peak location in terms of the gate voltage. Using this protocol, we can further test the non-Abelian statistics of Majorana zero modes in the two-dimensional platform. A successful readout of a Majorana qubit is a crucial step toward the future application of topological quantum computation. In addition, this Coulomb-blockaded setup can distinguish Majorana zero modes from Caroli-de Gennes-Matricon modes in vortex cores.","","en","journal article","","","","","","","","","","","ChemE/Delft Ingenious Design","","",""
"uuid:2d5b08eb-eb50-4e65-9361-c7f2a56e140c","http://resolver.tudelft.nl/uuid:2d5b08eb-eb50-4e65-9361-c7f2a56e140c","Numerical Study on Shear Performance of a New Perfobond Connector with Controllable Stiffness","Liu, Yangqing (Tongji University); Liu, Yuqing (Tongji University); Xin, H. (TU Delft Steel & Composite Structures; Tongji University); Tian, Hao (Zhejiang Scientific Research Institute of Transport; Key Laboratory of Road and Bridge Inspection and Maintenance Technology of Zhejiang Province); Wei, Jun (Zhejiang Scientific Research Institute of Transport; Key Laboratory of Road and Bridge Inspection and Maintenance Technology of Zhejiang Province)","","2020","To improve the shear behavior and design applicability of rubber ring perfobond connectors (RPBLs), a new rubber ring that aims to make the shear stiffness of RPBLs controllable was proposed. Firstly, the conceptual design and configuration of the new rubber rings were presented and discussed. Subsequently, finite element (FE) models for modified push-out tests of new RPBLs were established based on the validated modeling method. The initial shear stiffness is dominated by the horizontal projected contact area between hole walls and concrete dowels. γ is defined as the ratio of the horizontal projected length of hollows to the diameter of holes. The shear stiffness of new RPBLs is about 35%, 60%, and 82% of the shear stiffness of PBLs when γ equals 0.25, 0.5, and 0.75, respectively. Employing the new rubber rings with varying central angles on conventional PBLs is feasible to obtain the required stiffness for RPBLs. Further, the effects of the number of sectors, the size of side wings, the central angle of hollows, the offset angle, and the thickness of rubber rings were analysed. Based on the numerical results, the proper thickness of side wings is no larger than 2 mm. The thicker side wing could reduce the confinement effects provided by surrounding concrete on concrete dowels, resulting in a drop of the yield load of new RPBLs. The number of sectors is suggested to be no less than 6 so that the shear behavior of new RPBLs is irrelevant to the offset angle. Besides, the shear stiffness is not related to the thickness of rubber rings. To improve the yield load of RPBLs and obtain the moderate recovered stiffness, the thickness of rubber rings is recommended as 2 mm. Finally, the expression for the shear stiffness of new RPBLs was proposed.","","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:b6cbfbbf-3990-437f-a67f-1a46de843eaf","http://resolver.tudelft.nl/uuid:b6cbfbbf-3990-437f-a67f-1a46de843eaf","Use of epoxy asphalt as surfacing and tack coat material for roadway pavements","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","This work presents an experimental program developed to evaluate the effect of epoxy-asphalt binder, used as replacement of bitumen, on the durability and fatigue life of an asphalt concrete mix. The use of epoxy-type binder as tack coat has been explored as well and thus experiments have been conducted to quantify the effect of epoxy tack coat on the interface strength of two-layer asphalt samples. Results indicated that the epoxy asphalt mixes had higher tensile strength than control mixes, and the increase of strength was noticed with increasing proportionally the amount of epoxy in bitumen. Additionally, the four-point bending tests indicate that a high modulus material, as the epoxy modified asphalt, can effectively reduce deflections of specimen beams and improve the fatigue resistance of mixes designed for surfacing roadway applications. On the basis of interlayer tests, the use of epoxy asphalt binder as tack coat improved the bonding strength between the two layers offering monolithic performance characteristics on high modulus roadway pavements. Overall, the current study concludes that a two-layer monolithic pavement system with epoxy asphalt could mitigate bonding defects, such as debonding, slippage and fatigue cracking propagated from bottom to up, of a surfacing (top) pavement layer of enhanced strength, modulus and fatigue resistance.","Asphalt; Bitumen; Epoxy asphalt; Interlayer characteristics; Pavement; Structural performance; Tack coat","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:cb52ff20-8113-430d-a659-3b45c61233b1","http://resolver.tudelft.nl/uuid:cb52ff20-8113-430d-a659-3b45c61233b1","Optimization of the calcium alginate capsules for self-healing asphalt","Xu, S. (TU Delft Materials and Environment); Tabakovic, A. (TU Delft Materials and Environment; University College Dublin; Technological University Dublin); Liu, X. (TU Delft Pavement Engineering); Palin, D. (TU Delft Materials and Environment; Cornell University); Schlangen, E. (TU Delft Materials and Environment)","","2019","It has been demonstrated that calcium alginate capsules can be used as an asphalt healing system by pre-placing rejuvenator (healing agent) into the asphalt mix and releasing the rejuvenator on demand (upon cracking). This healing mechanism relies on the properties of capsules which are determined by the capsule preparation process. In this study, to optimize the calcium alginate capsules, capsules are prepared using varying Alginate/Rejuvenator (A/R) ratios. Light microscope microscopy and Environmental Scanning Electron Microscope (ESEM) are employed to characterize the morphology and microstructure of these capsules. Thermal stability and mechanical property are investigated by thermogravimetric analysis (TGA) and compressive tests. The testing results indicate that higher alginate content results in smaller diameter and lower thermal resistance, but higher compressive strength. The optimum A/R ratio of calcium alginate capsules is found to be 30/70. To prove the effectiveness of the optimized capsules, the capsules are embedded in asphalt mortar beams and a bending and healing program is carried out. The effect of capsule shell material on the mechanical response of asphalt mixture is evaluated through three-point bending on the mortar beams embedded with blank capsules (without the healing agent). Aged mortar beams containing alginate capsules encapsulating rejuvenator demonstrate a higher strength recovery after bending tests, which indicates effective healing due to the release of the rejuvenators from the capsules.","Alginate; Asphalt; Capsules; Rejuvenation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a18674ca-abc2-4114-985b-26fa324249bb","http://resolver.tudelft.nl/uuid:a18674ca-abc2-4114-985b-26fa324249bb","Interface-Engineered Li7La3Zr2O12-Based Garnet Solid Electrolytes with Suppressed Li-Dendrite Formation and Enhanced Electrochemical Performance","Zhang, Zhaoshuai (Yanshan University); Zhang, Long (Yanshan University); Liu, Yanyan (Yanshan University); Wang, Hongqiang (Hebei University); Yu, C. (TU Delft RST/Storage of Electrochemical Energy); Zeng, Hong (China Iron & Steel Research Institute Group); Wang, L. (Yanshan University); Xu, B. (Yanshan University)","","2018","High grain-boundary resistance, Li-dendrite formation, and electrode/Li interfacial resistance are three major issues facing garnet-based solid electrolytes. Herein, interfacial architecture engineering by incorporating 1-butyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl) imide (BMP-TFSI) ionic liquid into a garnet oxide is proposed. The “soft” continuous BMP-TFSI coating with no added Li salt generates a conducting network facilitating Li+ transport and thus changes the ion conduction mode from point contacts to face contacts. The compacted microstructure suppresses Li-dendrite growth and shows good interfacial compatibility and interfacial wettability toward Li metal. Along with a broad electrochemical window larger than 5.5 V and an Li+ transference number that practically reaches unity, LiNi0.8Co0.1Mn0.1O2/Li and LiFePO4/Li solid-state batteries with the hybrid solid electrolyte exhibit superior cycling stability and low polarization, comparable to those with commercial liquid electrolytes, and excellent rate capability that is better than those of Li-salt-based ionic-liquid electrolytes.","batteries; garnet; interfaces; ionic liquids; solid electrolytes","en","journal article","","","","","","Accepted Author Manuscript","","2019-09-07","","","RST/Storage of Electrochemical Energy","","",""
"uuid:8c595179-9f10-4026-be05-2bdaf782021c","http://resolver.tudelft.nl/uuid:8c595179-9f10-4026-be05-2bdaf782021c","Occlusion Handling and Multi-scale Pedestrian Detection Based on Deep Learning: A Review","Li, Fang (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","","2022","Pedestrian detection is an important branch of computer vision, and it has important applications in the fields of autonomous driving, artificial intelligence and video surveillance.With the rapid development of deep learning and the proposal of large-scale datasets, pedestrian detection has reached a new stage and achieves better performance. However, the performance of state-of-the-art methods is far behind the expectation, especially when occlusion and scale variance exist. Therefore, a lot of works focused on occlusion and scale variance have been proposed in the past few years. The purpose of this article is to make a detailed review of recent progress in pedestrian detection. Firstly, brief progress of pedestrian detection in the past two decades is summarized. Secondly, recent deep learning methods focusing on occlusion and scale variance are analyzed. Moreover, the popular datasets and evaluation methods for pedestrian detection are introduced. Finally, the development trend of pedestrian detection is prospected.","Deep learning; Detectors; Feature extraction; Lighting; Object detection; occlusion handling; pedestrian detection; Proposals; Real-time systems; scale variance","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:cdf239b2-bda3-4626-b916-15e3fcd17fa1","http://resolver.tudelft.nl/uuid:cdf239b2-bda3-4626-b916-15e3fcd17fa1","DFT and experimental study of elemental mercury (Hg0) removal by 2D-g-C3N4","Liu, G. (TU Delft Sanitary Engineering; Jiangnan University); Yan, Qun (Jiangnan University); Zhou, Yanan (Sichuan University); Zhang, X. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2021","2D-g-C3N4 nanosheet was prepared and employed for the adsorption of elemental mercury (Hg0). The g-C3N4 was analyzed through X-ray diffraction (XRD), scanning electron microscope (SEM) and Fourier transform infrared spectroscopy (FT-IR) methods, and the results showed that the prepared sample was well-defined 2D-nanosheet. The 2D-g-C3N4 sorbent exhibited a high Hg0 removal efficiency (> 90%) at the condition of temperature 120 °C. To investigate the mechanism of Hg0 adsorption on the 2D-g-C3N4 surface, corresponding theoretical exploration based on the first principle prediction and X-ray photoelectron spectroscopy (XPS) test was implemented. The DFT calculation results showed that Hg0 was strongly bound to the B1 site of the g-C3N4 surface with an adsorption energy change of -162.2 kJ mol−1, the equilibrium distance of Hg-C was 3.473 Å, and electron transfer between Hg and C atoms was 0.02. The results of XPS showed the main species of mercury was HgO on the surface of 2D-g-C3N4 sample and the interaction between C3N4 surface and Hg0 was physisorption. This study provides a demonstration of proof-of-concept demonstration that g-C3N4 is a promising sorbent capable of capturing Hg0, and presents in-depth understanding of Hg0 adsorption mechanism on 2D-g-C3N4 sorbent.","Adsorption; CN; DFT; Elemental mercury","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:7b8b833c-9b76-4855-ae82-2208e18af2e9","http://resolver.tudelft.nl/uuid:7b8b833c-9b76-4855-ae82-2208e18af2e9","Effect of the location pattern of rural residential buildings on natural ventilation in mountainous terrain of central China","Xie, Mingjing (Central South University China); Wang, Yuran (Central South University China); Liu, Zhengxuan (TU Delft Design & Construction Management; Central South University China; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","The use of natural ventilation in buildings to reduce the energy consumption and CO2 emission has been widely investigated and practiced, but few existing studies have considered the exploration and assessment of natural ventilation in different location patterns of rural residential buildings in the mountainous terrain of China. In this paper, the representative rural residential buildings are firstly selected in Huarong, Pingjiang and Liuyang regions of northern Hunan Province to carry out on-site survey works to determine building types, physical parameters and layout forms. Then, the wind tunnel experiments are carried out to investigate the effectiveness of natural ventilation under different location patterns, and the monitored results are compared with simulated data. The results show that the experiments and simulations are in satisfactory agreement. The experimental data also indicate that when the modelled distance of 120 mm (i.e. 12 m between the building and hilly terrain in practical application) is the best option for building natural ventilation. Based on the investigation and statistical data, the natural ventilation effectiveness under different location patterns and operational conditions is simulated using CFD methods, and it is obtained the most favourable location pattern for natural ventilation. The results show that the winter ventilation of buildings in the existing location pattern is significantly obstructed in the hilly terrain, which is favourable to the indoor thermal environment, however, the natural ventilation is compromised to a certain extent in summer. Furthermore, the findings also show that, regardless of the hilly terrain's height at 50 m or 150 m, the buildings are able to avoid natural ventilation in winter to the maximum extent when the distance between the buildings and the frontier of the hilly terrain is double that of the building height (i.e. 12 m). This study could contribute to theoretical instructions for optimum design of natural ventilation of rural residential buildings in the mountainous terrain of central China.","CFD simulation; Hilly terrains; Location pattern; Natural ventilation; Rural residential buildings; Wind tunnel experiment","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:8a5b73b4-de02-4b99-95e7-424b83e4e7c7","http://resolver.tudelft.nl/uuid:8a5b73b4-de02-4b99-95e7-424b83e4e7c7","Enhanced Activity of Integrated CO2Capture and Reduction to CH4under Pressurized Conditions toward Atmospheric CO2Utilization","Kosaka, Fumihiko (National Institute of Advanced Industrial Science and Technology (AIST)); Liu, Yanyong (National Institute of Advanced Industrial Science and Technology (AIST)); Chen, Shih Yuan (National Institute of Advanced Industrial Science and Technology (AIST)); Mochizuki, Takehisa (National Institute of Advanced Industrial Science and Technology (AIST)); Takagi, Hideyuki (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Kuramoto, Koji (National Institute of Advanced Industrial Science and Technology (AIST))","","2021","A desirable process for realizing a low-carbon society is the direct conversion of dilute CO2 from flue gases or air into highly concentrated hydrocarbons without a need for separate CO2 capture and purification processes. In this study, we investigated the performance of integrated CO2 capture and reduction to CH4 over Ni-based dual-functional catalysts promoted with Na, K, and Ca. Ni/Na-γ-Al2O3 exhibited the highest activity for integrated CO2 (5% CO2) capture and reduction, achieving high CO2 conversion (>96%) and CH4 selectivity (>93%). In addition, very low-concentration CO2 (100 ppm CO2) was successfully converted to 11.5% CH4 at the peak point (>1000 times higher concentration than that of the supplied CO2) over Ni/Na-γ-Al2O3. The Ni-based dual-functional catalyst exhibited a high CO2 conversion exceeding 90%, even when 20% O2 was present during CO2 capture. Furthermore, an increased operation pressure had positive impacts on both CO2 capture and CH4 formation, and these advantageous effects were also observed when CO2 concentration was at the level of atmospheric CO2 (100-400 ppm). As the pressure increased from 0.1 to 0.9 MPa, CH4 production capacity with 400 ppm CO2 was enhanced from 111 to 160 μmol gcat-1. This approach in combination with the efficient catalyst shows encouraging potential for CO2 utilization, enabling direct air capture-conversion to value-added chemicals.","CO2 capture; CO2reduction; CO2utilization; direct air capture; dual-functional catalyst; methanation","en","journal article","","","","","","Accepted Author Manuscript","","2022-02-24","","","ChemE/Catalysis Engineering","","",""
"uuid:c25ae58d-35d9-4695-a4d4-77ef6a1afdc4","http://resolver.tudelft.nl/uuid:c25ae58d-35d9-4695-a4d4-77ef6a1afdc4","An investigation on the fatigue performance of cracked steel plates reinforced with FRP and stop hole","Liu, Zhiping (Wuhan University of Technology; Ministry of Education Hangzhou); Li, Z. (TU Delft Support Marine and Transport Techology; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Huang, Chuanhai (Wuhan University of Technology); Jiang, X. (TU Delft Transport Engineering and Logistics)","","2021","In this paper, we implement the combined method of drilling stop hole and FRP reinforcement to repair cracked steel plates subjected to cyclic tension. The crack initiation and growth are experimentally investigated. The stress distribution at the stop hole and the residual fatigue life are evaluated by the FEM. The effects on prolonging residual fatigue life are analyzed. The results show that the effectiveness of only using the stop hole is limited, while the combined method has dramatically prolonged the residual fatigue life. The effect mainly owes to the increasing of the crack initiation life from the stop hole.","Crack initiation; drilling stop hole; fatigue life; fatigue performance; FRP reinforcement","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:10d459e7-f0f2-4e1a-918b-3fe5a2e31090","http://resolver.tudelft.nl/uuid:10d459e7-f0f2-4e1a-918b-3fe5a2e31090","Ship motion control based on AMBPS-PID algorithm","Wang, L. (Wuhan University of Technology); Wu, Qing (Wuhan University of Technology); Liu, Jialun (National Engineering Research Center for Water Transport Safety (WTSC); Wuhan University of Technology); Li, Shijie (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2019","Intelligent motion control is one of the key technologies of ships. This paper studies the application of Adaptive Mutation Beetle Particle Swarm (AMBPS)-PID algorithm in ship motion control. Firstly, the ship MMG model is established. Then the BAS algorithm is introduced, and AMBPS algorithm is improved and designed on this basis. Secondly, ship heading and path following controllers are designed according to the algorithm, and rudder turning rate constraint is introduced to limit the rudder angle. Thirdly, through the test function effect analysis of AMBPS and other similar algorithms, the improved effect of this algorithm is verified. Finally, from manual tuning PID parameters to off-line and on-line optimizing parameters based on AMBPS algorithm, the optimal control parameters are obtained step by step, and the optimal heading and path following simulation results are achieved. Compared with the results of traditional PID, AMBPS-PID algorithm has a better adaptive control effect on ship motion control, reduces the error of manual tuning parameters and improves efficiency.","Motion control; heading control; path following; AMBPS-PID algorithm","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:cead848a-d779-489f-a925-815800b92157","http://resolver.tudelft.nl/uuid:cead848a-d779-489f-a925-815800b92157","Unraveling the critical indicators for evaluating the high-temperature performance of rejuvenator-aged bitumen blends","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","This study aims to systematically investigate the influence of rejuvenator type/dosage and the aging degree of bitumen on the rutting resistance, flow behavior, and elastic/creep potential of rejuvenated bitumen at high temperatures. The rutting parameter (G*/sinδ), rutting failure temperature (RFT) from Linear viscoelastic test (LVE), zero-shear viscosity (ZSV) from flow test,
recovery percentage (R0.1, R3.2), creep compliance (Jnr0.1, Jnr3.2), and stress sensitivity parameters (Rdiff, Jnrslope) from multiple stress creep and recovery (MSCR) tests of rejuvenated bitumen are characterized. The results reveal that bio-oil rejuvenator weakens the high-temperature performance of aged bitumen maximally, followed by engine-oil and naphthenic-oil, while aromatic-oil
rejuvenated bitumen exhibits the best rutting, flow, and creep resistance. The RFT index can most effectively evaluate and differentiate the rejuvenation efficiency of various rejuvenators on the high-temperature performance, which correlates well with ZSV, R3.2, Jnr0.1, Jnr3.2, Rdiff, and Jnrslope indices. Therefore, the RFT index is recommended as the critical indicator for evaluating.
the high-temperature performance of rejuvenated binders. The flow and MSCR characteristics of rejuvenated bitumen can be predicted based on RFT values. The determination of critical indicators is beneficial to compare the rejuvenation effectiveness of variable rejuvenators on the high-temperature performance of aged bitumen.","High-temperature performance; Critical evaluation indicators; Rejuvenated bitumen; Rejuvenator type/dosage; Bitumen aging level","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:08d28e81-5179-4b4b-84bf-2d497de11e0b","http://resolver.tudelft.nl/uuid:08d28e81-5179-4b4b-84bf-2d497de11e0b","Effect of mineral fillers on epoxy-modified open-graded porous asphalt durability","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2023","Epoxy-asphalt (EA) attracted the attention of road authorities in many countries as a solution for open-graded porous surface layers with enhanced durability and longevity. This research presents an experimental programme to assess the durability of epoxy-modified open-graded porous asphalt (EMOGPA) mixes, emphasising the effects of the reactivity of two mineral fillers on mixes containing various EA proportions. Results indicate that the EMOGPA mixes have shown a high sensitivity to the conditioning time before compaction (aka. preconditioning). The materials produced exclusively by EA are the most sensitive to preconditioning, reducing their water and ravelling resistance with increased preconditioning time lengths. The number of gyrations has also been proven as an efficient quantity of the compaction effort required to reach the target mix properties. Moreover, the proportional increase of EA in mixes led to substantially improved durability. Hydrated lime in epoxy-modified asphalt mixes also affected their mechanical response. The indirect tensile strength and toughness of EA mixes were higher than other mixes, while mixes with limestones were stronger and tougher than those with hydrated lime. This attribute reflects the positive contribution of apolar fillers to strengthen and toughen the EA mixes.","Open-graded porous asphalt; epoxy asphalt; filler; durability; longevity; sustainability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:aa3824ca-3432-4dde-a363-16b4c30c3309","http://resolver.tudelft.nl/uuid:aa3824ca-3432-4dde-a363-16b4c30c3309","Recovering Power Grids Using Strategies Based on Network Metrics and Greedy Algorithms","Wang, F. (TU Delft Network Architectures and Services); Cetinay, Hale (Stedin); He, Zhidong (DS Information Technology); Liu, L. (TU Delft Intelligent Electrical Power Grids); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","","2023","For this study, we investigated efficient strategies for the recovery of individual links in power grids governed by the direct current (DC) power flow model, under random link failures. Our primary objective was to explore the efficacy of recovering failed links based solely on topological network metrics. In total, we considered 13 recovery strategies, which encompassed 2 strategies based on link centrality values (link betweenness and link flow betweenness), 8 strategies based on the products of node centrality values at link endpoints (degree, eigenvector, weighted eigenvector, closeness, electrical closeness, weighted electrical closeness, zeta vector, and weighted zeta vector), and 2 heuristic strategies (greedy recovery and two-step greedy recovery), in addition to the random recovery strategy. To evaluate the performance of these proposed strategies, we conducted simulations on three distinct power systems: the IEEE 30, IEEE 39, and IEEE 118 systems. Our findings revealed several key insights: Firstly, there were notable variations in the performance of the recovery strategies based on topological network metrics across different power systems. Secondly, all such strategies exhibited inferior performance when compared to the heuristic recovery strategies. Thirdly, the two-step greedy recovery strategy consistently outperformed the others, with the greedy recovery strategy ranking second. Based on our results, we conclude that relying solely on a single metric for the development of a recovery strategy is insufficient when restoring power grids following link failures. By comparison, recovery strategies employing greedy algorithms prove to be more effective choices.","power grids; network resilience; network recoverability","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Network Architectures and Services","","",""
"uuid:0098f031-85a3-4aec-9bdf-9ee453e7b870","http://resolver.tudelft.nl/uuid:0098f031-85a3-4aec-9bdf-9ee453e7b870","Towards critical low-temperature relaxation indicators for effective rejuvenation efficiency evaluation of rejuvenator-aged bitumen blends","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","The relaxation behavior affected by aging and rejuvenation plays a crucial role in its low-temperature cracking potential of bitumen. However, there are limited studies on the relaxation performance of rejuvenated bitumen under different rejuvenation conditions. This paper aims to propose critical indicators to assess the rejuvenation efficiency of low-temperature relaxation performance of various rejuvenated binders. The effects of rejuvenator type/dosage and aging level on relaxation parameters are investigated. The τ50s, t25%, and A are recommended as critical indicators based on their high sensitivities to influence factors and rejuvenation percentage scope. Additionally, molecular dynamic simulation outputs on virgin/aged bitumen and rejuvenators explain the difference in rejuvenation effectiveness of different rejuvenators. The results show that bio-oil rejuvenator exhibits the highest efficiency on regenerating the relaxation performance. All relaxation parameters of aged bitumen can be regenerated by adding rejuvenators. MD simulations reveal that the molecular mobility and free volume ratio of rejuvenators mainly cause the difference in rejuvenation efficiency on the relaxation property between various rejuvenators. The diffusion capacity of rejuvenator shows a more dominant effect on rejuvenation efficiency than fractional free volume.","Critical relaxation indicator; Rejuvenator type/dosage; Aging degree; Free volume ratio; Molecular mobility; Molecular dynamics simulation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4e67290a-dd15-4d21-8cb8-7cc3b549ff54","http://resolver.tudelft.nl/uuid:4e67290a-dd15-4d21-8cb8-7cc3b549ff54","Vacuum Deposited Perovskites with a Controllable Crystal Orientation","Yan, J. (TU Delft Photovoltaic Materials and Devices); Stickel, L.S. (TU Delft Photovoltaic Materials and Devices; Georg-August-University); van den Hengel, L. (TU Delft ChemE/Opto-electronic Materials); Wang, H. (TU Delft Photovoltaic Materials and Devices); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol); Kooijman, A.M. (TU Delft Team Arjan Mol); Liu, X. (TU Delft ChemE/O&O groep); Ibrahim, B. (TU Delft ChemE/O&O groep); Mol, J.M.C. (TU Delft Team Arjan Mol); Taheri, P. (TU Delft Team Peyman Taheri); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","The preferential orientation of the perovskite (PVK) is typically accomplished by manipulation of the mixed cation/halide composition of the solution used for wet processing. However, for PVKs grown by thermal evaporation, this has been rarely addressed. It is unclear how variation in crystal orientation affects the optoelectronic properties of thermally evaporated films, including the charge carrier mobility, lifetime, and trap densities. In this study, we use different intermediate annealing temperatures Tinter between two sequential evaporation cycles to control the Cs0.15FA0.85PbI2.85Br0.15 orientation of the final PVK layer. XRD and 2D-XRD measurements reveal that when using no intermediate annealing primarily the (110) orientation is obtained, while when using Tinter = 100 °C a nearly isotropic orientation is found. Most interestingly for Tinter > 130 °C a highly oriented PVK (100) is formed. We found that although bulk electronic properties like photoconductivity are independent of the preferential orientation, surface related properties differ substantially. The highly oriented PVK (100) exhibits improved photoluminescence in terms of yield and lifetime. In addition, high spatial resolution mappings of the contact potential difference (CPD) as measured by KPFM for the highly oriented PVK show a more homogeneous surface potential distribution than those of the nonoriented PVK. These observations suggest that a highly oriented growth of thermally evaporated PVK is preferred to improve the charge extraction at the device level.","","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:3f03a867-540d-4805-94df-cca69cca5173","http://resolver.tudelft.nl/uuid:3f03a867-540d-4805-94df-cca69cca5173","Convex economic model predictive control for blade loads mitigation on wind turbines","Pamososuryo, A.K. (TU Delft Team Jan-Willem van Wingerden); Liu, Y. (TU Delft Team Riccardo Ferrari); Gybel Hovgaard, Tobias (Vestas Technology R&D); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Economic model predictive control (EMPC) has received increasing attention in the wind energy community due to its ability to trade-off economic objectives with ease. However, for wind turbine applications, inherent nonlinearities, such as from aerodynamics, pose difficulties in attaining a convex optimal control problem (OCP), by which real-time deployment is not only possible but also a globally optimal solution is guaranteed. A variable transformation can be utilized to obtain a convex OCP, where nominal variables, such as rotational speed, pitch angle, and torque, are exchanged with an alternative set in terms of power and energy. The ensuing convex EMPC (CEMPC) possesses linear dynamics, convex constraints, and concave economic objectives and has been successfully employed to address power control and tower fatigue alleviation. This work focuses on extending the blade loads mitigation aspect of the CEMPC framework by exploiting its individual pitch control (IPC) capabilities, resulting in a novel CEMPC-IPC technique. This extension is made possible by reformulating static blade and rotor moments in terms of individual blade aerodynamic powers and rotational kinetic energy of the drivetrain. The effectiveness of the proposed method is showcased in a mid-fidelity wind turbine simulation environment in various wind cases, in which comparisons with a basic CEMPC without load mitigation capability and a baseline IPC are made.","blade loads mitigation; convex economic model predictive control; economic objectives trade-off; individual pitch control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:2da075cf-7593-4cea-82cb-6d940e074690","http://resolver.tudelft.nl/uuid:2da075cf-7593-4cea-82cb-6d940e074690","Analysis and multi-objective optimisation of wind turbine torque control strategies","Brandetti, L. (TU Delft Wind Energy); Mulders, S.P. (TU Delft Team Mulders); Liu, Y. (TU Delft Team Riccardo Ferrari); Watson, S.J. (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","The combined wind speed estimator and tip-speed ratio (WSE–TSR) tracking wind turbine control scheme has seen recent and increased traction from the wind industry. The modern control scheme provides a flexible trade-off between power and load objectives. On the other hand, the Kω2 controller is often used based on its simplicity and steady-state optimality and is taken as a baseline here. This paper investigates the potential benefits of the WSE–TSR tracking controller compared to the baseline by analysis through a frequency-domain framework and by optimal calibration through a systematic procedure. A multi-objective optimisation problem is formulated for calibration with the conflicting objectives of power maximisation and torque fluctuation minimisation. The optimisation problem is solved by approximating the Pareto front based on the set of optimal solutions found by an explorative search. The Pareto fronts were obtained by mid-fidelity simulations with the National Renewable Energy Laboratory (NREL) 5 MW turbine under turbulent wind conditions for calibration of the baseline and for increasing fidelities of the WSE–TSR tracking controller. Optimisation results show that the WSE–TSR tracking controller does not provide further benefits in energy capture compared to the baseline Kω2 controller. There is, however, a trade-off in torque control variance and power capture with control bandwidth. By lowering the bandwidth at the expense of generated power of 2 %, the torque actuation effort reduces by 80 % with respect to the optimal calibration corresponding to the highest control bandwidth.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:051ee745-9af4-42c8-9bfb-339c9b9003d1","http://resolver.tudelft.nl/uuid:051ee745-9af4-42c8-9bfb-339c9b9003d1","Insight into the critical evaluation indicators for fatigue performance recovery of rejuvenated bitumen under different rejuvenation conditions","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","This study aims to propose critical fatigue indicators for evaluating the restoration effectiveness of various rejuvenators on the fatigue performance of aged bitumen. The influence factors of rejuvenator type/dosage and aging degree of bitumen are involved, and different fatigue parameters from linear viscoelastic (LVE), linear amplitude sweep (LAS), and time sweep (TS) tests are analysed. The results reveal that bio-oil exhibits the greatest rejuvenation efficiency in improving fatigue life of aged bitumen, followed by engine-oil and naphthenic-oil rejuvenators, while aromatic-oil shows the lowest effect. Moreover, the rejuvenation percentages on fatigue parameters of rejuvenated bitumen enhance significantly with increased rejuvenator dosage but weaken as the aging level deepens. The fatigue failure temperature (FFT) from the LVE test, fatigue life (Nf5), peak strain (ɛsr), elastic modulus (E) from the LAS test, and crack width (C500) from the TS test are recommended as critical indicators for fatigue performance evaluation of rejuvenated binders. Further, the crack width greatly correlates with other essential indicators and can be predicted using correlation equations without conducting the time-consuming time sweep test. The difference in these critical fatigue parameters of various rejuvenator-aged bitumen blends provides the macroscale basis for future nanoscale mechanism exploration and superior rejuvenator development.","Rejuvenated bitumen; Fatigue life recovery; Rejuvenator type/dosage; Critical evaluation indicators; Potential correlations","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:eef524d4-662c-40e8-8426-6c9eb826d7a3","http://resolver.tudelft.nl/uuid:eef524d4-662c-40e8-8426-6c9eb826d7a3","Aging and rejuvenation effects on the rheological response and chemical parameters of bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Khalighi, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","The rejuvenation efficiency of aged bitumen is the main concern when developing rejuvenating agents. It is necessary to develop a method to assess the efficiency of rejuvenators using rheological parameters in the whole frequency region. To this end, the 2S2P1D micromechanics model is adopted to fit the entire G∗ master curves of various rejuvenated bitumen, and the influence of rejuvenator type/dosage and aging grade of bitumen on the whole G∗ master curve and chemical indices are investigated. Critical parameters for evaluating rejuvenation efficiency derived from viscoelastic models and chemical characterizations are proposed. Furthermore, the potential relationships between the rheological model-based parameters (E ∞, δ, β, and τ) and chemical indices (carbonyl index CI and sulfoxide index SI) are explored. The results indicate that rejuvenators restore the δ, τ, CI, and SI values of aged bitumen towards the virgin bitumen level. The E ∞ parameter is not applicable to evaluate the rejuvenation efficiency of engine-oil and naphthenic-oil rejuvenators, but the positive E ∞R values of bio-oil and aromatic-oil rejuvenated bitumen are detected. All rejuvenators fail to restore the β parameter of aged bitumen. The τ and CI parameters are selected as critical evaluation indicators from the perspective of viscoelastic models and chemical characteristics. Linear correlations between all rheological parameters and chemical indices are observed and established.","Rejuvenation efficiency; Critical evaluation indicator; 2S2P1D model; Chemical index; Rejuvenator type and dosage; Aging degree of bitumen","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:92dafb38-b093-4fda-b8ac-21f24641a977","http://resolver.tudelft.nl/uuid:92dafb38-b093-4fda-b8ac-21f24641a977","Investigating the rheological properties and compatibility behaviours of RET/PE and WR/CR/ SBS compound-modified bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Gao, Y. (Liverpool John Moores University); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","Two types of elastomer/plastic compound-modified bitumen were developed by means of incorporating the reactive elastomeric terpolymer (RET) into the plastic (high-density polyethylene HDPE or recycled polyethylene RPE) modified bitumen and adding the wax residue (WR) into the bitumen/elastomer (crumb rubber CR or styrene–butadiene–styrene SBS) blends. The rheological properties, morphology microstructure and storage stability of these novel elastomer/plastic compound-modified binders were characterised. The results revealed that RET elastomer positively improved the high-temperature modulus, temperature insensitivity, rut resistant, elastic recovery and shear-resistance of HDPE- and RPE-modified bitumen. However, excessive RET dosage adversely influenced the cracking resistance of plastic-modified bitumen, and its optimum dosage was recommended as 1 wt%. Moreover, RET elastomer significantly strengthened the storage stability of HDPE and RPE-modified binders. The elasticity improvement effect of RET was attributed to the generated polymer network. On the other hand, adding WR limitedly deteriorated the rutting resistance and weakened the elastic recovery performance of elastomer (CR and SBS) modified bitumen. To ensure the low-temperature performance, the optimum level of WR was 2 wt%. Furthermore, the addition of WR promoted the compatibility and dispersion of CR and SBS modifiers in bitumen.","Waste utilisation; compound modified bitumen; elastic/plastic performance improvement; rheological characterisation; rheological characterisation;","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:dfd71d78-344e-4833-bb7a-78581443965a","http://resolver.tudelft.nl/uuid:dfd71d78-344e-4833-bb7a-78581443965a","An exact optimization method for coordinating the arrival times of urban rail lines at a common corridor","Gkiotsalitis, K. (University of Twente); Cats, O. (TU Delft Transport and Planning); Liu, T. (Southwest Jiaotong University); Bult, J. M. (University of Twente)","","2023","The trips of a high-frequency urban rail line are typically planned with the aim of achieving even time headways. This results in reliable services for each urban rail line, where successive trips have the same time headway. Maintaining even time headways for each service line has significant advantages for the passengers of the line, but it might result in safety issues, vehicle bunching, and increased transfer times at a common corridor served by multiple urban rail lines. This study investigates the problem of urban rail corridor coordination and develops an exact optimization method for coordinating the vehicle trips of different lines that serve stations along a joint corridor. The proposed formulation is a non-convex mathematical program which is reformulated as a mixed-integer quadratic program with a convex objective function. A branch-and-bound algorithm coupled with the Active-set method is proposed for solving the model to global optimality. Results from a toy network and a case study of the light rail service in The Hague, The Netherlands, demonstrate the improvement potential of time headways at a common corridor, while accounting for the effect on the variation of time headways at isolated segments of the individual service lines.","Convex optimization; Coordination; Scheduling; Train corridor","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:689854f3-29f3-46c6-9efa-dbaddaff55bc","http://resolver.tudelft.nl/uuid:689854f3-29f3-46c6-9efa-dbaddaff55bc","On a Neural Network to Extract Implied Information from American Options","Liu, S. (TU Delft Numerical Analysis); Leitao Rodriguez, A. (University of A Coruna); Borovykh, Anastasia (University of Bologna); Oosterlee, C.W. (TU Delft Numerical Analysis; Centrum Wiskunde & Informatica (CWI))","","2022","Extracting implied information, like volatility and dividend, from observed option prices is a challenging task when dealing with American options, because of the complex-shaped early-exercise regions and the computational costs to solve the corresponding mathematical problem repeatedly. We will employ a data-driven machine learning approach to estimate the Black-Scholes implied volatility and the dividend yield for American options in a fast and robust way. To determine the implied volatility, the inverse function is approximated by an artificial neural network on the effective computational domain of interest, which decouples the offline (training) and online (prediction) stages and thus eliminates the need for an iterative process. In the case of an unknown dividend yield, we formulate the inverse problem as a calibration problem and determine simultaneously the implied volatility and dividend yield. For this, a generic and robust calibration framework, the Calibration Neural Network (CaNN), is introduced to estimate multiple parameters. It is shown that machine learning can be used as an efficient numerical technique to extract implied information from American options, particularly when considering multiple early-exercise regions due to negative interest rates.","","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:2a8da9b3-0d1b-4c90-8f6f-a3fd8f6f654c","http://resolver.tudelft.nl/uuid:2a8da9b3-0d1b-4c90-8f6f-a3fd8f6f654c","Diffusion across particle-laden interfaces in Pickering droplets","Liu, Y. (TU Delft ChemE/Transport Phenomena); Xu, M. (Student TU Delft); Portela, L. (TU Delft ChemE/Transport Phenomena); Garbin, V. (TU Delft ChemE/Transport Phenomena)","","2023","Emulsions stabilized by nanoparticles, known as Pickering emulsions, exhibit remarkable stability, which enables applications ranging from encapsulation, to advanced materials, to chemical conversion. The layer of nanoparticles at the interface of Pickering droplets is a semi-permeable barrier between the two liquid phases, which can affect the rate of release of encapsulates, and the interfacial transfer of reactants and products in biphasic chemical conversion. A gap in our fundamental understanding of diffusion in multiphase systems with particle-laden interfaces currently limits the optimal development of these applications. To address this gap, we developed an experimental approach for in situ, real-time quantification of concentration fields in Pickering droplets in a Hele-Shaw geometry and investigated the effect of the layer of nanoparticles on diffusion of solute across a liquid–liquid interface. The experiments did not reveal a significant hindrance on the diffusion of solute across an interface densely covered by nanoparticles. We interpret this result using an unsteady diffusion model to predict the spatio-temporal evolution of the concentration of solute with a particle-laden interface. We find that the concentration field is only affected in the immediate vicinity of the layer of particles, where the area available for diffusion is affected by the particles. This defines a characteristic time scale for the problem, which is the time for diffusion across the layer of particles. The far-field concentration profile evolves towards that of a bare interface. This localized effect of the particle hindrance is not measurable in our experiments, which take place over a much longer time scale. Our model also predicts that the hindrance by particles can be more pronounced depending on the particle size and physicochemical properties of the liquids and can ultimately affect performance in applications.","","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:fd17cbeb-620f-45f1-907f-6585342afa38","http://resolver.tudelft.nl/uuid:fd17cbeb-620f-45f1-907f-6585342afa38","Safety Analysis and Condition Assessment of Corroded Energy Pipelines under Landslide Disasters","Zhang, Peng (Southwest Petroleum University); Liu, Wei (Southwest Petroleum University); Liu, Siming (Southwest Petroleum University); Tian, Xu (Southwest Petroleum University); Li, Yimiao (Southwest Petroleum University); Huang, Y. (TU Delft Safety and Security Science)","","2023","Corrosion poses a significant risk to the safety of energy pipelines, while landslide disasters emerge as the primary threat responsible for triggering pipeline failures across mountainous areas. To date, there is limited research focused on the safety of energy pipelines considering the synergistic effect of corrosion and landslides. The present study proposes a finite element (FE)-based model to assess the condition of corroded pipelines under landslides. The effects of corrosion dimensions (length and depth) and location are determined. A novel equation is finally developed to predict the maximum stress and determine the most disadvantageous position for corroded pipelines under various landslide displacements. The results demonstrate that (1) as the landslide progresses, the pipeline’s stress significantly increases; (2) corrosion depth has a more significant impact on the pipeline condition than the corrosion length, and it is positively correlated with the pipe’s stress; (3) the maximum stress exhibits a nonlinear relationship with the landslide-facing position and the corrosion circumferential location; and (4) when the axial position of the corrosion is more than 6.5 m away from the center of the landslide, the location of maximum stress shifts from the corrosion region to the central section of the pipeline within the landslide. This work contributes to helping pipeline owners to understand the applicability of energy pipelines subjected to the combined effects of corrosion and landslides and provides support for future risk assessment efforts in pipeline integrity management.","energy pipelines; corrosion; landslides; safety analysis; condition assessment","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e8b0d8a2-4c7e-42d4-9226-d33aaacbcc4e","http://resolver.tudelft.nl/uuid:e8b0d8a2-4c7e-42d4-9226-d33aaacbcc4e","Greedy Sensor Selection: Leveraging Submodularity Based on Volume Ratio of Information Ellipsoid","Liu, Lingya (East China Normal University); Hua, Cunqing (Shanghai Jiao Tong University); Xu, Jing (East China Normal University); Leus, G.J.T. (TU Delft Signal Processing Systems); Wang, Yiyin (Shanghai Jiao Tong University)","","2023","This article focuses on greedy approaches to select the most informative k sensors from N candidates to maximize the Fisher information, i.e., the determinant of the Fisher information matrix (FIM), which indicates the volume of the information ellipsoid (VIE) constructed by the FIM. However, it is a critical issue for conventional greedy approaches to quantify the Fisher information properly when the FIM of the selected subset is rank-deficient in the first (n-1) steps, where n is the problem dimension. In this work, we propose a new metric, i.e., the Fisher information intensity (FII), to quantify the Fisher information contained in the subset S with respect to that in the ground set N specifically in the subspace spanned by the vectors associated with S. Based on the FII, we propose to optimize the ratio between VIEs corresponding to S and N. This volume ratio is composed of a nonzero (i.e., the FII) and a zero part. Moreover, the volume ratio can be easily calculated based on a change of basis. A cost function is developed based on the volume ratio and proven monotone submodular. A greedy algorithm and its fast version are proposed accordingly to guarantee a near-optimal solution with a complexity of O Nkn-3 and O Nkn2, respectively. Numerical results demonstrate the superiority of the proposed algorithms under various measurement settings.","Greedy sensor selection; Fisher information intensity; change of basis; volume ratio; submodularity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Signal Processing Systems","","",""
"uuid:2dd00154-91bf-414f-97d1-5c227c5d1934","http://resolver.tudelft.nl/uuid:2dd00154-91bf-414f-97d1-5c227c5d1934","考虑室内呼吸暴露风险的人群引流控制策略研究","Liang, Benbu (TU Delft System Engineering; Wuhan University of Technology); Xie, Kefan (Wuhan University of Technology); van der Wal, C.N. (TU Delft System Engineering); Liu, Jia (Zhongnan University of Economics and Law)","","2022","In order to explore impacts of crowd intervention strategies on indoor respiratory exposure risks during major pandemics, a variety of crowd motion scenarios were established in general indoor conditions based on improved pedestrian dynamics model and respiratory infection probability model. Then, multi-agent simulation technology was utilized to simulate impacts of strategies, including protection optimization, pedestrian flow optimization and route optimization, on the exposure risks. The results show that indoor respiratory exposure risks are mainly determined by total pedestrian flow, individuals’ stay length, movement route planning and duration of stay in contaminated areas. The carryover effect will be formed due to pedestrians’ obedience behavior of social distancing, which will further increase exposure time to contaminated areas. The lower pathogen permeability of masks, and the greater space ventilation are, the lower infection probability the crowd will face.","crowd intervention strategy; indoor breathing; pedestrian dynamics; respiratory exposure risk; respiratory infection; scenario simulation","zh","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:3489ec77-08da-4ced-a81e-2388034aee5e","http://resolver.tudelft.nl/uuid:3489ec77-08da-4ced-a81e-2388034aee5e","Internal shear layer and vortex shedding development of a structured porous coated cylinder using tomographic particle image velocimetry","Arcondoulis, E.J.G. (University of Bristol); Liu, Y. (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Sedaghatizadeh, N. (University of Adelaide); Yang, Y. (Southern University of Science and Technology); Li, Z. (Southern University of Science and Technology)","","2023","Vortex shedding in the wake of a cylinder in uniform flow can be suppressed via the application of a porous coating; however, the suppression mechanism is not fully understood. The internal flow field of a porous coated cylinder (PCC) can provide a deeper understanding of how the flow within the porous medium affects the wake development. A structured PCC (SPCC) was three-dimensionally printed using a transparent material and tested in water tunnel facilities using flow visualisation and tomographic particle image velocimetry at outer-diameter Reynolds numbers of and, respectively. The internal and near-wall flow fields are analysed at the windward and mid-circumference regions. Flow stagnation is observed in the porous layer on the windward side and its boundary is shown to fluctuate with time in the outermost porous layer. This stagnation region generates a quasi-aerodynamic body that influences boundary layer development on the SPCC inner diameter, that separates into a shear layer within the porous medium. For the first time via experiment, spectral content within the separated shear layer reveals vortex shedding processes emanating through single pores at the outer diameter, providing strong evidence that SPCC vortex shedding originates from the inner diameter. Velocity fluctuations linked to this vortex shedding propagate through the porous layers into the external flow field at a velocity less than that of the free stream. The Strouhal number linked to this velocity accurately predicts the SPCC vortex shedding frequency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","http://resolver.tudelft.nl/uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","Reverse Recovery Optimization of Multiepi Superjunction MOSFET Based on Tunable Doping Profile","Liu, Ke (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Yuan, Wucheng (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology)","","2023","This paper proposes and simulates research on the reverse recovery characteristics of two novel superjunction (SJ) MOSFETs by adjusting the doping profile. In the manufacturing process of the SJ MOSFET using multilayer epitaxial deposition (MED), the position and concentration of each Boron bubble can be adjusted by designing different doping profiles to adjust the resistance of the upper half P-pillar. A higher P-pillar resistance can slow down the sweep out speed of hole carriers when the body diode is turned off, thus resulting in a smoother reverse recovery current and reducing the current recovery rate (d (Formula presented.) /d (Formula presented.)) from a peak to zero. The simulation results show that the reverse recovery peak current (I (Formula presented.)) of the two proposed devices decreased by 5% and 3%, respectively, compared to the conventional SJ. Additionally, the softness factor (S) increased by 64% and 55%, respectively. Furthermore, this study also demonstrates a trade-off relationship between static and reverse recovery characteristics with the adjustable doping profile, thus providing a guideline for actual application scenarios.","superjunction; MOSFET; doping profile; reverse recovery; body diode","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:1f2ad684-f792-456d-958e-f159f32c9073","http://resolver.tudelft.nl/uuid:1f2ad684-f792-456d-958e-f159f32c9073","Effects of downstream environmental flow release on enhancing the groundwater recharge and restoring the groundwater/surface-water connectivity in Yongding River, Beijing, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University of Geosciences); Zang, Yining (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2023","The Yongding River (Beijing, China) was dry most times of the year, and groundwater storage was severely depleted. To address this issue, a river rehabilitation project was initiated. A downstream environmental flow release (EFR) project from upstream reservoirs has been implemented since 2019. This study evaluated the impact of EFR by constructing transient groundwater-flow and numerical tracer transport models to simulate the hydrogeological responses to the water release events in 2019–2020. The study identified two factors that significantly influence the river leakage rate, which are operational factors (i.e., water release rate and duration) and physical factors (i.e., hydraulic properties of the riverbed, regional hydraulic gradients, and groundwater depth) that determine the maximum water availability for groundwater recharge and maximum infiltration capacity, respectively. Predictive modelling was performed to assess the long-term effects of the proposed EFR scheme from 2021 to 2050, which showed that groundwater levels along the river will increase by 10–20 m by 2050. Groundwater storage is expected to be largely recovered and groundwater/surface-water connectivity in the middle reach of the river will be restored. This restoration will not only maintain the environmental flow for the benefit of ecosystems but also enhance groundwater recharge, promoting sustainable groundwater development in the region. Overall, this study provides valuable insights into the effectiveness of the proposed EFR scheme in achieving sustainable groundwater development in the region.","China; Environmental flow release; Groundwater recharge; Groundwater/surface-water relations; Numerical simulations","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","http://resolver.tudelft.nl/uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","Performance Comparison between Data Centers with Different Airflow Management Technologies","Li, Xueqiang (Tianjin University of Commerce); Zhang, Zhongyao (Tianjin University of Commerce); Wang, Qihui (Tianjin University of Commerce); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Liu, Shengchun (Tianjin University; Tianjin University of Commerce)","","2023","Air cooling systems are widely used in current data centers owing to their low capital costs and high reliability. To satisfy the increasing rack power density, the optimal air-cooling technology and an economic analysis should be carefully discussed. Therefore, this study discusses four airflow management technologies: Case 1: raised floor and cold aisle containment supply/computer room air conditioning (CRAC) direct return; Case 2: CRAC direct supply/hot aisle containment (HAC) return; Case 3: overhead duct supply/CRAC direct return; and Case 4: overhead duct supply/HAC return. Using a validated model, the thermal and economic performances of each case were compared. Results showed that Case 4 exhibited the best thermal performance, followed by Cases 3, 2, and 1. Case 1 cannot satisfy the heat dissipation requirement when the rack power density is larger than 12.5 kW; whereas only Case 4 can be used when the power density is larger than 15 kW. Regarding location within China, owing to the high ambient temperature, Shenzhen showed the highest annual cost value and power usage effectiveness, followed by Shanghai, Xi’an, Beijing, and Harbin. Finally, Cases 3 and 4 are recommended for application when the rack power density is greater than 10 kW.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","Process and Energy","","","",""
"uuid:afcc74cd-ab52-403b-af02-c6315dcefed4","http://resolver.tudelft.nl/uuid:afcc74cd-ab52-403b-af02-c6315dcefed4","Sustainable Assessment Tools for Higher Education Institutions: Developing Two-Hierarchy Tools for China","Du, Yawei Du (Chinese Academy of Sciences); Ye, Qing (Hebei University of Technology); Liu, Hongjiang (Tianjin University); Wu, Yuting (Tianjin University); Wang, F. (TU Delft Network Architectures and Services)","","2023","Higher Education Institutions (HEIs) play an increasingly significant role in the practice of sustainability. For HEIs in their early stages of sustainability, they are still in need of sustainable assessment tools (SATs) that are suitable for their local context and also lead international sustainable development. The purpose of this paper is to develop a two-hierarchy sustainability assessment tool (THSus) for Chinese higher education institutions, including a quick analysis tool (QAT) and an in-depth benchmarking tool (IBT). The QAT provided a general overview of campus sustainability for HEIs to initiate initial actions and screen cases for the IBT. The IBT then provides more targeted analysis to plan long-term strategic changes. Based on the analysis of HEI cases, a 34-person Chinese research team was enlisted to discuss and select characteristics to formulate THSus. Indicators and weightings were developed according to the tool’s purpose and applied to 15 cases to test its effectiveness. Results showed that THSus is suitable for systematically analyzing campus issues, particularly in research areas. It offers a regional solution for Chinese campuses that is adaptable and considers the comprehensive core of sustainability.","campus sustainability; green campuses; higher education institution; sustainable assessment tools; two-hierarchy tools","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:f96d1e48-1212-47aa-aaf3-a291fe45cbe7","http://resolver.tudelft.nl/uuid:f96d1e48-1212-47aa-aaf3-a291fe45cbe7","Correction: Study on the controllability of the fabrication of single-crystal silicon nanopores/nanoslits with a fast-stop ionic current-monitored TSWE method (Microsystems & Nanoengineering, (2023), 9, 1, (63), 10.1038/s41378-023-00532-0)","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Lei, Xin (Beihang University); Chen, Haiyun (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2023","Correction to: Microsystems & Nanoengineering published online 16 May 2023 Correction Following publication of the original article1, it was noticed that the phrase ‘DNA sequencing’ is incorrect, which should be replaced by ‘biosensing’. The original paper has been updated.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a0c99e9b-0c85-4707-b2e9-fdbe0d7750c3","http://resolver.tudelft.nl/uuid:a0c99e9b-0c85-4707-b2e9-fdbe0d7750c3","Unrolling of Simplicial ElasticNet for Edge Flow Signal Reconstruction","Liu, Chengen (Student TU Delft); Leus, G.J.T. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Multimedia Computing)","","2023","The edge flow reconstruction task consists of retreiving edge flow signals from corrupted or incomplete measurements. This is typically solved by a regularized optimization problem on higher-order networks such as simplicial complexes and the corresponding regularizers are chosen based on prior knowledge. Tailoring this prior to the setting of interest can be challenging or it may not even be possible. Thus, we consider to learn this prior knowledge via a model-based deep learning approach. We propose a new regularized optimization problem for the simplicial edge flow reconstruction task, the simplicial ElasticNet, which combines the advantages of the 1 and 2 norms. We solve the simplicial ElasticNet problem via the multi-block alternating direction method of multipliers (ADMM) algorithm and provide conditions on its convergence. By unrolling the ADMM iterative steps, we develop a model-based neural network with a low requirement on the number of training data. This unrolling network replaces the fixed parameters in the iterative algorithm by learnable weights, thus exploiting the neural network s learning capability while preserving the iterative algorithm s interpretability. We enhance this unrolling network via simplicial convolutional filters to aggregate information from the edge flow neighbors, ultimately, improving the network learning expressivity. Extensive experiments on real-world and synthetic datasets validate the proposed approaches and show considerable improvements over both baselines and traditional non-model-based neural networks.","Convolution; Information filters; Laplace equations; Neural networks; Noise measurement; Optimization; Signal processing over higher-order networks; simplicial convolutional filters; Task analysis; topological signal processing","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8c79e3ea-7b18-433f-9e3d-d392e7b5373a","http://resolver.tudelft.nl/uuid:8c79e3ea-7b18-433f-9e3d-d392e7b5373a","Effects of micro-bubble aeration on the pollutant removal and energy-efficient process in a floc-granule sludge coexistence system","Liu, Minghui (Zhengzhou University); Wang, Ju (Zhengzhou University); Peng, Z. (TU Delft Sanitary Engineering; Zhengzhou University)","","2023","To investigate energy-saving approaches in wastewater treatment plants and decrease aeration energy consumption, this study successfully established a floc-granule coexistence system in a sequencing batch airlift reactor (SBAR) employing micro-bubble aeration. The analysis focused on granule formation and pollutant removal under various aeration intensities, and compared its performance with a traditional floc-based coarse-bubble aeration system. The results showed that granulation efficiency was positively associated with aeration intensity, which enhanced the secretion of extracellular polymeric substances (EPSs) and facilitated granule formation. The SBAR with the micro-aeration intensity of 30 mL·min-1 showed the best granulation performance (granulation efficiency 52.6%). In contrast to the floc-based system, the floc-granule coexistence system showed better treatment performance, and the best removal efficiencies of NH4+-N, TN, and TP were 100.0, 77.0, and 89.5%, respectively. The floc-granule coexistence system also enriched higher abundance of nutrients removal microbial species, such as Nitrosomonas (0.05-0.14%), Nitrospira (0.14-2.32%), Azoarcus (2.95-12.17%), Thauera (0.43-1.95%), and Paracoccus (0.76-2.89%). The energy-saving potential was evaluated, which indicated it is feasible for the micro-aeration floc-granule coexistence system to decrease the aeration consumption by 14.4% as well as improve the effluent.","energy saving; granular sludge; micro-bubble; sequencing batch airlift reactor","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:521c61ff-2e1a-4c1a-80dc-05852fe2d38e","http://resolver.tudelft.nl/uuid:521c61ff-2e1a-4c1a-80dc-05852fe2d38e","Do different chemical and rheological properties act as effective and critical indicators for efficiency evaluation of rejuvenated bitumen?","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van Aggelen, M. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","This study investigates the impacts of rejuvenator type/dosage and the aging degree of bitumen on the chemical and rheological properties of rejuvenated bitumen, and propose critical chemo-rheological indicators for evaluating rejuvenation efficiency. Moreover, the potential connections between essential chemical and rheological indices of rejuvenator-aged bitumen blends are explored. Results indicate that chemical indices show linear relationships with rejuvenator dosage and vary depending on the rejuvenator type and aging level of bitumen. All rejuvenators can regenerate certain rheological parameters of aged bitumen to varying degrees, but cannot restore the crossover modulus (Gc). Various rheological indices exhibit different correlations with rejuvenator dosage and sensitivity degrees to the discrepancy in rejuvenator type and aging degree of bitumen. Critical chemical and rheological indicators are proposed based on their sensitivity levels to influence factors, with the aromaticity index (AI), carbonyl index (CI), and sulfoxide index (SI) as effective chemical indices and the complex modulus (G*), crossover frequency (fc), and high-temperature master curve area (AMH) as critical rheological indices for rejuvenation efficiency evaluation. The study finds that the magnitude of rejuvenation efficiency for four rejuvenators is Bio-oil > Engine-oil > Naphthenic-oil > Aromatic-oil, and the linear correlations between the critical chemical and rheological indices, together with their rejuvenation percentages, are significantly affected by the rejuvenator type and aging level of bitumen.","Rejuvenation efficiency; Chemo-rheological performance; Critical evaluation indicators; Rejuvenation mechanism; Potential correlations","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","http://resolver.tudelft.nl/uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","Recycling waste tyre polymer for production of fibre reinforced cemented tailings backfill in green mining","Guo, Z. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Northeastern University); Qiu, Jingping (Northeastern University); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Zhou, Hao (Shougang Group Co., Ltd.); Liu, C. (TU Delft Materials and Environment); Yang, Lei (Johns Hopkins University)","","2023","The increasing amount of solid waste, e.g., waste tyres from car industry and tailings from mine operations, causes substantial environmental and societal issues. The recycled tyre polymer fibre (RTPF) reinforced cemented tailings backfill (CTB) is a kind of composite that can treat waste tyres and tailings simultaneously and realize green mining, but its engineering properties have not been well understood. In this study, the rheology (i.e., static and dynamic yield stress, and structural build-up), strength (i.e., uniaxial and triaxial compressive, splitting tensile and flexural strengths), microstructure, and life cycle of RTPF reinforced CTB are comprehensively evaluated. For comparison, the engineering performance of the commonly used polypropylene fibre (PPF) reinforced CTB in mines is tested. The experimental results demonstrate that incorporating 0.6 wt% RTPF into CTB can achieve comparable fluidity and strength to the CTB reinforced with 0.3 wt% PPF at reduced cost and improved sustainability. A strength enhancement approach for RTPF reinforced CTB is also developed by adjusting the viscosity of suspending CTB before the addition of RTPF. With this approach, the splitting tensile strength increases by 68 %. The results obtained from this study pave the way for promoting the recycling of abandoned waste tyres and the safe design of backfill structures in mines.","Solid waste utilization; Recycled Tyre polymer fibre; Cemented tailings backfill; Rheology; Strength; Microstructure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:f537ef4d-4917-4e2d-a117-dc82f548e495","http://resolver.tudelft.nl/uuid:f537ef4d-4917-4e2d-a117-dc82f548e495","State-of-the-Art Research on Motion Control of Maritime Autonomous Surface Ships","Wang, L. (Wuhan University of Technology); Wu, Qing (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology); Li, Shijie (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2019","At present, with the development of waterborne transport vehicles, research on ship faces a new round of challenges in terms of intelligence and autonomy. The concept of maritime autonomous surface ships (MASS) has been put forward by the International Maritime Organization in 2017, in which MASS become the new focus of the waterborne transportation industry. This paper elaborates on the state-of-the-art research on motion control of MASS. Firstly, the characteristics and current research status of unmanned surface vessels in MASS and conventional ships are summarized, and the system composition of MASS is analyzed. In order to better realize the self-adaptability of the MASS motion control, the theory and algorithm of ship motion control-related systems are emphatically analyzed under the condition of classifying ship motion control. Especially, the application of intelligent algorithms in the ship control field is summarized and analyzed. Finally, this paper summarizes the challenges faced by MASS in the model establishment, motion control algorithms, and real ship experiments, and proposes the composition of MASS motion control system based on variable autonomous control strategy. Future researches on the accuracy and diversity of developments and applications to MASS motion control are suggested.","maritime autonomous surface ships (MASS); unmanned surface vehicles (USV); motion control; intelligent algorithms; autonomous control strategy","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:074a4232-5768-41bc-8961-b473e651b0f9","http://resolver.tudelft.nl/uuid:074a4232-5768-41bc-8961-b473e651b0f9","A Switched-Capacitor DC-DC Converter Powering an LC Oscillator to Achieve 85% System Peak Power Efficiency and -65 dBc Spurious Tones","Urso, A. (TU Delft Bio-Electronics); Chen, Y. (TU Delft Electronic Instrumentation); Staszewski, R.B. (TU Delft Electronics); Dijkhuis, Johan F. (Holst Centre); Stanzione, Stefano (Holst Centre); Liu, Y. (TU Delft Bio-Electronics); Serdijn, W.A. (TU Delft Bio-Electronics); Babaie, M. (TU Delft Electronics)","","2020","In this paper, we propose a new scheme to directly power a 4.9-5.6GHz LC oscillator from a recursive switched-capacitor DC-DC converter. A finite-state machine is integrated to automatically adjust the conversion ratio and switching frequency of the converter such that its DC output voltage is within ±5% of the desired 1V across input voltage range 1.3-2.2V and < 2mA load current conditions. A gate-driver circuit is embedded in each switch of the converter to guarantee constant on-resistance across PVT variations without sacrificing device reliability. Furthermore, a spur reduction block (SRB) is embedded in the oscillator to suppress the ripple induced spurs by stabilizing its tail current. Both the converter and the oscillator are implemented in 40-nm CMOS technology. The measured peak power efficiency of the converter is 87%, while its spot noise is < 1.5nV/Hz which does not degrade the phase noise of the oscillator. The SRB suppresses the spur to <-65dBc under the 30mVpp ripple of the converter.","LC oscillator; LDO replacement; Switched-capacitor DC-DC converter; gate-driver circuit; phase noise; spur reduction block; voltage controlled oscillator (VCO)","en","journal article","","","","","","accepted author manuscript","","","","","Bio-Electronics","","",""
"uuid:b8216444-4ab9-4c35-9246-646a4bdf7a1d","http://resolver.tudelft.nl/uuid:b8216444-4ab9-4c35-9246-646a4bdf7a1d","Analysis and Design of Power Supply Circuits for RF Oscillators","Urso, A. (TU Delft Bio-Electronics); Chen, Y. (TU Delft Electronic Instrumentation); Dijkhuis, Johan F. (Holst Centre); Liu, Yao-Hong (Holst Centre); Babaie, M. (TU Delft Electronics); Serdijn, W.A. (TU Delft Bio-Electronics)","","2020","This article presents guidelines for designing the power supply blocks of RF oscillators. To preserve their spectral purity, the requirements on the noise and ripple of the supply voltage are firstly evaluated based on the oscillator supply pushing factor and the oscillator Figure-of-Merit (FOM). Those specifications are then employed to design and estimate the power efficiency of an analog low-dropout regulator (LDO) and a switched-capacitor DC-DC converter. As a proof of concept, a 2:1 or 3:2 switched-capacitor DC-DC converter is implemented and directly connected to our previously published 4.9-5.5 GHz LC oscillator. The converter provides a 1V supply voltage with a noise ≤0.9nV/√Hz at 1MHz and does not affect the inherent phase noise of the oscillator. The ripple amplitude of the converter is 30mV while its effect is suppressed by the spur reduction block embedded in the oscillator.","LC oscillator; LDO; Power supply requirements; noise analysis; reverse isolation of power supply circuits; spur reduction block; switched-capacitor DC-DC converter","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:494e8519-2e5e-4951-bff7-12d8c4888441","http://resolver.tudelft.nl/uuid:494e8519-2e5e-4951-bff7-12d8c4888441","Low power AlGaN/GaN MEMS pressure sensor for high vacuum application","Sun, J. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University); Middelburg, L.M. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","A micro-scale pressure sensor based on suspended AlGaN/GaN heterostructure is reported with non-linear sensitivity. By sealing the cavity, vacuum sensing at various temperatures was demonstrated. To validate the proposed concept of the AlGaN/GaN vacuum sensor, a 700 µm diameter circular membrane was electrically characterized under applied static and dynamic pressures at various temperatures ranging from 25 °C to 100 °C. The current change of the AlGaN/GaN heterostructure increased as the vacuum and temperature increases due to the increase of 2DEG density by tensile strain. The dynamic current change from 96 kPa down to 10 Pa of AlGaN/GaN heterostructure pressure sensor was 18.75 % at 100 °C. The maximum sensitivity reached 22.8 %/kPa with a power consumption of 1.8 µW. These results suggest that suspended AlGaN/GaN heterostructures are promising for high vacuum and high-temperature sensing applications.","AlGaN/GaN; MEMS; Pressure sensor; Vacuum","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-26","","","Electronic Components, Technology and Materials","","",""
"uuid:97649901-0c7e-4b8f-a90b-2b1dbb4cd5f7","http://resolver.tudelft.nl/uuid:97649901-0c7e-4b8f-a90b-2b1dbb4cd5f7","Oxidative aging of epoxy asphalt","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Oxidative aging is responsible for the irreversible asphalt stiffening and embrittlement leading to asphalt pavements of increased susceptibility to fatigue and thermal cracking. In recent years, various flexible binders have been introduced in asphalt industry to produce long-life pavements and the epoxy asphalt binders are among them. Nevertheless, in-depth understanding of the oxidative aging mechanism of epoxy asphalt binders and binding systems is still needed to enable reliable predictions of material degradation through service life. In this research, the compositional and rheological changes of epoxy asphalt, with and without filler, were analysed by means of Fourier Transform Infrared spectroscopy and Dynamic Shear Rheometer. Especially, kinetics (Arrhenius) parameters of epoxy asphalt have been determined by tracking the chemical composition changes. Oxidation of carbon species in epoxy asphalt is compositional dependent, and low values of activation energy accompany in low values of reaction rate are shown by adding epoxy in asphalt. Furthermore, the epoxy asphalt mastics (binders with filler) have been subjected to rheological testing to evaluate the stiffening effect as oxidation proceeds. Increase of modulus over a wide range of frequencies, decrease of frequency dependency of modulus of epoxy asphalt and shifting of phase angle to lower values are some important observations noticed as well. Overall, oxidation in epoxy asphalt materials occurs slowly yielding to oxygen-resistant binders when epoxy compounds are incorporated in asphalt binders","Asphalt; epoxy; filler; kinetics; long-life pavements; oxidative aging; rheology","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:22b72435-96fa-4fc2-a34a-3c0d05ac2a19","http://resolver.tudelft.nl/uuid:22b72435-96fa-4fc2-a34a-3c0d05ac2a19","A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications","Ding, Ming (Stichting IMEC Nederland); Zhou, Zhihao (SiTime; Student TU Delft); Traferro, Stefano (Stichting IMEC Nederland); Liu, Yao Hong (Stichting IMEC Nederland); Bachmann, Christian (Stichting IMEC Nederland); Sebastiano, F. (TU Delft (OLD)Applied Quantum Architectures)","","2020","This paper presents a wakeup timer in 40-nm CMOS for Internet-of-Things (IoT) applications based on a bang-bang Digital-intensive Frequency-Locked Loop (DFLL). A self-biased Σ Δ Digitally Controlled Oscillator (DCO) is locked to an RC time constant via a feedback loop consisting of a single-bit chopped comparator and a digital loop filter, thus maximizing the use of digital circuits while keeping only the RC network and the comparator as the sole analog blocks. Analysis and behavior level simulations of the DFLL have been carried out to guide the optimization of the long-term stability and frequency accuracy of the timer. High frequency accuracy and a 10× enhancement of long-term stability is achieved by the adoption of chopping to reduce the effect of comparator offset and 1/f noise and by the use of Σ Δ modulation to improve the DCO resolution. Such highly digitized architecture fully exploits the advantages of advanced CMOS processes, thus enabling operation down to 0.7 V and a small area (0.07 mm2). The proposed timer achieves the excellent energy efficiency (0.57 pJ/cycle at 417 kHz at 0.8-V supply) over prior art while keeping excellent on-par long-term stability (Allan deviation floor < 20 ppm) and temperature stability (33 ppm°Cat 0.8-V supply).","Digital-intensive; Frequency locked-loop; internet of things; Low-power; Oscillator; Wakeup timer","en","journal article","","","","","","Accepted Author Manuscript","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:3e8c514c-5f00-4258-8c01-99a216bd4b02","http://resolver.tudelft.nl/uuid:3e8c514c-5f00-4258-8c01-99a216bd4b02","Interaction characteristics between multi-port hybrid DC circuit breaker and MVDC distribution system under diversified working conditions","Wen, Weijie (China Electric Power Research Institute, Beijing; Tianjin University); Li, Pengyu (Tianjin University); Cao, Hong (China Electric Power Research Institute, Beijing); Liu, Haijin (Tianjin University); Wang, Xingguo (China Electric Power Research Institute, Beijing); Lv, Hui (Tianjin University); Li, Bin (Tianjin University); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2020","Due to the progressive penetration and usage of renewable sources and loads based on power electronics, medium voltage direct current (MVDC) distribution system is getting broad attention. Direct current circuit breakers (DCCBs) are of vital importance for the reliability and flexibility of power system. With features of low cost and micro-operating losses, multi-port hybrid DCCB with negative voltage source (NVS) has been proposed by the authors and might be a better choice. To further promote its industry application in MVDC system, interaction characteristics between DCCB and power system are investigated in this study. The structure of multi-port hybrid DCCB is briefly introduced. Then, considering the diversified working conditions, e.g. single fault, multiple faults and switching load current with random direction, the cooperation sequence of components in multi-port DCCB under all these working conditions is proposed, respectively. Then, based on simulation model established in PSCAD/EMTDC, transient current/voltage distribution pattern inside multi-port DCCB and its mechanism are discussed, and simulation results have verified the superiority and effectiveness of multi-port hybrid DCCB with NVS in MVDC system.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Intelligent Electrical Power Grids","","",""
"uuid:8ea058a9-a947-43e4-a50d-efaf5f04f15b","http://resolver.tudelft.nl/uuid:8ea058a9-a947-43e4-a50d-efaf5f04f15b","Visualization of point cloud models in mobile augmented reality using continuous level of detail method","Zhang, L. (TU Delft Safety and Security Science); van Oosterom, P.J.M. (TU Delft GIS Technologie); Liu, H. (TU Delft GIS Technologie)","","2020","Point clouds have become one of the most popular sources of data in geospatial fields due to their availability and flexibility. However, because of the large amount of data and the limited resources of mobile devices, the use of point clouds in mobile Augmented Reality applications is still quite limited. Many current mobile AR applications of point clouds lack fluent interactions with users. In our paper, a cLoD (continuous level-of-detail) method is introduced to filter the number of points to be rendered considerably, together with an adaptive point size rendering strategy, thus improve the rendering performance and remove visual artifacts of mobile AR point cloud applications. Our method uses a cLoD model that has an ideal distribution over LoDs, with which can remove unnecessary points without sudden changes in density as present in the commonly used discrete level-of-detail approaches. Besides, camera position, orientation and distance from the camera to point cloud model is taken into consideration as well. With our method, good interactive visualization of point clouds can be realized in the mobile AR environment, with both nice visual quality and proper resource consumption.","Point Cloud; Augmented Reality; Continuous Level of Detail","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:af91e74b-a86c-46c0-81c2-11eff98e99f9","http://resolver.tudelft.nl/uuid:af91e74b-a86c-46c0-81c2-11eff98e99f9","A Switching-Based Adaptive Dynamic Programming Method to Optimal Traffic Signaling","Liu, D. (Southeast University); Yu, Wenwu (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Cao, Jinde (Southeast University); Huang, Wei (Southeast University)","","2020","The work presented in this paper concerns a switching-based control formulation for multi-intersection and multiphase traffic light systems. A macroscopic traffic flow modeling approach is first presented, which is instrumental to the development of a model-based and switching-based optimization method for traffic signal operation, in the framework of adaptive dynamic programming (ADP). The main advantage of the switching-based formulation is its capability to determine both 'when' to switch and 'which' mode to switch on without the need to use the cycle-based average flow approximation typical of state-of-the-art formulations. In addition, the framework can handle different cycle times across intersections without the need for synchronization constraints and, moreover, minimum dwell-time constraints can be directly enforced to comply with minimum green/red times in each phase. The simulation experiments on a multi-intersection and multiphase traffic light systems are presented to show the effectiveness of the method.","Adaptive dynamic programming (ADP); dwell-time switching; model-based and switching-based optimization; traffic flow model; traffic signal operation","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:95e69512-da78-41bf-85f2-904fd262c7b8","http://resolver.tudelft.nl/uuid:95e69512-da78-41bf-85f2-904fd262c7b8","Balancing truncation and round-off errors in FEM: One-dimensional analysis","Liu, J. (TU Delft Mathematical Physics); Möller, M. (TU Delft Numerical Analysis); Schuttelaars, H.M. (TU Delft Mathematical Physics)","","2021","In finite element methods, the accuracy of the solution cannot increase indefinitely since the round-off error related to limited computer precision increases when the number of degrees of freedom (DoFs) is large enough. Because a priori information of the highest attainable accuracy is of great interest, we construct an innovative method to obtain the highest attainable accuracy given the order of the elements. In this method, the truncation error is extrapolated when it converges at the asymptotic rate, and the bound of the round-off error follows from a generically valid error estimate, obtained and validated through extensive numerical experiments. The highest attainable accuracy is obtained by minimizing the sum of these two types of errors. We validate this method using a one-dimensional Helmholtz equation in space. It shows that the highest attainable accuracy can be accurately predicted, and the CPU time required is much smaller compared with that using successive grid refinement.","A posteriori error estimation; Finite element method; hp-refinement strategy; Optimal number of degrees of freedom; Round-off error","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:5eb77988-1f21-47f7-8dfa-b2c3bd63bb8b","http://resolver.tudelft.nl/uuid:5eb77988-1f21-47f7-8dfa-b2c3bd63bb8b","Cyclic communication in adaptive strategies to platooning: the case of synchronized merging","Liu, Di (Southeast University; Rijksuniversiteit Groningen); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Jain, V. (TU Delft Intelligent Vehicles); Yu, Wenwu (Southeast University); Frasca, Paolo (Université Grenoble Alpes)","","2021","Recently proposed adaptive platooning strategies for connected automated vehicles are able to cope with uncertain vehicle parameters (uncertain driveline time constants), but can handle only acyclic graphs like look-ahead graphs. This prevents from enhancing platooning protocols with synchronized merging maneuvers, where cyclic communication is needed and creates algebraic loops that require well posedness of the inputs. We propose an adaptive platooning strategy for synchronized merging in the cyclic communication scenario. The protocol adopts a set of adaptive control laws, designed via Lyapunov stability theory to cope with uncertain driveline time constants. Well-posedness of the inputs is proven in a distributed way (using information from neighboring vehicles) in spite of uncertainty and cyclic communication. The proposed strategy is shown in a benchmark merging scenario.","Acceleration; adaptive control; automated vehicles; Benchmark testing; Merging; merging maneuvers; Platooning; Protocols; Synchronization; uncertain vehicle parameters; Uncertainty; Vehicle dynamics","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:0cc96466-558e-4679-b13e-1de38df2e576","http://resolver.tudelft.nl/uuid:0cc96466-558e-4679-b13e-1de38df2e576","Automated Quantitative Assessment of Coronary Calcification Using Intravascular Ultrasound","Liu, Shengnan (Erasmus MC); Neleman, Tara (Erasmus MC); Hartman, Eline M.J. (Erasmus MC); Ligthart, Jurgen M.R. (Erasmus MC); Witberg, Karen T. (Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Wentzel, Jolanda J. (Erasmus MC); Daemen, Joost (Erasmus MC); van Soest, Gijs (Erasmus MC)","","2020","Coronary calcification represents a challenge in the treatment of coronary artery disease by stent placement. It negatively affects stent expansion and has been related to future adverse cardiac events. Intravascular ultrasound (IVUS) is known for its high sensitivity in detecting coronary calcification. At present, automated quantification of calcium as detected by IVUS is not available. For this reason, we developed and validated an optimized framework for accurate automated detection and quantification of calcified plaque in coronary atherosclerosis as seen by IVUS. Calcified lesions were detected by training a supported vector classifier per IVUS A-line on manually annotated IVUS images, followed by post-processing using regional information. We applied our framework to 35 IVUS pullbacks from each of the three commonly used IVUS systems. Cross-validation accuracy for each system was >0.9, and the testing accuracy was 0.87, 0.89 and 0.89 for the three systems. Using the detection result, we propose an IVUS calcium score, based on the fraction of calcium-positive A-lines in a pullback segment, to quantify the extent of calcified plaque. The high accuracy of the proposed classifier suggests that it may provide a robust and accurate tool to assess the presence and amount of coronary calcification and, thus, may play a role in image-guided coronary interventions.","Automated quantification; Calcified plaque; Coronary artery disease; Intravascular imaging; Intravascular ultrasound","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:955644ad-dc74-41bf-b90e-7097eed6e8a0","http://resolver.tudelft.nl/uuid:955644ad-dc74-41bf-b90e-7097eed6e8a0","Network-Aware Locality Scheduling for Distributed Data Operators in Data Centers","Cheng, Long (North China Electric Power University; Chinese Academy of Sciences); Wang, Ying (Chinese Academy of Sciences); Liu, Qingzhi (Wageningen University & Research); Epema, D.H.J. (TU Delft Dataintensive Systems); Liu, Cheng (Chinese Academy of Sciences); Mao, Ying (Fordham University); Murphy, John (University College Dublin)","","2021","Large data centers are currently the mainstream infrastructures for big data processing. As one of the most fundamental tasks in these environments, the efficient execution of distributed data operators (e.g., join and aggregation) are still challenging current data systems, and one of the key performance issues is network communication time. State-of-the-art methods trying to improve that problem focus on either application-layer data locality optimization to reduce network traffic or on network-layer data flow optimization to increase bandwidth utilization. However, the techniques in the two layers are totally independent from each other, and performance gains from a joint optimization perspective have not yet been explored. In this article, we propose a novel approach called NEAL (NEtwork-Aware Locality scheduling) to bridge this gap, and consequently to further reduce communication time for distributed big data operators. We present the detailed design and implementation of NEAL, and our experimental results demonstrate that NEAL always performs better than current approaches for different workloads and network bandwidth configurations.","Data locality; SDN; big data; coflow scheduling; data centers; distributed operators; metaheuristic","en","journal article","","","","","","Accepted author manuscript","","","","","Dataintensive Systems","","",""
"uuid:63f05356-ac34-442e-a6f1-ee263b639db9","http://resolver.tudelft.nl/uuid:63f05356-ac34-442e-a6f1-ee263b639db9","3D FE-Informed Laboratory Soil Testing for the Design of Offshore Wind Turbine Monopiles","Cheng, Xiaoyang (University of Bristol); Diambra, Andrea (University of Bristol); Ibraim, Erdin (University of Bristol); Liu, H. (Norwegian Geotechnical Institute); Pisano, F. (TU Delft Geo-engineering)","","2021","Based on advanced 3D finite element modelling, this paper analyses the stress paths experienced by soil elements in the vicinity of a monopile foundation for offshore wind turbines subjected to cyclic loading with the aim of informing soil laboratory testing in support of monopile foundation design. It is shown that the soil elements in front of the laterally loaded monopile are subjected to complex stress variations, which gradually evolve towards steady stress cycles as the cyclic lateral pile loading proceeds. The amplitude, direction and average value of such steady stress cycles are dependent on the depth and radial distance from the pile of the soil element, but it also invariably involves the cyclic rotation of principal stress axes. Complementary laboratory testing using the hollow-cylinder torsional apparatus was carried out on granular soil samples imposing cyclic stress paths (with up to about 3 × 104 cycles) which resemble those determined after 3D finite element analysis. The importance of considering the cyclic rotation of principal stress axes when investigating the response of soil elements under stress conditions mimicking those around a monopile foundation subjected to cyclic lateral loading is emphasised.","Finite element analysis; Laboratory testing; Monopile; Offshore; Soil; Wind turbines","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:dc52d655-d96f-4d0a-adc4-33ae5e0b8a75","http://resolver.tudelft.nl/uuid:dc52d655-d96f-4d0a-adc4-33ae5e0b8a75","The effect of the standing angle on reducing fatigue among prolonged standing workers","Liu, Zhihui (Donghua University); Wang, Li (Donghua University); Kong, Fanlei (Donghua University); Huang, Xia (Donghua University); Tang, Zhi (Donghua University); He, Shi (Donghua University); Vink, P. (TU Delft Materials and Manufacturing)","","2021","BACKGROUND: Many occupations require workers to stand for prolonged periods, which can cause discomfort, pain and even injures. Some supermarkets in life provide a foot pad for checkout staff to let them stand on it at work, thereby reducing standing fatigue caused by standing for a long time. The inclined platform is the same as the foot pad mentioned above. That is, the staff stepped on it and relieved standing fatigue to a certain extent. OBJECTIVE: The study aims to analyze how the standing angle affects fatigue among prolonged standing workers and tries to find an inclined platform with a specific angle to reduce standing fatigue. METHODS: This experiment studied fatigue of the inclined platforms with different angles on prolonged standing workers, eight participants were selected to participate in the test. The plantar pressures and sEMG (Surface Electromyography) were used to collect the physiological information change of prolonged standing participants in the lower limb and waist. The visual analogue scale was used as a subjective method to measure the psychological fatigue. RESULTS AND CONCLUSION: The study highlights the relationship between standing angle and lower limb fatigue. The inclination of the standing platform has different effects on the participants under different time conditions. When participants stand on inclined platforms at 0°, 5° and 10°, the iEMG (Integrated Electromyography) values of the gastrointestinal muscle were not significantly different until the third sampling point (40 minutes). After that self-regulation of lower limb muscles is better when standing on an inclined platform between 5° and 10°, it has a certain effect on alleviating lower limb fatigue. This knowledge is crucial for the design of the inclined working platforms fitting the needs of prolonged standing workers.","inclined platform; lower limb fatigue; Prolonged standing; standing angle; surface electromyography","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Manufacturing","","",""
"uuid:a03ab1c9-bc1f-4a62-b1d2-20783b4d60d4","http://resolver.tudelft.nl/uuid:a03ab1c9-bc1f-4a62-b1d2-20783b4d60d4","An online data driven fault diagnosis and thermal runaway early warning for electric vehicle batteries","Sun, S.Z. (TU Delft DC systems, Energy conversion & Storage; Beijing Institute of Technology); Wang, Zhenpo (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Chen, Yong; Han, Yang (The University of Manchester); Wang, Peng (Zhejiang Geely Automobile Research Institute Co); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2022","Battery fault diagnosis is crucial for stable, reliable, and safe operation of electric vehicles, especially the thermal runaway early warning. Developing methods for early failure detection and reducing safety risks from failing high energy lithium-ion batteries has become a major challenge for industry. In this article, a real-time early fault diagnosis scheme for lithium-ion batteries is proposed. By applying both the discrete Fréchet distance and local outlier factor to the voltage and temperature data of the battery cell/module that measured in real time, the battery cell that will have thermal runaway is detected before thermal runaway happens. Compared with the widely used single parameter based diagnosis approach, the proposed one considerably improve the reliability of the fault diagnosis and reduce the false diagnosis rate. The effectiveness of the proposed method is validated with the operational data from electric vehicles with/without thermal runaway in daily use.","Discrete Fréchet distance (DFD); fault diagnosis; lithium-ion battery (LIB); local outlier factor (LOF)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:f444f8e7-4893-42c2-9c6d-dbc458f2a318","http://resolver.tudelft.nl/uuid:f444f8e7-4893-42c2-9c6d-dbc458f2a318","Effect of filler on performance of porous asphalt pavement using multiscale finite element method","Du, Cong (Rheinisch-Westfälische Technische Hochschule); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Wang, H. (TU Delft Pavement Engineering); Sun, Yiren (Dalian University of Technology); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Wang, Dawei (Rheinisch-Westfälische Technische Hochschule; Harbin Institute of Technology); Leischner, Sabine (Technische Universität Dresden); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule)","","2021","Porous asphalt (PA) pavements are widely employed in areas with wet climates. As particle enhancement inclusions in asphalt mastic, mineral fillers play essential roles in improving the performance of PA pavements. This study developed a coupled multiscale finite element (FE) model, involving the mesostructure of PA mixture and PA pavement. Four types of mastic properties were employed with four mineral fillers (Granodiorite, Limestone, Dolomite, and Rhyolite) in the mesoscale portion of the pavement model to analyse the effects of filler types on the performance of pavements. The performances (load-bearing capacity, rutting resistance, and ravelling resistance) of pavements with different fillers were identified and ranked, and their correlations with the chemical components of the four fillers were analysed. The computational results showed that pavements with Rhyolite and Granodiorite fillers have higher load-bearing capacities and rutting resistance, while the Limestone and Dolomite fillers can improve the ravelling resistance of the PA pavements. In the correlation analysis, the chemical components Al2O3 and SiO2 play dominant roles in improving the load-bearing capacities and rutting resistance of the PA pavements, and the fillers with high percentages of CaO can improve the ravelling resistance of the PA pavements.","chemical components; correlation analysis; mineral filler; multiscale finite element model; Porous asphalt pavement","en","journal article","","","","","","Accepted Author Manuscript","","2021-08-16","","","Pavement Engineering","","",""
"uuid:94b45c3a-c90f-405d-ac64-020e391a8d85","http://resolver.tudelft.nl/uuid:94b45c3a-c90f-405d-ac64-020e391a8d85","Evaluation of Design Procedure and Performance of Continuously Reinforced Concrete Pavement According to AASHTO Design Methods","Moharekpour, Milad (Rheinisch-Westfälische Technische Hochschule); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Schmidt, Joshua (Rheinisch-Westfälische Technische Hochschule); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Jing, R. (TU Delft Pavement Engineering)","","2022","The Guide for Design of Pavement Structures (AASHTO 86/93) and Mechanistic Empirical Pavement Design Guide (MEPDG) are two common methods to design continuously reinforced concrete pavement (CRCP) published by the American Association of State Highway and Transportation Officials (AASHTO) in the USA. The AASHTO 86/93 is based on empirical equations to assess the performance of highway pavements under moving loads with known magnitude and frequency derived from experiments on AASHTO road tests. The MEPDG is a pavement design method based on engineering mechanics and numerical models for analysis. It functions by incorporating additional attributes such as environment, material properties, and vehicle axle load to predict pavement performance and degradation at the selected reliability level over the intended performance period. In order to evaluate the CRCP design procedure and performance, crack width (CW) and crack spacing (CS) from five examined test tracks in Europe with different climate condition, base layer, geometry, and materials were collected in this paper and compared with predicted distresses as well as CW and CS from AASHTO 86/93 and MEPDG design methods. The results show that the interactions between geometrics, material properties, traffic, and environmental conditions in the MEPDG method are more pronounced than in the AASHTO 86/93 and the prediction of CS and CW based on MEPDG matched closely with the recorded data from sections","CRCP; concrete pavement; crack width; crack spacing; AASHTO; MEPDG","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","http://resolver.tudelft.nl/uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","A generalised analytical framework for active earth pressure on retaining walls with narrow soil","Lai, F. (TU Delft Geo-engineering; Southeast University); Zhang, Ningning (Rheinisch-Westfälische Technische Hochschule); Liu, Songyu (Southeast University); Yang, Dayu (Southeast University)","","2022","Active earth pressure on retaining structures supporting a narrow column of soil cannot be properly analysed using Coulomb's theory. Finite element limit analysis (FELA) shows that the soil form multiple failure surfaces if the soil column is sufficiently narrow. This paper proposes a framework for active earth pressure estimation for narrow soils by combining an arched differential element method and a sliding wedge method. The analytical framework considers both soil friction and cohesion, soil arching effects and shear stress between adjacent differential elements. The solution obtained is validated against experimental data and FELA results. Through parametric studies, the effect on the active earth pressure of the aspect ratio, the soil friction, the soil cohesion and the wall-soil interface roughness are examined. To facilitate the use of the proposed framework in design, a modified active earth pressure coefficient and an application height of active thrust are provided.","analytical approach; Earth pressure; finite element limit analysis; narrow soil; retaining structure; soil arching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:0133add3-96c9-467f-8e67-b7abc592969b","http://resolver.tudelft.nl/uuid:0133add3-96c9-467f-8e67-b7abc592969b","Design for Emergency: How Digital Technologies Enabled an Open Design Platform to Respond to COVID-19","Colombo, S. (TU Delft Human-Centred Artificial Intelligence); Ciliotta, Estefania (Northeastern University); Marengo, Lucia (DocPlanner); Liu, Houjiang (The University of Texas at Austin); Molino, Piero (Predibase); Ciuccarelli, Paolo (Northeastern University)","","2023","In the COVID-19 pandemic, digital technologies (DT) supported the design and implementation of solutions addressing new needs and living conditions. We describe Design for Emergency, a digital open design platform developed to ideate solutions for people's fast-changing needs in the pandemic, to analyze how DT can affect human-centered design processes during emergencies. We illustrate how DT: i) helped quickly collect and analyse people's needs in different countries, visualize such data, and identify design directions and problem spaces; ii) facilitated the creation of a virtual network of stakeholders and an open-innovation digital platform; iii) inspired the ideation of solutions responding to people's changing needs and affected their implementation. We discuss the implications of adopting DT in designing for and during emergencies, as well as their current and future potential to promptly respond to emergency situations through a human-centered approach.","human–computer interaction; open design platform; digital technology; data visualization; COVID-19 emergency response; human-centered design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Human-Centred Artificial Intelligence","","",""
"uuid:fafde1ec-a419-4ad7-817e-d8a4e384ca6c","http://resolver.tudelft.nl/uuid:fafde1ec-a419-4ad7-817e-d8a4e384ca6c","Learning to Play Trajectory Games Against Opponents with Unknown Objectives","Liu, Xinjie (Student TU Delft); Peters, L. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2023","Many autonomous agents, such as intelligent vehicles, are inherently required to interact with one another. Game theory provides a natural mathematical tool for robot motion planning in such interactive settings. However, tractable algorithms for such problems usually rely on a strong assumption, namely that the objectives of all players in the scene are known. To make such tools applicable for ego-centric planning with only local information, we propose an adaptive model-predictive game solver, which jointly infers other players' objectives online and computes a corresponding generalized Nash equilibrium (GNE) strategy. The adaptivity of our approach is enabled by a differentiable trajectory game solver whose gradient signal is used for maximum likelihood estimation (MLE) of opponents' objectives. This differentiability of our pipeline facilitates direct integration with other differentiable elements, such as neural networks (NNs). Furthermore, in contrast to existing solvers for cost inference in games, our method handles not only partial state observations but also general inequality constraints. In two simulated traffic scenarios, we find superior performance of our approach over both existing game-theoretic methods and non-game-theoretic model-predictive control (MPC) approaches. We also demonstrate our approach's real-time planning capabilities and robustness in two-player hardware experiments.","Collision avoidance; Games; human-aware motion planning; integrated planning and learning; Maximum likelihood estimation; multi-robot systems; Optimization; Planning; Robots; Trajectory; Trajectory games","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-29","","","Learning & Autonomous Control","","",""
"uuid:c6419e6e-47d4-4d3d-a31c-e4dc8143535b","http://resolver.tudelft.nl/uuid:c6419e6e-47d4-4d3d-a31c-e4dc8143535b","Keyword Search Shareable Encryption for Fast and Secure Data Replication","Wang, Wei (Huazhong University of Science and Technology); Liu, Dongli (Huazhong University of Science and Technology); Xu, Peng (Huazhong University of Science and Technology); Yang, Laurence Tianruo (Huazhong University of Science and Technology); Liang, K. (TU Delft Cyber Security)","","2023","It has become a trend for clients to outsource their encrypted databases to remote servers and then leverage the Searchable Encryption technique to perform secure data retrieval. However, the method has yet to be considered a crucial need for replication on searchable encrypted data. It calls for challenging works on Dynamic Searchable Symmetric Encryption (DSSE) since clients must share the search capability of the encrypted data replicas and guarantee forward and backward privacy. We define a new notion called 'Keyword Search Shareable Encryption' (KS2E2E) and the corresponding security model capturing forward and backward privacy. In our notion, data owners are allowed to share search indexes of the encrypted data with users. A search index will be updated with a new search key before sharing to guarantee the data privacy of the source database. The target database also inherits data search efficiency along with the shared data. We further construct an instance of KS2E called Branch, prove its security, and use real-world datasets to evaluate Branch. The evaluation results show that Branch's performance is comparable to classical DSSE schemes on search efficiency and demonstrate the effectiveness of searching encrypted data replicas from multiple owners.","Cryptography; Data privacy; Databases; Encrypted Data Replication; Encryption; Forward and Backward Privacy; Indexes; Privacy; Searchable Symmetric Encryption; Servers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Cyber Security","","",""
"uuid:5023ecef-1246-4915-bc94-64f61bd4dae2","http://resolver.tudelft.nl/uuid:5023ecef-1246-4915-bc94-64f61bd4dae2","Unraveling the influence of fibers on aging susceptibility and performance of high content polymer modified asphalt mixtures","Lin, P. (TU Delft Pavement Engineering; Research Institute of Highway Ministry of Transport); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Y. (TU Delft Pavement Engineering); Xu, Jian (Research Institute of Highway Ministry of Transport); Li, Mingliang (Research Institute of Highway Ministry of Transport)","","2023","High-Content SBS Polymer Modified Asphalt Mixtures (HCPMA) combined with fibers have gained popularity in porous pavement construction due to their superior performance. Although the aging behavior of HCPMA has been extensively studied, the impact of fibers on performance and aging susceptibility remains unclear. This research investigates the influence of two representative fibers (lignin and polyester) on the raveling, cracking, fatigue, and rutting resistance of HCPMA before and after aging using Cantabro loss tests, SCB strength tests, SCB fatigue tests, and Hamburg Wheel-Tracking tests. The results indicate that in the original state, polyester fiber slightly enhances HCPMA performance, while lignin fiber shows limited or even adverse effects on cracking, raveling, fatigue, and rutting resistance. However, both fibers exhibit a more pronounced enhancement effect after short- and long-term aging. FTIR analysis reveals that fiber addition does not significantly impact bitumen oxidation and polymer degradation. The excellent properties of High-Content SBS Polymer Modified Bitumen (HCPMB) in the original state create a ""masking"" effect that conceals the enhancement effect of fibers, which becomes more evident after
long-term aging. Consequently, it is recommended that the performance evaluation and design of open-graded asphalt mixtures containing HCPMB be based on post-aging performance.","Porous asphalt; High content polymer modified asphalt; mixtures; Aging resistance; Polyester fiber; Lignin fiber","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4479f26a-d700-4d88-9f28-f1eb72292e69","http://resolver.tudelft.nl/uuid:4479f26a-d700-4d88-9f28-f1eb72292e69","Effects of Bitumen Thickness on the Aging Behavior of High-Content Polymer-Modified Asphalt Mixture","Lin, P. (TU Delft Pavement Engineering; Ministry of Transport of the People's Republic of China); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Xu, Jian (Ministry of Transport of the People's Republic of China); Li, Y. (TU Delft Pavement Engineering); Li, Mingliang (Ministry of Transport of the People's Republic of China)","","2023","The film thickness of asphalt mixtures is critical for determining their performance and aging durability. However, understanding of the appropriate film thickness and its influence on performance and aging behavior for high-content polymer-modified asphalt (HCPMA) mixtures is still limited. This research aims to examine the relationship between film thickness, performance, and aging behavior of HCPMA mixtures in order to establish an optimal film thickness that ensures satisfactory performance and aging durability. HCPMA specimens with film thicknesses ranging from 6.9 μm to 17 μm were prepared using a 7.5% SBS-content-modified bitumen. Various tests, including Cantabro, SCB, SCB fatigue, and Hamburg wheel-tracking tests, were conducted to evaluate raveling, cracking, fatigue, and rutting resistance before and after aging. The key findings indicate that insufficient film thickness negatively affects aggregate bonding and performance, while excessive thickness reduces mixture stiffness and resistance to cracking and fatigue. A parabolic relationship between the aging index and film thickness was observed, suggesting that increasing film thickness improves aging durability up to a point, beyond which excessive thickness adversely impacts aging durability. The optimal film thickness for HCPMA mixtures, considering performance before and after aging and aging durability, falls within the 12.9 to 14.9 µm range. This range ensures the best balance between performance and aging durability, offering valuable insights for the pavement industry in designing and utilizing HCPMA mixtures.","film thickness; HCPMA; optimal film thickness; aging durability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b479cc5e-17da-48d3-900f-1ccad60ccc98","http://resolver.tudelft.nl/uuid:b479cc5e-17da-48d3-900f-1ccad60ccc98","Reterritorialisation of agricultural activities in land-use and food planning: comparing the Netherlands and France","Liu, Tianzhu (University of Bern); Korthals Altes, W.K. (TU Delft Urban Development Management); Melot, Romain (CNRS); Wallet, Frédéric (UMR AGIR)","","2023","The reterritorialisation of agricultural activities (RAA) consists of reinforcing local food production and its diversification activities oriented toward local consumers. RAA helps shape the local food system, which is an increasingly studied topic in the planning field. However, institutional impacts on planning approaches for RAA remain unknown. This study examines this question by comparing land-use and food planning in Dutch and French cases, where France defines food planning via national law and the Netherlands does not. Through analysis of planning documents and semi-structured interviews, we identified planning goals and instruments, and analysed governance models. We then linked these three components to understand institutional impacts. Our empirical findings reveal that regarding planning policies on RAA, there are differences between the two countries in terms of focused action fields, planning instruments, and links between land-use and food planning. Our results show that the dominance of state-local relationships in France and civil society-government relationships in the Netherlands has a significant effect on planning approaches. This study supports the need for an emphasis on institutional design for effective planning for RAA.","farm diversification; farmland preservation; food policy; Land-use regulations; local food system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-20","","","Urban Development Management","","",""
"uuid:391ada66-d4a5-49a1-b56c-c1477aafdf60","http://resolver.tudelft.nl/uuid:391ada66-d4a5-49a1-b56c-c1477aafdf60","Evolving wastewater infrastructure paradigm to enhance harmony with nature","Wang, Xu (Chinese Academy of Sciences; University of Exeter); Daigger, Glen (University of Michigan); Lee, Duu Jong (National Taiwan University of Science and Technology; National Taiwan University); Liu, Junxin (Chinese Academy of Sciences); Ren, Nan Qi (Harbin Institute of Technology); Qu, Jiuhui (Chinese Academy of Sciences; Tsinghua University); Liu, G. (TU Delft Sanitary Engineering); Butler, David (University of Exeter)","","2018","Restoring and improving harmony between human activities and nature are essential to human well-being and survival. The role of wastewater infrastructure is evolving toward resource recovery to address this challenge. Yet, existing design approaches for wastewater systems focus merely on technological aspects of these systems. If system design could take advantage of natural ecological processes, it could ensure infrastructure development within ecological constraints and maximize other benefits. To test this hypothesis, we illustrate a data-driven, systems-level approach that couples natural ecosystems and the services they deliver to explore how sustainability principles could be embedded into the life phases of wastewater systems. We show that our design could produce outcomes vastly superior to those of conventional paradigms that focus on technologies alone, by enabling high-level recovery of both energy and materials and providing substantial benefits to offset a host of unintended environmental effects. This integrative study advances our understanding and suggests approaches for regaining a balance between satisfying human demands and maintaining ecosystems.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:043c3099-b61a-41aa-8469-998854766824","http://resolver.tudelft.nl/uuid:043c3099-b61a-41aa-8469-998854766824","Development and Piezoelectric Properties of a Stack Units-Based Piezoelectric Device for Roadway Application","Li, Chenchen (Tongji University; Rheinisch-Westfälische Technische Hochschule); Yang, Fan (Tongji University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Fu, Chaoliang (Rheinisch-Westfälische Technische Hochschule); Liu, Quan (Rheinisch-Westfälische Technische Hochschule); Zhao, Hongduo (Tongji University); Lin, P. (TU Delft Pavement Engineering)","","2021","To improve the energy harvesting efficiency of the piezoelectric device, a stack units-based structure was developed and verified. Factors such as stress distribution, load resistance, loads, and loading times influencing the piezoelectric properties were investigated using theoretical analysis and experimental tests. The results show that the unit number has a negative relationship with the generated energy and the stress distribution has no influence on the power generation of the piezoelectric unit array. However, with a small stress difference, units in a parallel connection can obtain high energy conversion efficiency. Additionally, loaded with the matched impedance of 275.0 kΩ at 10.0 kN and 10.0 Hz, the proposed device reached a maximum output power of 84.3 mW, which is enough to supply the low-power sensors. Moreover, the indoor load test illustrates that the electrical performance of the piezoelectric device was positively correlated with the simulated loads when loaded with matched resistance. Furthermore, the electrical property remained stable after the fatigue test of 100,000 cyclic loads. Subsequently, the field study confirmed that the developed piezoelectric device had novel piezoelectric properties with an open-circuit voltage of 190 V under an actual tire load, and the traffic parameters can be extracted from the voltage waveform","pavement engineering; energy harvesting; piezoelectric device; stacked piezoelectric unit; piezoelectric properties; roadway application","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:c408e496-937f-44b2-a76f-a6cc4d905986","http://resolver.tudelft.nl/uuid:c408e496-937f-44b2-a76f-a6cc4d905986","Size Effect in the Compression of 3D Polymerized Micro-Structures","Li, Jiayu (Dalian University of Technology); Accardo, A. (TU Delft Micro and Nano Engineering); Liu, Shutian (Dalian University of Technology)","","2024","Micro/nanoscale additive manufacturing provides a powerful tool for advanced materials and structures with complex and precise features. For instance, the feature resolution of two-photon polymerization (2PP) can reach 200 nm. At this scale, materials properties can change, and the influence of the size effect cannot be ignored. Therefore, it is necessary to assess changes in the material mechanical properties considering size effects. In this work, several micrometric polymeric specimens are printed via 2PP, and their mechanical properties are assessed using compression tests. Detailed printing and testing procedures and the effects of parameter settings are provided. The experimental results show that the changes in the microstructures size have a direct effect on Young s modulus. In particular, a large surface-volume ratio results in a higher Young s modulus. In other words, the smaller the structure size, the higher the stiffness. The reported findings play a significant role in the development of fabrication strategies for polymeric microstructures where high stiffness accuracy is fundamental.","mechanical properties of materials; micro/nanoscale additive manufacturing; Size effect; two-photon polymerization resin; Young s modulus","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Micro and Nano Engineering","","",""
"uuid:aef83285-a30b-4b91-8325-e5e91121fa0f","http://resolver.tudelft.nl/uuid:aef83285-a30b-4b91-8325-e5e91121fa0f","Understanding the cation-dependent surfactant adsorption on clay minerals in oil recovery","Liu, Z. (TU Delft OLD ChemE/Organic Materials and Interfaces); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering); Sudhölter, Ernst J. R. (TU Delft OLD ChemE/Organic Materials and Interfaces); Singh, Binder (Student TU Delft); Kumar, N. (TU Delft OLD ChemE/Organic Materials and Interfaces)","","2019","Surfactants have the ability to mobilize residual oil trapped in pore spaces of matrix rocks by lowering the oil-water interfacial tension, resulting in a higher oil recovery. However, the loss of surfactants by adsorption onto the rock surface has become a major concern that reduces the efficiency of the surfactant flooding process. In this study, the adsorption behavior of an anionic surfactant to a clay mineral surface was investigated by quartz crystal microbalance with dissipation monitoring upon variations with different cation conditions. Through recording the change of frequency and dissipation of clay-modified sensors, it allows us to do a real-time quantitative analysis of the surfactant adsorption with nanogram sensitivity. The results revealed that the surfactant adsorption increased in a Ca2+-containing solution with increasing pH from 6 to 11, whereas from a Na+-containing solution, more adsorption occurred at acidic conditions. The adsorbed amount went through a maximum (∼200 mM) as a function of the Ca2+ concentration, and the Voigt model suggested that multilayer adsorption of surfactants could be as many as 4-6 monolayers. Using mixed cation (Ca2+ and Na+) solutions, the amount of adsorbed surfactant decreased linearly with decreasing fraction of CaCl2, but Na+ competed for about ∼30% adsorption sites. The importance of the presence of CaCl2 for the surfactant adsorption was stressed in high-salinity and low-salinity solutions in the presence and absence of Ca2+. Furthermore, increasing the temperature from 23 to 65 °C shows first a small increase of surfactant adsorption followed by a reduction of about 20%. The obtained results contribute to a better understanding of surfactant adsorption on clay surfaces and a guide to optimal flooding conditions with reduced surfactant loss.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-05-14","","","OLD ChemE/Organic Materials and Interfaces","","",""
"uuid:751e0c6b-dcf2-4926-aafb-2244eeb60b1d","http://resolver.tudelft.nl/uuid:751e0c6b-dcf2-4926-aafb-2244eeb60b1d","PBI mixed matrix hollow fiber membrane: Influence of ZIF-8 filler over H2/CO2 separation performance at high temperature and pressure","Etxeberria Benavides, M. (TU Delft ChemE/Catalysis Engineering; Tecnalia, San Sebastian); Johnson, Timothy (Johnson Matthey Technology Center); Cao, Shuai (Johnson Matthey Technology Center); Zornoza, Beatriz (Universidad de Zaragoza); Coronas, Joaquín (Universidad de Zaragoza); Liu, X. (TU Delft ChemE/Catalysis Engineering); Andres Garcia, E. (TU Delft ChemE/Catalysis Engineering; Universitat Politécnica de Valencia); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology)","","2020","High performance and commercially attractive mixed-matrix membranes were developed for H2/CO2 separation via a scalable hollow fiber spinning process. Thin (~300 nm) and defect-free selective layers were successfully created with a uniform distribution of the nanosized (~60 nm) zeolitic-imidazole framework (ZIF-8) filler within the polymer (polybenzimidazole, PBI) matrix. These membranes were able to operate at high temperature (150 °C) and pressure (up to 30 bar) process conditions required in treatment of pre-combustion and syngas process gas streams. Compared with neat PBI hollow fibers, filler incorporation into the polymer matrix leads to a strong increase in H2 permeance from 65 GPU to 107 GPU at 150 °C and 7 bar, while the ideal H2/CO2 selectivity remained constant at 18. For mixed gas permeation, there is competition between H2 and CO2 transport inside ZIF-8 structure. Adsorption of CO2 in the nanocavities of the filler suppresses the transport of the faster permeating H2 and consequently decreases the H2 permeance with total feed pressure down to values equal to the pure PBI hollow fibers for the end pressure of 30 bar. Therefore, the improvement of fiber performance for gas separation with filler addition is compromised at high operating feed pressures, which emphasizes the importance of membrane evaluation under relevant process conditions.","CO capture; H/CO separation; Hollow fiber spinning; Mixed matrix membrane; Pre-combustion","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:5f4fee40-73b7-4211-989c-e75f9f3ec608","http://resolver.tudelft.nl/uuid:5f4fee40-73b7-4211-989c-e75f9f3ec608","Experimental characterization of storage stability of crumb rubber modified bitumen with warm-mix additives","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2020","One of the main drawbacks of crumb rubber modified bitumen (CRMB) is the storage stability issue. The storage instability of CRMB impedes its further application. This study aims to develop a robust methodology to evaluate the storage stability of CRMB binders using both mechanical and morphological tests. The effects of rubber contents (0, 5%, 10%, 15%, 22% by weight of bitumen) and different non-foaming warm-mix additives (wax-based and chemical-based additives) on the storage stability of CRMB were investigated. Laboratory tests were also performed on the constituents of CRMB to have a deep understanding of the mechanism of storage instability. Standard tube separation tests were conducted on different binders. Both rheological tests and X-ray computed tomography (CT) scan tests were performed on the binder samples collected from different parts of the tube test. Separation indices were developed based on the difference in mechanical property and rubber content from the tube samples respectively. Results show that CRMB with a higher rubber content is more storage stable than that with a lower rubber content. The addition of warm-mix additives is detrimental to the storage stability of the studied CRMB. Rheological tests were performed on the individual constituents of CRMB (i.e., bitumen phase and rubber phase) to understand better the dynamic asymmetry potentially existing within the unstable CRMB binder. Results show that the residual bitumen becomes stiffer while the swollen rubber becomes softer after interaction because of the preferential absorption of light components of bitumen by rubber. The dynamic asymmetry existing between the bitumen phase and the rubber phase of CRMB results in storage instability. When the bitumen phase has similar dynamic properties as the rubber phase, the resulted binder system will be stable. It is possible to manipulate raw material properties and interaction conditions to achieve the desired crossover between two phases of CRMB and hence obtain a storage-stable CRMB blend.","Crumb rubber modified bitumen; Dynamic asymmetry; Frequency sweep; Multiple stress creep recovery; Storage stability; Warm mix asphalt; x-ray CT scan","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:01bc9aab-58d5-41f0-974b-befb04d765aa","http://resolver.tudelft.nl/uuid:01bc9aab-58d5-41f0-974b-befb04d765aa","Performance of Multi-Layer and Stator-Shifting Fractional-Slot Concentrated Windings for Superconducting Wind Turbine Generators under Normal and Short-Circuit Operation Conditions","Liu, Dong (Hohai University); Song, Xiaowei (Vestas Wind System A/S); Dong, J. (TU Delft DC systems, Energy conversion & Storage)","","2020","High temperature superconducting (HTS) generators are being considered for large offshore direct-drive (DD) wind turbines as they are expected to be lightweight and compact. However, short circuit torques of an HTS generator with integral-slot distributed windings (ISDWs) are too high for wind turbine constructions, mainly due to the large magnetic air gap. Fractional-slot concentrated windings (FSCWs) can be considered to address this issue since their high leakage inductance can limit short circuit currents and torques. Unlike ISDWs, FSCWs produce great contents of space harmonics that induce excessive losses in rotor components. Multi-layer and stator-shifting windings have been proposed to effectively reduce such losses. Based on a conventional 12-slot 10-pole configuration, this paper evaluates the effects of multi-layer and stator-shifting FSCWs on torque production and loss reduction in a 10 MW DD HTS generator. The examined losses include eddy current losses in the rotor shields and AC losses in the HTS field winding. This paper also checks if these FSCW schemes maintain the advantage of achieving a low short circuit torque. The results show that a 6-phase stator-shifting winding is the best choice for applying FSCWs to HTS generators.","AC loss; eddy current loss; fractional-slot winding; multi-layer; stator shifting; superconducting generator; torque; wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:07ebd8ab-cc57-434d-91e6-b1da67620c82","http://resolver.tudelft.nl/uuid:07ebd8ab-cc57-434d-91e6-b1da67620c82","A sectoral eco-efficiency analysis on urban-industrial symbiosis","Bian, Yuli (South China Agricultural University); Dong, Liang (City University of Hong Kong); Liu, Z. (TU Delft Integral Design & Management); Zhang, Lezhu (South China Agricultural University)","","2020","Urban-industrial symbiosis (UIS) is an important system innovation via sectors integration, and has been widely recognized as a novel pathway for achieving regional eco-industrial development. Eco-efficiency, as a mature approach and indicator, offers an effective tool to uncover both the status and trends of such a transformation. However, most studies have focused on the whole industry or city as a whole, which has meant that a view from the sectoral level focusing on UIS was missing. To fill this research gap, this paper applied a modified eco-efficiency approach using integrating input-output analysis (IOA) and carbon footprint (CFP) to identify the eco-efficiency benefits of UIS from a sectoral level. Specifically, sector-level economic data (as economic outputs) and CFP (as environmental impacts) are used to calculate the sectoral eco-efficiency. IOA helps to offer sectoral economic data, and, with integrating process-based inventory analysis, to conduct a CFP calculation at the sectoral level. To test the feasibility of the developed approach, urban industrial symbiosis scenarios in one typical industrial city of China were analyzed. This city is held up as the national pilot of the circular economy, low-carbon city, and ecological civilization in China. Scenarios analysis on a business as usual (no UIS) and with UIS implementation in 2012 were undertaken and compared with the change of sectoral CFP and eco-efficiency. The results highlighted a moderate increase in eco-efficiency and trade-offs in certain sectors, indicating that UIS was moderately effective in increasing the urban resource efficiency from a sectoral level, but a refined design was required. Policy recommendations are made based on the analytical results, to inform decision makers and urban and industrial managers seeking to improve the implementation of UIS as a means of achieving greater urban sustainability.","Carbon footprint; China; Eco-efficiency; Urban sustainability; Urban-industrial symbiosis","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:bfedc07c-e0df-4487-a068-0f9b967d3cb7","http://resolver.tudelft.nl/uuid:bfedc07c-e0df-4487-a068-0f9b967d3cb7","Numerical investigation on the surface crack growth in FRP-reinforced steel plates subjected to tension","Li, Z. (TU Delft Support Marine and Transport Techology); Jiang, X. (TU Delft Transport Engineering and Logistics); Hopman, J. (TU Delft Geo-engineering); Zhu, Ling (Wuhan University of Technology); Liu, Zhiping (Ministry of Education Hangzhou)","","2020","In this paper, we analyse the surface crack growth in the Fibre-Reinforced Polymer (FRP) reinforced steel plates subjected to tension by means of the finite element (FE) method. Following the experimental study, a three-dimensional FE model is developed to evaluate the Stress Intensity Factor (SIF) of the surface crack, and the crack growth rate is calculated by using the Paris’ law. Then the FE model is validated by the experimental results. Afterwards, on account of the validated FE model, a parametric study is developed in order to guide the optimization design of FRP reinforcement accounting for different reinforcing schemes and multiple influential parameters. The results indicate that the single-side FRP reinforcement on the cracked surface is the most efficient method, owing to the generated out-of-plane bending moment. In addition, the optimum bond length and number of layers are indicated. Besides, surface crack growth is sensitive to the influential parameters including aspect ratio of the surface crack and crack dimension, while less sensitive to the Carbon-FRP (CFRP) tensile modulus, and the adhesive thickness. The analysis is of instructive value to facilitate the application of FRP reinforcement on the surface cracked metallic structure repairing domain.","Fibre-reinforced polymer; Finite element method; Stress intensity factor; Structural integrity; Surface crack","en","journal article","","","","","","","","","","","Support Marine and Transport Techology","","",""
"uuid:95a793f0-97a2-4c3f-973b-bf03a2333d8f","http://resolver.tudelft.nl/uuid:95a793f0-97a2-4c3f-973b-bf03a2333d8f","A high responsivity and controllable recovery ultraviolet detector based on a WO3gate AlGaN/GaN heterostructure with an integrated micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhang, Shuo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhan, Teng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yi, Xiaoyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Li, Jinmin (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors)","","2020","A high responsivity and controllable recovery ultraviolet (UV) photodetector based on a tungsten oxide (WO3) gate AlGaN/GaN heterostructure with an integrated micro-heater is reported for the first time. The WO3nanolayer was deposited by physical vapor deposition (PVD) for deep UV absorption and the micro-heater was integrated for chip level heating and cooling. Our device when exposed to UV wavelength exhibits a high responsivity of 1.67 × 104A W−1at 240 nm and a sharp cut-off wavelength of 275 nm. More importantly, the persistent photoconductivity (PPC) effect can be eliminated by a novel method, mono-pulse heating reset (MHR), which consists in applying an appropriate pulse voltage to the micro-heater right after the removal of the UV illumination. The recovery time was reduced from hours to just seconds without reducing the high responsivity and stability of the photodetector. The UV detection, high responsivity, high stability, controllable recovery process and low production cost of GaN-based photodetectors make these devices extremely attractive for several applications, such as fire detection and missile and rocket warning.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-28","","","Electronic Components, Technology and Materials","","",""
"uuid:4af22703-6dc8-4869-ad29-962602ba16c5","http://resolver.tudelft.nl/uuid:4af22703-6dc8-4869-ad29-962602ba16c5","Measuring Bacterial Growth Potential of Ultra-Low Nutrient Drinking Water Produced by Reverse Osmosis: Effect of Sample Pre-treatment and Bacterial Inoculum","Sousi, Mohaned (IHE Delft Institute for Water Education; University of Twente); Salinas-Rodriguez, Sergio G. (IHE Delft Institute for Water Education); Liu, G. (TU Delft Space Systems Egineering; Chinese Academy of Sciences); Schippers, Jan C. (IHE Delft Institute for Water Education); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); van der Meer, Walter (University of Twente; Oasen)","","2020","Measuring bacterial growth potential (BGP) involves sample pre-treatment and inoculation, both of which may introduce contaminants in ultra-low nutrient water (e.g., remineralized RO permeate). Pasteurization pre-treatment may lead to denaturing of nutrients, and membrane filtration may leach/remove nutrients into/from water samples. Inoculating remineralized RO permeate samples with natural bacteria from conventional drinking water leads to undesired nutrient addition, which could be avoided by using the remineralized RO permeate itself as inoculum. Therefore, this study examined the effect of pasteurization and membrane filtration on the BGP of remineralized RO permeate. In addition, the possibility of using bacteria from remineralized RO permeate as inoculum was investigated by evaluating their ability to utilize organic carbon that is readily available (acetate, glucose) or complex (laminarin, gelatin, and natural dissolved organic carbon), as compared with bacteria from conventional drinking water. The results showed that membrane filtration pre-treatment increased (140–320%) the BGP of remineralized RO permeate despite the extensive soaking and flushing of filters (>350 h), whereas no effect was observed on the BGP of conventional drinking water owing to its high nutrient content. Pasteurization pre-treatment had insignificant effects on the BGP of both water types. Remineralized RO permeate bacteria showed limitations in utilizing complex organic carbon compared with bacteria from conventional drinking water. In conclusion, the BGP bioassay for ultra-low nutrient water (e.g., remineralized RO permeate) should consider pasteurization pre-treatment. However, an inoculum comprising bacteria from remineralized RO permeate is not recommended as the bacterial consortium was shown to be limited in terms of the compounds they could utilize for growth.","bacterial growth potential; bacterial inoculum; pre-treatment; remineralisation; reverse osmosis; ultra-low nutrient water","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:2775b392-3423-4360-9ad9-ca130f550de6","http://resolver.tudelft.nl/uuid:2775b392-3423-4360-9ad9-ca130f550de6","Neuro4Neuro: A neural network approach for neural tract segmentation using large-scale population-based diffusion imaging","Li, Bo (Northeastern University; Erasmus MC); de Groot, Marius (Erasmus MC); Steketee, Rebecca M.E. (Erasmus MC); Meijboom, Rozanna (Erasmus MC; University of Edinburgh); Smits, Marion (Erasmus MC); Vernooij, Meike W. (Erasmus MC); Ikram, M. Arfan (Erasmus MC); Liu, Jiren (Northeastern University); Niessen, W.J. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Computational Imaging; Erasmus MC)","","2020","Subtle changes in white matter (WM) microstructure have been associated with normal aging and neurodegeneration. To study these associations in more detail, it is highly important that the WM tracts can be accurately and reproducibly characterized from brain diffusion MRI. In addition, to enable analysis of WM tracts in large datasets and in clinical practice it is essential to have methodology that is fast and easy to apply. This work therefore presents a new approach for WM tract segmentation: Neuro4Neuro, that is capable of direct extraction of WM tracts from diffusion tensor images using convolutional neural network (CNN). This 3D end-to-end method is trained to segment 25 WM tracts in aging individuals from a large population-based study (N = 9752, 1.5T MRI). The proposed method showed good segmentation performance and high reproducibility, i.e., a high spatial agreement (Cohen's kappa, κ=0.72−0.83) and a low scan-rescan error in tract-specific diffusion measures (e.g., fractional anisotropy: ε=1%−5%). The reproducibility of the proposed method was higher than that of a tractography-based segmentation algorithm, while being orders of magnitude faster (0.5s to segment one tract). In addition, we showed that the method successfully generalizes to diffusion scans from an external dementia dataset (N = 58, 3T MRI). In two proof-of-principle experiments, we associated WM microstructure obtained using the proposed method with age in a normal elderly population, and with disease subtypes in a dementia cohort. In concordance with the literature, results showed a widespread reduction of microstructural organization with aging and substantial group-wise microstructure differences between dementia subtypes. In conclusion, we presented a highly reproducible and fast method for WM tract segmentation that has the potential of being used in large-scale studies and clinical practice.","CNN; Diffusion MRI; Neurodegeneration; Segmentation; White matter tract","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:afda863a-c87b-4bd4-864d-38b517ba3490","http://resolver.tudelft.nl/uuid:afda863a-c87b-4bd4-864d-38b517ba3490","Adsorption behavior of anionic surfactants to silica surfaces in the presence of calcium ion and polystyrene sulfonate","Liu, Z. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces); Hedayati, Pegah (Student TU Delft); Sudhölter, Ernst J. R. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces); Haaring, Robert (Student TU Delft); Shaik, A.R. (TU Delft OLD ChemE/Organic Materials and Interfaces); Kumar, N. (TU Delft OLD ChemE/Organic Materials and Interfaces)","","2020","Adsorption behavior of surfactants to rock surfaces is an important issue in oil recovery, especially in the process of surfactant flooding. The surfactant loss through adsorption to rock surfaces makes such process economically less feasible. Here, we investigated the adsorption behavior of anionic surfactants (alcohol alkoxy sulfate, AAS) onto silica with quartz crystal microbalance with dissipation monitoring. The results demonstrated that the surfactant adsorption followed the Langmuir adsorption isotherm. Up to solution pH 10, surfactant adsorption slightly increased with increasing pH. The higher pH leads to more anionic surface sites for binding with an anionic surfactant with the help of a calcium cation bridging. The amount of anionic surfactant binding also increases with increasing calcium ion concentration up to 50 mM. It was found that sodium ions were able to exchange calcium ions near the silica surface, which would reduce the affinity for surfactant adsorption. The effect of the polyanion polystyrene sulfonate (PSS) on the anionic AAS adsorption was investigated to learn the possible competitive adsorptions. Indeed, this was found. Upon addition of 50 ppm PSS to a 0.05 wt% AAS containing solution, the adsorption of AAS was reduced by about 85 %. The obtained results show the interplay of different interacting species affecting the overall degree of anionic surfactant adsorption to silica surfaces. Optimal tuning of the process conditions according to these results will contribute to a more efficient use of anionic surfactants in enhanced oil recovery.","Enhanced oil recovery; Polyelectrolyte; QCM-D; Salt solution; Surfactant adsorption","en","journal article","","","","","","Accepted Author Manuscript","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:02d2a4fe-cc14-4c3b-a414-8ed30c06fb76","http://resolver.tudelft.nl/uuid:02d2a4fe-cc14-4c3b-a414-8ed30c06fb76","Experimental Investigation of Rubber Swelling in Bitumen","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Rubber swelling in bitumen, which is a diffusion-induced volume expansion process, plays a dominant role in the design of crumb rubber modified bitumen binders and their properties development. This study aims to investigate the kinetics of bitumen diffusion into truck tire rubber, the equilibrium swelling characteristics of rubber, and the mechanical properties of rubber before and after swelling at different high temperatures. Fourier transform infrared spectroscopy results indicate that no rubber dissolution happens during the interaction in the temperature range from 160°C to 200°C. Aliphatic compounds from bitumen preferentially diffused into rubber during the swelling process. The diffusion coefficients of bitumen into rubber were determined by the sorption test using the gravimetric method. The diffusion coefficient increases with the increase of temperature in an Arrhenius form. The volume expansion of rubber during swelling was captured by the X-ray computed tomography scan images. Rubber swells faster at the earlier stages, then the expansion rate slows down. The swelling ratio of rubber increased from 1.97 at 160°C to 3.03 at 200°C after 36 h interaction. Mechanical tests by dynamic shear rheometer reveal that swollen rubber becomes softer compared with the dry rubber and exhibits obvious viscoelastic behaviors. With the increase of temperature, the softening and viscous effect are more significant. The obtained parameters can be implemented to swelling and micromechanical models to better predict the binder properties.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","Pavement Engineering","","",""
"uuid:e0a4f1dd-2798-442c-b5c1-e139b49321ac","http://resolver.tudelft.nl/uuid:e0a4f1dd-2798-442c-b5c1-e139b49321ac","Optimization of mesa etch for a quasi-vertical gan schottky barrier diode (Sbd) by inductively coupled plasma (icp) and device characteristics","Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Kang, Xuanwu (Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Li, Pengfei (Chinese Academy of Sciences); Wang, Wenbo (Shenzhen Institute of Wide-bandgap Semiconductors); Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The optimization of mesa etch for a quasi-vertical gallium nitride (GaN) Schottky barrier diode (SBD) by inductively coupled plasma (ICP) etching was comprehensively investigated in this work, including selection of the etching mask, ICP power, radio frequency (RF) power, ratio of mixed gas, flow rate, and chamber pressure, etc. In particular, the microtrench at the bottom corner of the mesa sidewall was eliminated by a combination of ICP dry etching and tetramethylammonium hydroxide (TMAH) wet treatment. Finally, a highly anisotropic profile of the mesa sidewall was realized by using the optimized etch recipe, and a quasi-vertical GaN SBD was demonstrated, achieving a low reverse current density of 10−8 A/cm2 at −10 V.","Dry etch; GaN; Inductively coupled plasma (ICP); Mesa; Quasi-vertical; Schottky barrier diode (SBD); Sidewall profile","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:6e97a477-3a0c-43bb-8c75-9e628c071962","http://resolver.tudelft.nl/uuid:6e97a477-3a0c-43bb-8c75-9e628c071962","Markov-based solution for information diffusion on adaptive social networks","Liu, Chuang (Hangzhou Normal University); Zhou, Nan (Hangzhou Normal University); Zhan, X. (TU Delft Multimedia Computing); Sun, Gui-Quan (Shanxi University; North University of China); Zhang, Zi-Ke (Shanxi University; Hangzhou Normal University)","","2020","There is currently growing interest in modeling the information diffusion on social networks across multi-disciplines, including the prediction of the news popularity, the detection of the rumors and the influence of the epidemiological studies. Following the framework of the epidemic spreading, the information spreading models assume that information can be transmitted from the known individuals (infected) to the un-known individuals (susceptible) through the network interactions. During this process, individuals also always change their interactions which in turn will greatly influence the information spreading. In this work, we propose a mechanism considering the co-evolution between information states and network topology simultaneously, in which the information diffusion was executed as an SIS process and network topology evolved based on the adaptive assumption. The theoretical analyses based on the Markov approach were very consistent with simulation. Both simulation results and theoretical analyses indicated that the adaptive process, in which informed individuals would rewire the links between the informed neighbors to a random non-neighbor node, can enhance information diffusion (leading to much broader spreading). In addition, we obtained that two threshold values exist for the information diffusion on adaptive networks, i.e., if the information propagation probability is less than the first threshold, information cannot diffuse and dies out immediately; if the propagation probability is between the first and second threshold, information will spread to a finite range and die out gradually; and if the propagation probability is larger than the second threshold, information will diffuse to a certain size of population in the network. These results may shed some light on understanding the co-evolution between information diffusion and network topology.","Adaptive social networks; Co-evolution; Information spreading","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-01","","","Multimedia Computing","","",""
"uuid:f13f6716-9512-4be3-9c72-46003926ef87","http://resolver.tudelft.nl/uuid:f13f6716-9512-4be3-9c72-46003926ef87","Wind effects on the water age in a large shallow lake","Liu, S. (TU Delft Coastal Engineering); Ye, Qinghua (Student TU Delft; Deltares); Wu, Shiqiang (Nanjing Hydraulic Research Institute); Stive, M.J.F. (TU Delft Coastal Engineering)","","2020","As the third largest fresh water lake in China, Taihu Lake is suffering from serious eutrophication, where nutrient loading from tributary and surrounding river networks is one of the main contributors. In this study, water age is used to investigate the impacts of tributary discharge and wind influence on nutrient status in Taihu Lake, quantitatively. On the base of sub-basins of upstream catchments and boundary conditions of the lake, multiple inflow tributaries are categorized into three groups. For each group, the water age has been computed accordingly. A well-calibrated and validated three-dimensional Delft3D model is used to investigate both spatial and temporal heterogeneity of water age. Changes in wind direction lead to changes in both the average value and spatial pattern of water age, while the impact of wind speed differs in each tributary group. Water age decreases with higher inflow discharge from tributaries; however, discharge effects are less significant than that of wind. Wind speed decline, such as that induced by climate change, has negative effects on both internal and external nutrient source release, and results in water quality deterioration. Water age is proved to be an effective indicator of water exchange efficiency, which may help decision-makers to carry out integrated water management at a complex basin scale.","Delft3D; Meteorological influence; Shallow lake; Sub-basins; Water age","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:61ba737d-bfbc-4c05-bde5-f33222c01f79","http://resolver.tudelft.nl/uuid:61ba737d-bfbc-4c05-bde5-f33222c01f79","Self-Healing Injectable Polymer Hydrogel via Dynamic Thiol-Alkynone Double Addition Cross-Links","Fan, B. (TU Delft ChemE/Advanced Soft Matter); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Liu, Q. (TU Delft ChemE/Product and Process Engineering); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2020","Introduction of dynamic thiol-alkynone double addition cross-links in a polymer network enable the formation of a self-healing injectable polymer hydrogel. A four-arm polyethylene glycol (PEG) tetra-thiol star polymer is cross-linked by a small molecule alkynone via the thiol-alkynone double adduct to generate a hydrogel network under ambient aqueous conditions (buffer pH = 7.4 or 8.2, room temperature). The mechanical properties of these hydrogels can be easily tuned by varying the concentration of polymer precursors. Through the dynamic thiol-alkynone double addition cross-link, these hydrogels are self-healing and shear thinning, as demonstrated by rheological measurements, macroscopic self-healing, and injection tests. These hydrogels can be injected through a 20G syringe needle and recover after extrusion. In addition, good cytocompatibility of these hydrogels is confirmed by cytotoxicity test. This work shows the application of the thiol-alkynone double addition dynamic covalent chemistry in the straightforward preparation of self-healing injectable hydrogels, which may find future biomedical applications such as tissue engineering and drug delivery.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:598e3678-8f01-4e51-8466-42ed09344a8d","http://resolver.tudelft.nl/uuid:598e3678-8f01-4e51-8466-42ed09344a8d","Automatic Docking for Underactuated Ships Based on Multi-Objective Nonlinear Model Predictive Control","Li, Shijie (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Negenborn, R.R. (TU Delft Transport Engineering and Logistics; Wuhan University of Technology); Wu, Qing (Wuhan University of Technology)","","2020","Autonomous shipping refers to the ability of a ship to independently control its own actions while transporting cargo from one port to another, which places higher requirements on ship motion control methods. When a ship enters a port, it is important to ensure that the ship sails from the fairway area to the assigned position at the berth with a desirable speed and that it finally stops at the desired position. Ship docking is known as one of the most challenging tasks due to the non-linearity of low-speed ship movements and the high requirements on collision avoidance with the quayside. This paper proposes a nonlinear model predictive control (NMPC)-based approach for underactuated ships, providing optimal ship rudder angles and propeller revolution rate to automate the ship docking process. At each sampling instant, a finite horizon optimal control problem is formulated based on a nonlinear ship maneuverability model. A lexicographic multi-objective optimization strategy is proposed in the design of the NMPC controller, saving the efforts on control parameters tuning. Simulation experiments are carried out to evaluate the effectiveness of the proposed approach.","Automatic docking; motion control; nonlinear model predictive control","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:6584a26c-676f-448f-bdcc-3fdb058e8809","http://resolver.tudelft.nl/uuid:6584a26c-676f-448f-bdcc-3fdb058e8809","Modelling of large-sized electrolysers for realtime simulation and study of the possibility of frequency support by electrolysers","Tuinema, B.W. (TU Delft Intelligent Electrical Power Grids); Ebrahim Adabi, M. (TU Delft Intelligent Electrical Power Grids); Ayivor, P.K.S. (TenneT TSO B.V.); Garcia Suarez, V. (DNV GL); Liu, L. (TU Delft Intelligent Electrical Power Grids); Perilla Guerra, A.D. (TU Delft Intelligent Electrical Power Grids); Ahmad, Z. (TU Delft Photovoltaic Materials and Devices); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2020","Hydrogen as an energy carrier holds promising potential for future power systems. An excess of electrical power from renewables can be stored as hydrogen, which can be used at a later moment by industries, households or the transportation system. The stability of the power system could also benefit from electrolysers as these have the potential to participate in frequency and voltage support. Although some electrical models of small electrolysers exist, practical models of large electrolysers have not been described in literature yet. In this publication, a generic electrolyser model is developed in RSCAD, to be used in real-time simulations on the real-time digital simulator. This model has been validated against field measurements of a 1 MW pilot electrolyser installed in the northern part of The Netherlands. To study the impact of electrolysers on power system stability, various simulations have been performed. These simulations show that electrolysers have a positive effect on frequency stability, as electrolysers are able to respond faster to frequency deviations than conventional generators.","","en","journal article","","","","","","""Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.""","","2021-12-22","","","Intelligent Electrical Power Grids","","",""
"uuid:bf485319-ec50-4d82-9b7c-aa29dddbe55e","http://resolver.tudelft.nl/uuid:bf485319-ec50-4d82-9b7c-aa29dddbe55e","Modeling, Experimental Validation, and Application of VARC HVDC Circuit Breakers","Liu, S. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Popov, M. (TU Delft Intelligent Electrical Power Grids); Mirhosseini, S.S. (TU Delft Intelligent Electrical Power Grids; Iran University of Science and Technology); Nee, Simon (SCiBreak AB); Modeer, Tomas (SCiBreak AB); Ängquist, Lennart (SCiBreak AB); Belda, Nadew (DNVGL - KEMA Laboratories); Koreman, Kees (TenneT TSO B.V.); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.)","","2020","This paper deals with the modeling, hardware results and model validation by measurements of a VSC assisted resonant current (VARC) dc circuit breaker (CB) and the application within a future network by simulation. The newly emerging VARC dc CB can be used as a solution for the protection of offshore multi-terminal HVDC (MTDC) grids. In this paper, the proposed VARC dc CB is modeled in detail in a PSCAD environment, by taking into account dielectric strength of the vacuum gap, high-frequency current quenching ability and parasitic components. The PSCAD-model is then verified by data from the testing of a 27 kV VARC dc CB prototype with maximum current interruption capability of 10 kA. Additionally, the initial transient interruption voltage and current slope at zero-crossing during the interruption are analyzed. With respect to scaling to a higher voltage level, three types of series connected modules are presented and the performances are compared. The performance of the series connected modules is simulated in a model of a 4-terminal HVDC grid. The obtained results validate the VARC dc CB as a promising solution for the dc fault isolation in MTDC grids.","circuit breaker performance; HVDC circuit breaker; HVDC grid; PSCAD; transient analysis; VARC","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Intelligent Electrical Power Grids","","",""
"uuid:c7d2e536-f346-44dc-93b9-07883efb1279","http://resolver.tudelft.nl/uuid:c7d2e536-f346-44dc-93b9-07883efb1279","Modelling of membrane bonding response: Part 1 development of an adhesive contact interface element","Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); Li, J (TU Delft Sanitary Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2020","The adhesive bonding strength of the membrane layers between the asphalt concrete surface layers and the decks of steel bridges has a strong influence on the fatigue life of orthotropic steel deck bridges (OSDBs). The most important requirement for the application of membrane materials to orthotropic steel deck bridges is that the membrane adhesive layer is able to sufficiently bond to its surrounding material layers. The interfacial properties between the membrane and the layers bonded to it have not been extensively studied in the current orthotropic steel deck bridge system. In this paper, details of the contact interface element utilised to model the interfacial bonding properties will be discussed. Furthermore, the traction-separation material law will be chosen to describe the bonding response of the interfacial properties of the membrane to its surrounding surfacing layers on OSDBs. Some numerical examples, in which various aspects of the finite elements response of the contact interface model will be presented. Utilisation of the model in finite element analyses has enabled the investigation of the response of a 3D orthotropic steel deck bridge subjected to the different traffic loading conditions.","Adhesive bonding strength; asphalt concrete; constitutive model; contact interface element; finite element; membrane; orthotropic steel deck bridges","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:82cb5742-4e6d-499e-b41c-95fb4cd3bbd9","http://resolver.tudelft.nl/uuid:82cb5742-4e6d-499e-b41c-95fb4cd3bbd9","Modelling of membrane bonding response: Part 2 finite element simulations of membrane adhesion tests","Liu, X. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); Li, J (TU Delft Sanitary Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Tzimiris, G. (TU Delft Pavement Engineering)","","2020","The adhesive bonding strength of the membrane layers between the asphalt concrete surface layers and the decks of steel bridges has a strong influence on the fatigue life of orthotropic steel deck bridges (OSDBs). The interfacial properties between the membrane and the layers bonded to it have not been extensively studied in the current orthotropic steel deck bridge system. For the adequate characterisation of the adhesive-bonding strength of various membranes and surrounding materials on OSDBs and for the collection of the necessary parameters for finite element model, details of the membrane adhesion test (MAT) are introduced and simulated by using the adhesive traction-separation interface element which was developed in a companion paper to this contribution (Liu, X., Kasbergen, C., Li, J., & Scarpas, A. (2019). Modelling of membrane bonding response: part 1 development of an adhesive contact interface element. International Journal of Pavement Engineering). Parametric studies of the adhesive contact element utilised for modelling the membrane bonding layer in the MAT test have been performed on the basis of the combination of different critical strain energy release rates and the characteristic opening length in the constitutive model. Comparison of membrane deformation profiles and the in-time debonding force distribution between experimental observations and finite element simulations have been presented.","Adhesive bonding strength; asphalt concrete; contact interface element; finite element; membrane; orthotropic steel deck bridges; strain energy release rate","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9c48a6a8-5615-4848-8799-738a84baca07","http://resolver.tudelft.nl/uuid:9c48a6a8-5615-4848-8799-738a84baca07","Revealing the Impact of Space-Charge Layers on the Li-Ion Transport in All-Solid-State Batteries","Cheng, Zhu (Nanjing University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Li, Z. (TU Delft RST/Storage of Electrochemical Energy); Zhang, Xiaoyu (Nanjing University); He, Ping (Nanjing University); Zhou, Haoshen (Nanjing University; National Institute of Advanced Industrial Science and Technology (AIST)); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Li, Chau (Nanjing University)","","2020","The influence of space-charge layers on the ionic charge transport over cathode-solid electrolyte interfaces in all-solid-state batteries remains unclear because of the difficulty to unravel it from other contributions to the ion transport over the interfaces. Here, we reveal the effect of the space-charge layers by systematically tuning the space-charge layer on and off between Li xV 2O 5 and Li 1.5Al 0.5Ge 1.5(PO 3) 4 (LAGP), by changing the Li xV 2O 5 potential and selectively measuring the ion transport over the interface by two-dimensional (2D) NMR exchange. The activation energy is demonstrated to be 0.315 eV for lithium-ion exchange over the space-charge-free interface, which increases dramatically to 0.515 eV for the interface with a space-charge layer. Comparison with a space-charge model indicates that the charge distribution due to the space-charge layer is responsible for the increased interface resistance. Thereby, the present work provides selective and quantitative insight into the effect of space-charge layers over electrode-electrolyte interfaces on ionic transport.","2D exchange NMR; interfaces; LAGP; Li-ion diffusion; LiVO; solid-state batteries; space-charge layers","en","journal article","","","","","","","","2021-06-17","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e077325a-2a09-41f4-b2f1-4cd43bc66dc6","http://resolver.tudelft.nl/uuid:e077325a-2a09-41f4-b2f1-4cd43bc66dc6","Suppression of persistent photoconductivity AlGaN/GaN heterostructure photodetectors using pulsed heating","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhan, Teng (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Yi, Xiaoyan (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting)","","2019","This paper demonstrates a method to reduce the decay time in AlGaN/GaN photodetectors by a pulsed heating mode. A suspended AlGaN/GaN heterostructure photodetector integrated with a micro-heater is fabricated and characterized under ultraviolet illumination. We have observed that the course of persistent photoconductivity was effectively accelerated by applying pulsed heating. The decay time is significantly reduced from 175 s by DC heating to 116 s by 50 Hz pulsed heating at the same power (280 mW). With the same pulse duty cycle and a 50 Hz pulsed heating frequency, a reduction of 30%-45% in decay time is measured compared to DC heating.","pulsed heating; AlGaN; GaN; UV detector","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:fcf7ad32-14b6-4422-a4d1-568a3c5a185f","http://resolver.tudelft.nl/uuid:fcf7ad32-14b6-4422-a4d1-568a3c5a185f","Nature–Inspired self–cleaning surfaces: Mechanisms, modelling, and manufacturing","Yu, Cunming (Beihang University; Aalto University); Sasic, Srdjan (Chalmers University of Technology); Liu, Kai (Aalto University); Salameh, S. (TU Delft ChemE/Product and Process Engineering; aint-Gobain Research Germany); Ras, Robin H.A. (Aalto University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2020","Nature-inspired self-cleaning surfaces have attracted considerable attention from both fundamental research and practical applications. This review adopts a chemical-engineering point of view and focuses on mechanisms, modelling, and manufacturing (M3) of nature-inspired self-cleaning surfaces. We will introduce six nature-inspired self-cleaning mechanisms: The Lotus-effect, superhydrophobic-induced droplet jumping, superhydrophobic-induced unidirectional movement of water droplet, underwater-superoleophobic-based self-cleaning, slippery-based self-cleaning, and dry self-cleaning. These mechanisms of nature self-cleaning examples are popular and well-known as well as have been widely applied or exhibited potential applications in our daily life and industrial productions. The mathematical and numerical modelling of the identified self-cleaning mechanisms will be carefully introduced, which will contribute to the rational design and reproducible construction of these functional self-cleaning surfaces. Finally, we will discuss how these materials can be produced, with a focus on scalable manufacturing. We hope this review will strengthen the understanding on nature-inspired self-cleaning surfaces and stimulate interdisciplinary collaboration of material science, biology and engineering.","Bio-inspired; Fundamentals; Repellent; Scalable production; Simulations","en","journal article","","","","","","","","2022-01-02","","","ChemE/Product and Process Engineering","","",""
"uuid:d6117646-bcb8-4b0d-b0c0-e72febca837d","http://resolver.tudelft.nl/uuid:d6117646-bcb8-4b0d-b0c0-e72febca837d","Evaluation of rheological behaviors and anti-aging properties of recycled asphalts using low-viscosity asphalt and polymers","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); Fan, Weiyu (China University of Petroleum (East China)); Erkens, S. (TU Delft Pavement Engineering)","","2020","Recycling technology is widely used in the asphalt road construction due to its environmental and economic effects. Many efforts have focused on the performance restoration of aged base asphalt by adding light oil, but the possibility of recycling the aged asphalt using low-viscosity asphalt and polymers has been few explored. Therefore, the objective of this research is to use polymer-modified low-viscosity asphalt as rejuvenator to recycle the aged asphalt. The conventional properties, rheological behaviors as well as anti-aging performance of polymer-modified recycled asphalts were evaluated by rotational viscosity (RV) tests, dynamic shear rheometer (DSR) tests and bending beam rheometer (BBR) tests. Moreover, the effects of the concentration ratio between polymer-modified low-viscosity asphalt rejuvenator and aged asphalt on the high-temperature anti-rutting, low-temperature cracking resistance, fatigue and aging resistance abilities of recycled asphalt were studied. The results show that the low-viscosity asphalt can increase viscous components and restore the workability of aged asphalt. However, the addition of low-viscosity asphalt weakens the high-temperature properties, temperature sensitivity and anti-aging performance of aged asphalt. Fortunately, the high-temperature rutting resistance, temperature sensitivity, viscoelastic properties, low-temperature cracking resistance, anti-fatigue and aging resistance performance of recycled asphalt can be enhanced remarkably by adding SBS and CR. Meanwhile, SBS-modified recycled asphalt has better fatigue and low temperature cracking resistance properties, while CR-modified recycled asphalt has the advantages on the rutting resistance, anti-aging and temperature sensitivity performance. Furthermore, SBS5-5 and CR6-4 modified recycled asphalts both have better pavement performance than others, which is superior to the requirements of polymer modified asphalt. Therefore, it is meaningful and feasible to recycle the aged base asphalt to be polymer-modified asphalt.","Aged asphalt; Crumb rubber (CR); Low-viscosity asphalt; Recycling; Styrene-butadiene-styrene (SBS)","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:099fd40d-49ff-477a-978f-9326243c1171","http://resolver.tudelft.nl/uuid:099fd40d-49ff-477a-978f-9326243c1171","Extreme ultraviolet lensless imaging without object support through rotational diversity in diffractive shearing interferometry","de Beurs, A. C.C. (Vrije Universiteit Amsterdam; Advanced Research Center for Nanolithography); Liu, X. (Vrije Universiteit Amsterdam; Advanced Research Center for Nanolithography); Jansen, G. (Vrije Universiteit Amsterdam; Advanced Research Center for Nanolithography); Konijnenberg, A.P. (TU Delft ImPhys/Optics; ASML); Coene, W.M.J.M. (TU Delft ImPhys/Optics; ASML); Eikema, K. S.E. (Vrije Universiteit Amsterdam; Advanced Research Center for Nanolithography); Witte, S. (Vrije Universiteit Amsterdam; Advanced Research Center for Nanolithography)","","2020","We report on a method that allows microscopic image reconstruction from extreme-ultraviolet diffraction patterns without the need for object support constraints or other prior knowledge about the object structure. This is achieved by introducing additional diversity through rotation of an object in a rotationally asymmetric probe beam, produced by the spatial interference between two phase-coherent high-harmonic beams. With this rotational diffractive shearing interferometry method, we demonstrate robust image reconstruction of microscopic objects at wavelengths around 30 nm, using images recorded at only three to five different object rotations.","","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:bc7e5310-d4c4-4077-9178-f5837169175b","http://resolver.tudelft.nl/uuid:bc7e5310-d4c4-4077-9178-f5837169175b","Calcium alginate capsules encapsulating rejuvenator as healing system for asphalt mastic","Xu, S. (TU Delft Materials and Environment); Tabakovic, A. (Dublin Institute of Technology; University College Dublin); Schlangen, E. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering)","","2018","Researchers have demonstrated that the rejuvenator encapsulation method is a promising autonomic self-healing approach for asphalt pavements, where by the self-healing system improves the healing capacity of an asphalt pavement mix. However, potentially high environmental risk via leaching of hazardous chemicals such as melamine formaldehyde renders the technology unsuitable for widespread use in road design. This paper explores the potential for the use of more environmentally friendly and economically viable rejuvenator encapsulation method, where the calcium alginate is used as rejuvenator encapsulation material. The capsule morphology and microstructure were studied using the Microscopy and X-ray tomography. Capsules thermal resistance and mechanical strength were investigated using the Thermogravimetric analysis (TGA) and micro-compressive tests. The results demonstrated that the capsules have sufficient thermal and mechanical strength to survive the asphalt production process. The healing efficiency of the system was evaluated by embedment of calcium-alginate capsules encapsulating rejuvenator in an asphalt mastic beams and subjected to monotonic three-point bend (3PB) loading and healing programme. The results illustrated that the calcium-alginate capsules encapsulating rejuvenator can significantly improve healing performance of the asphalt mastic mix.","Asphalt; Calcium-alginate; Capsules; Rejuvenator; Self-healing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-09-20","","","Materials and Environment","","",""
"uuid:fb92d00c-86f3-4fe7-ac39-eb5f81cce7d4","http://resolver.tudelft.nl/uuid:fb92d00c-86f3-4fe7-ac39-eb5f81cce7d4","Fatigue performance of long-term aged crumb rubber modified bitumen containing warm-mix additives","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Recently warm mix asphalt (WMA) technologies have been introduced to rubberized asphalt mixtures to decrease the required construction temperatures and to alleviate the hazardous gas emissions. Rubberized asphalt pavements combining with WMA have the potential to improve the long-term pavement performance. This study aims to investigate the fatigue performance of crumb rubber modified bitumen (CRMB) containing warm-mix additives using different characterization methods. The effects of crumb rubber modifier (CRM) content (5%, 10%, 15% and 22% by weight of base bitumen) and warm-mix additives on the binder fatigue performance were investigated. Various laboratory tests, including frequency sweep tests, time sweep (TS) tests and linear amplitude sweep (LAS) tests, were conducted on the long-term aged binders to obtain indicators of fatigue performance. Results show that there is a good correlation between the measured fatigue life determined by TS tests using the dissipated energy concept and the predicted fatigue life determined by LAS tests using the simplified viscoelastic continuum damage (S-VECD) theory. However, the traditional Superpave fatigue parameter and the G-R parameter cannot characterize accurate enough the fatigue performance of modified binders. CRMB binders exhibit superior fatigue performance compared to the neat bitumen. The effects of warm-mix additives on the fatigue performance are different for neat bitumen compared to CRMB binder. Based on the findings in this study, rubberized asphalt mixture combining with WMA additives is expected to have a promising long-term fatigue performance.","Crumb rubber modified bitumen; Fatigue; Linear amplitude sweep; Time sweep; Warm mix asphalt","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:5121aba4-f448-4153-b22c-547b2c67ae77","http://resolver.tudelft.nl/uuid:5121aba4-f448-4153-b22c-547b2c67ae77","Tuning the magneto-elastic transition of (Mn,Fe,V)2(P,Si) alloys to low magnetic field applications","Lai, J. (TU Delft RST/Fundamental Aspects of Materials and Energy); You, X. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); Huang, B. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft QRD/Kouwenhoven Lab); Liu, J. (TU Delft RST/Fundamental Aspects of Materials and Energy); Maschek, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Eijck, L. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RST/Neutron and Positron Methods in Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2020","The first-order magneto-elastic transition in the Mn–Fe–P–Si alloys can be tailored by vanadium substitution. Alloys with a suitable V substitution provide an excellent magnetocaloric effect with minor hysteresis in low magnetic fields up to 1.2 T. Mössbauer measurements show that the hyperfine field is reduced by V substitution. Neutron diffraction reveals that Fe is substituted by V on the 3f site and the magnetic moment on the 3f site is enhanced by the V substitution. The modified magnetic exchange field around the 3f and 3g positions in the lattice can be utilized to design suitable magnetocaloric materials that operate in low magnetic fields.","Magnetocaloric; Mössbauer spectroscopy; Neutron diffraction; Phase transitions; Transition metal alloys and compounds","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:a07f9851-1949-43f8-ae79-9b4518a3f367","http://resolver.tudelft.nl/uuid:a07f9851-1949-43f8-ae79-9b4518a3f367","Workload-Adaptive Configuration Tuning for Hierarchical Cloud Schedulers","Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Zong, Zan (Tsinghua University); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Liu, Wending (Beijing Institute of Technology); Wang, Siyi (Institute of Computing Technology Chinese Academy of Sciences); Zhan, Jianfeng (Institute of Computing Technology Chinese Academy of Sciences)","","2019","Cluster schedulers provide flexible resource sharing mechanism for best-effort cloud jobs, which occupy a majority in modern datacenters. Properly tuning a scheduler's configurations is the key to these jobs' performance because it decides how to allocate resources among them. Today's cloud scheduling systems usually rely on cluster operators to set the configuration and thus overlook the potential performance improvement through optimally configuring the scheduler according to the heterogeneous and dynamic cloud workloads. In this paper, we introduce AdaptiveConfig, a run-time configurator for cluster schedulers that automatically adapts to the changing workload and resource status in two steps. First, a comparison approach estimates jobs' performances under different configurations and diverse scheduling scenarios. The key idea here is to transform a scheduler's resource allocation mechanism and their variable influence factors (configurations, scheduling constraints, available resources, and workload status) into business rules and facts in a rule engine, thereby reasoning about these correlated factors in job performance comparison. Second, a workload-adaptive optimizer transforms the cluster-level searching of huge configuration space into an equivalent dynamic programming problem that can be efficiently solved at scale. We implement AdaptiveConfig on the popular YARN Capacity and Fair schedulers and demonstrate its effectiveness using real-world Facebook and Google workloads, i.e., successfully finding best configurations for most of scheduling scenarios and considerably reducing latencies by a factor of two with low optimization time.","Cloud datacenter; cluster scheduler; configuration; job latency; YARN","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:4ee69e2d-0234-4019-abca-a885938f2474","http://resolver.tudelft.nl/uuid:4ee69e2d-0234-4019-abca-a885938f2474","Self healing of radiation-induced damage in Fe–Au and Fe–Cu alloys: Combining positron annihilation spectroscopy with TEM and ab initio calculations","Zhang, Shasha (Nanjing University of Aeronautics and Astronautics); Cizek, Jakub (Charles University); Yao, Zhengjun (Nanjing University of Aeronautics and Astronautics); Oleksandr, Moliar (Nanjing University of Aeronautics and Astronautics); Kong, Xiangshan (Institute of Solid State Physics Chinese Academy of Sciences); Liu, Changsong (Institute of Solid State Physics Chinese Academy of Sciences); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2020","Self healing of early stage radiation damage by site selective solute segregation is a promising approach to extend the lifetime of nuclear reactor components. In the present study, the creation and autonomous healing of irradiation-induced damage is investigated in pure Fe and high purity Fe–Au and Fe–Cu model alloys. To create radiation damage samples are irradiated at 550 °C by 120 keV He+ ions with fluences of 5.0 × 1015, 1.0 × 1016 and 5.0 × 1016 ions/cm2. The observed increase in the S and W parameters determined in the variable energy positron annihilation spectroscopy measurements indicates the formation of vacancy-like defects, precipitates and vacancy-solute complexes. The presence of substitutionally dissolved Au is found to reduce the formation of radiation defects more efficiently than solute Cu. Site-specific Au precipitation at defect sites is indicated, which results in damage healing with a reduced swelling, whereas Cu precipitates and radiation damage only show weak interaction. Ab initio calculations show that the binding energies of Au solutes to vacancy clusters (Au-Vn) are significantly larger than those of Cu solutes (Cu-Vn) whereas the binding energies of helium filled vacancy clusters Au-HenVn and Cu-HenVn are comparable.","Ab initio calculations; Au/Cu precipitation; bcc Fe; Positron annihilation spectroscopy; Radiation-induced defects; Self-healing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:939e2480-5181-476e-aeb3-a50536d90224","http://resolver.tudelft.nl/uuid:939e2480-5181-476e-aeb3-a50536d90224","Micromechanical modelling of complex shear modulus of crumb rubber modified bitumen","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Zhang, H. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2020","Crumb rubber modified bitumen (CRMB) can be considered as a binary composite system where rubber particles are embedded in the bitumen matrix. The bitumen-rubber interaction process (mainly swelling) significantly changes the mechanical properties of both bitumen and rubber phases. This study aims to predict the complex moduli of CRMB binders with more representative constituent parameters using micromechanical models. To achieve this goal, frequency sweep tests using a dynamic shear rheometer were performed on the liquid phase of CRMB and swollen rubber samples to represent the essential properties of bitumen matrix and rubber inclusion. In addition, the numerical swelling model was developed to estimate the effective volume concentration of rubber after swelling. Results show that the liquid phases of CRMB are stiffer and more elastic than the neat bitumen while the swollen rubber is softer and more viscous than the dry rubber. The effective volume concentration of rubber can increase to 2.126 times as the blend percentage based on the finite element analysis. Using the liquid phase of CRMB binder and swollen rubber properties as the micromechanical model inputs yield more accurate predictions. The used four micromechanical models predict well at higher frequencies while underestimating the complex modulus at lower frequencies.","Complex modulus; Crumb rubber modified bitumen; Finite element model; Micromechanics; Swelling","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b33997ae-ddac-418e-8c8f-7a096a137bc7","http://resolver.tudelft.nl/uuid:b33997ae-ddac-418e-8c8f-7a096a137bc7","Effect of laboratory aging on chemistry and rheology of crumb rubber modified bitumen","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","Aging during construction and in-service substantially changes the chemical composition and physical properties of bitumen thereof influences the performance of asphalt pavements. The modification of bitumen by crumb rubber modifier (CRM) significantly increases the complexity of the aging mechanism and is expected to improve the aging resistance of bitumen. This study aims to investigate the effects of laboratory short-term and long-term aging on the chemistry and rheology of crumb rubber modified bitumen (CRMB). Neat bitumen and CRMB with four different CRM contents were studied. Fourier transform infrared spectroscopy and dynamic shear rheometer were employed to measure the change in the chemical composition and rheological properties of binders at different aging states. Bitumen hardening, which was rheologically revealed by the frequency sweep and multiple creep recovery test results, was also reflected in the growth of carbonyl and sulfoxide functional groups. In addition, the aliphaticity and aromaticity indices of binders before and after aging were also investigated. CRMB binders showed improved aging resistance compared to neat bitumen as reflected by the decreased carbonyl and sulfoxide indices as well as the lower change in rheological parameters. Higher resistance against aging was achieved when increasing the CRM content. The results also highlight the correlation between chemistry and rheology of bitumen. Among six selected mechanical parameters of binder, the non-recoverable creep compliance and percent recovery show better correlations with the combined chemical aging index (sum of carbonyl and sulfoxide indices) than the parameters derived from the linear viscoelastic region.","Aging; Chemical composition; Crumb rubber modified bitumen; Oxidation; Rheological property","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:750df5f0-1b27-4cb4-b8c4-ec7bc9aced02","http://resolver.tudelft.nl/uuid:750df5f0-1b27-4cb4-b8c4-ec7bc9aced02","Assessing the transition effects in a drinking water distribution system caused by changing supply water quality: an indirect approach by characterizing suspended solids","Chen, L. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ling, Fangqiong (Washington University in St. Louis); Bakker, Geo (Vitens N.V.); Liu, Wen Tso (University of Illinois at Urbana-Champaign); Medema, G.J. (Chinese Academy of Sciences; KWR Water Research Institute); van der Meer, W.G.J. (Oasen; University of Twente); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences)","","2020","Worldwide, it is common that the drinking water distribution systems (DWDSs) may be subjected to changes of supply water quality due to the needs of upgrading the treatment processes or switching the source water. However, the potential impacts of quality changed supply water on the stabilized ecological niches within DWDSs and the associated water quality deterioration risks were poorly documented. In the present study, such transition effects caused by changing the supply water quality that resulted from destabilization of biofilm and loose deposits in DWDS were investigated by analyzing the physiochemical and microbiological characteristics of suspended particles before (T0), during (T3-weeks) and after upgrading the treatments (T6-months) in an unchlorinated DWDS in the Netherlands. Our results demonstrated that after 6 months’ time the upgraded treatments significantly improved the water quality. Remarkably, water quality deterioration was observed at the initial stage when the quality-improved treated water distributed into the network at T3-weeks, observed as a spike of total suspended solids (TSS, 50–260%), active biomass (ATP, 95–230%) and inorganic elements (e.g. Mn, 130–250%). Furthermore, pyrosequencing results revealed sharp differences in microbial community composition and structure for the bacteria associated with suspended particles between T0 and T3-weeks, which re-stabilized after 6 months at T6-months. The successful capture of transition effects was especially confirmed by the domination of Nitrospira spp. and Polaromonas spp. in the distribution system at T3-weeks, which were detected at rather low relative abundance at treatment plant. Though the transitional effects were captured, this study shows that the introduction of softening and additional filtration did not have an effect on the water quality for the consumer which improved considerably after 6-months’ period. The methodology of monitoring suspended particles with MuPFiSs and additional analysis is capable of detecting transitional effects by monitoring the dynamics of suspended particles and its physiochemical and microbiological composition.","Drinking water distribution system; Suspended solids; Transition effects; Upgrading treatments; Water quality deterioration risks","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e5987edf-30f7-4065-8cf8-ae61650dbd54","http://resolver.tudelft.nl/uuid:e5987edf-30f7-4065-8cf8-ae61650dbd54","Effect of the sodium silicate modulus and slag content on fresh and hardened properties of alkali-activated fly ash/slag","Ouyang, Xiaowei (Guangzhou University); Ma, Yuwei (Guangzhou University; University of Southern Queensland); Liu, Ziyang (Guangzhou University); Liang, Jianjun (Guangzhou University); Ye, G. (TU Delft Materials and Environment)","","2020","This paper presents the results of an experimental study performed to investigate the effect of activator modulus (SiO2/Na2O) and slag addition on the fresh and hardened properties of alkali-activated fly ash/slag (AAFS) pastes. Four activator moduli (SiO2 /Na2O), i.e., 0.0, 1.0, 1.5, and 2.0, and five slag-to-binder ratios, i.e., 0, 0.3, 0.5, 0.7, 1.0, were used to prepare AAFS mixtures. The setting time, flowability, heat evolution, compressive strength, microstructure, and reaction products of AAFS pastes were studied. The results showed that the activator modulus and slag content had a combined effect on the setting behavior and workability of AAFS mixtures. Both the activator modulus and slag content affected the types of reaction products formed in AAFS. The coexistence of N–A–S–H gel and C–A–S–H gel was identified in AAFS activated with high pH but low SiO2 content (low modulus). C–A–S–H gel had a higher space-filling ability than N–A–S–H gel. Thus, AAFS with higher slag content had a finer pore structure and higher heat release (degree of reaction), corresponding to a higher compressive strength. The dissolution of slag was more pronounced when NaOH (modulus of 0.0) was applied as the activator. The use of Na2SiO3 as activator significantly refined the pores in AAFS by incorporating soluble Si in the activator, while further increasing the modulus from 1.5 to 2.0 prohibited the reaction process of AAFS, resulting in a lower heat release, coarser pore structure, and reduced compressive strength. Therefore, in view of the strength and microstructure, the optimum modulus is 1.5.","Activator modulus; Alkali-activated fly ash/slag; Fresh and hardened properties; Slag content","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:e9c436c6-17c9-414b-bcc7-c76c57f51de9","http://resolver.tudelft.nl/uuid:e9c436c6-17c9-414b-bcc7-c76c57f51de9","Investigation into Multi-Phase Armature Windings for High-Temperature Superconducting Wind Turbine Generators","Liu, D. (TU Delft DC systems, Energy conversion & Storage; Hohai University); Song, X. (TU Delft DC systems, Energy conversion & Storage); Deng, Fujin (Southeast University); Dong, J. (TU Delft DC systems, Energy conversion & Storage)","","2020","High-Temperature superconducting (HTS) generators are being considered as a competitive candidate in large direct-drive (DD) wind turbines because of their features of being lightweight and compact. Normally a large air gap is inevitable in partially HTS generators, sacrificing the torque producing capability. In this paper, multi-phase armature windings for HTS generators are investigated to reduce the air gap length in HTS generators while not compromising generators' performance. Therefore, the torque density of HTS generators can be improved without any added costs. Five different multi-phase armature winding schemes are studied in the paper. Their performance regarding torque production and rotor losses in a 10 MW DD HTS generator are examined. The findings show that employing multi-phase armature windings can reduce the mechanical air gap without generating extra eddy current losses in the rotor, and the torque production can be improved by up to 9.1%. In addition, the alternating magnetic field reaching the HTS field winding are also reduced by using multi-phase armature windings, resulting in lower AC losses and cooling costs.","AC loss; eddy current loss; HTS generator; multi-phase; torque; wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:a6d85734-05ae-4808-ab45-51a4dbd424f0","http://resolver.tudelft.nl/uuid:a6d85734-05ae-4808-ab45-51a4dbd424f0","Suspended AlGaN/GaN HEMT NO2 Gas Sensor Integrated with Micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials; China Research Institute); Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; State Key Laboratory of Solid State Lighting); Iervolino, E. (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","We developed an AlGaN/GaN high electron mobility transistor (HEMT) sensor with a tungsten trioxide (WO3) nano-film modified gate for nitrogen dioxide (NO2) detection. The device has a suspended circular membrane structure and an integrated micro-heater. The thermal characteristic of the Platinum (Pt) micro-heater and the HEMT self-heating are studied and modeled. A significant detection is observed for exposure to a low concentration of 100 ppb NO2 /N2 at ∼300 °C. For a 1 ppm NO2 gas, a high sensitivity of 1.1% with a response (recovery) time of 88 second (132 second) is obtained. The effects of relative humidity and temperature on the gas sensor response properties in air are also studied. Based on the excellent sensing performance and inherent advantages of low power consumption, the investigated sensor provides a viable alternative high performance NO2 sensing applications. It is suitable for continuous environmental monitoring system or high temperature applications.","GaN; HEMT; micro-heater; NO sensor; WO","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:e62d1d67-4f50-44d6-b5dd-5ae4da91f3fb","http://resolver.tudelft.nl/uuid:e62d1d67-4f50-44d6-b5dd-5ae4da91f3fb","A novel self-healing system: Towards a sustainable porous asphalt","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Technological University Dublin; University College Dublin); Schlangen, E. (TU Delft Materials and Environment)","","2020","Self-healing asphalt, aimed to produce a sustainable asphalt pavement using green technology, has been studied in the past two decades. Technologies including encapsulated rejuvenator and induction heating have been proposed, demonstrated in the laboratory, and gradually evaluated in field application. This paper looks into the synergy effect of the above two technologies, where induction heating serves as the asphalt damage repair mechanism, requiring just 2 min heating time and encapsulated rejuvenator will replenish (rejuvenate) aged asphalt binder and reinstate bitumen's healing ability. Moreover, the increased temperature from induction heating could in turn accelerate the diffusion process of rejuvenator into aged bitumen. In this paper, the healing efficiency of the combined healing system was tested in comparison with autonomous asphalt healing system, induction healing system and capsule healing system. Porous asphalt concrete with various healing systems were prepared and a laboratory ageing procedure was followed to simulate the condition when healing was needed (after years of serving). X-ray computed tomography was employed to visualize the material composition and distribution inside of each healing systems. The properties of binder extracted from the porous asphalt samples were examined by dynamic shear rheometer. Indirect tensile strength and indirect tensile stiffness modulus tests were employed to characterize the mechanical properties of the porous asphalt samples with various healing systems. Finally, the cracking resistance of these healing systems was investigated by semi-circular bending test, and the healing efficiency was evaluated using a bending and healing programme. The results indicated that the combined healing system, with synergistic effects of aged binder rejuvenation and crack healing, shows a longer life extension prospect over the other healing systems.","Capsules; Combined healing system; Induction heating; Self-healing asphalt","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:535415b5-ff6e-4e17-a2bf-296bb49d98b7","http://resolver.tudelft.nl/uuid:535415b5-ff6e-4e17-a2bf-296bb49d98b7","Multi-criteria evaluation of wheel/rail degradation at railway crossings","Wei, Z. (TU Delft Railway Engineering; China Academy of Railway Sciences); Nunez, Alfredo (TU Delft Railway Engineering); Liu, Xiubo (Infrastructure Inspection Research Institute; China Academy of Railway Sciences); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2020","This study evaluates the degradation of wheels and rails at railway crossings. The evaluation method is composed of 1) finite element simulation of dynamic wheel/crossing interaction and 2) multi-criteria analysis of wheel/rail degradation in terms of yield behavior, rolling contact fatigue (RCF) and wear. With the aid of this method, we conducted a case study identifying the proper yield strength of rail steel material for a 54E1-1:9 crossing under a specified traffic condition. The case study indicates that the wear of contact bodies is more sensitive to train speed compared with yield and RCF; the increase of rail yield strength suppresses rail degradation while exacerbating wheel degradation; and rail yield strength in the range of 500–600 MPa is preferred to achieve a good trade-off between the wheel and rail degradations.","Finite element modeling; Multi-criteria evaluation; Railway crossing; Wheel/rail degradation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:1268b5aa-2f7e-4a91-9760-840ae5629209","http://resolver.tudelft.nl/uuid:1268b5aa-2f7e-4a91-9760-840ae5629209","Suspended tungsten trioxide (WO3) gate AlGaN/GaN heterostructure deep ultraviolet detectors with integrated micro-heater","Sun, J. (TU Delft Electronic Components, Technology and Materials); Zhan, Teng (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Liu, Zewen (Tsinghua University); Wang, Junxi (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Yi, Xiaoyan (Chinese Academy of Sciences; State Key Laboratory of Solid State Lighting); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; State Key Laboratory of Solid State Lighting)","","2019","A suspended WO3-gate AlGaN/GaN heterostructure photodetector integrated with a micro-heater is micro-fabricated and characterized for ultraviolet photo detection. The transient optical characteristics of the photodetector at different temperatures are studied. The 2DEG-based photodetector shows a recovery (170 s) time under 240 nm illumination at 150 ℃. The measured spectral response of WO3-gate AlGaN/GaN heterostructure shows a high response in deep ultraviolet range. Responsivity at 240 nm wavelength is 4600 A/W at 0.5 V bias. These characteristics support the feasibility of a high accuracy deep UV detector based on the suspended AlGaN/GaN heterostructure integrated with a micro-heater.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:20e6e1fd-4791-44a6-a60a-290b9fa24202","http://resolver.tudelft.nl/uuid:20e6e1fd-4791-44a6-a60a-290b9fa24202","Green synthesis of ultrapure La(OH)3 nanoparticles by one-step method through spark ablation and electrospinning and its application to phosphate removal","Li, Shiyang (Tongji University); Huang, Xiangfeng (Tongji University); Wan, Zhengyu (Phenom Scientific, Shanghai); Liu, Jia (Tongji University); Lu, Lijun (Tongji University); Peng, Kaiming (Tongji University); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Bhattarai, Rabin (University of Illinois at Urbana-Champaign)","","2020","La(OH)3 metal engineered nanoparticles (MENPs) are efficient phosphate binders; however, complex synthesis procedures and purity as well as agglomeration issues impede their development and practical applications. Herein, a green and a one-step method in combination with the spark ablation aerosol technology and electrospinning is proposed for the synthesis of La(OH)3 MENPs; further, their application as phosphate binders are elucidated as a proof the concept. Material characterization results confirm the successful synthesis of ultrapure La(OH)3 MENPs, which has not been achieved before via an environmentally friendly one-step procedure. Small angle X-ray scattering and X-ray photoelectron spectroscopy etching results show that La(OH)3 MENPs loading on the electrospun nanofibers are uniform in both two and three dimensions. The comparative tests revealed a high phosphate adsorption capacity (110.8 mg P/g La) and indicted that the La(OH)3 MENPs perform well; this was observed even under the interference of coexisting ions (Cl−, SO4 2−, NO3 −, and F−) at different pH values. After three cycles of solution-shaking treatment, the release of La(OH)3 was less than 1 wt% (0.5 wt%), which was acceptable for an adsorbent. These results indicate that the La(OH)3 MENP-loaded nanofibers are practical phosphate binders due to the simple production methods, low manufacturing cost, and impressive capacity. The proposed method significantly shortens the loading process and is a promising alternative for not only the synthesis of the adsorbent, but also for other engineering materials where loading is needed.","Controllable particle sizes; Eutrophication; Gas-phase coalescence; Industrialization potential; La(OH) nanoparticles; Phosphorus removal","en","journal article","","","","","","","","2022-02-13","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:34dd9eb1-dc7e-4899-b143-a3076453d361","http://resolver.tudelft.nl/uuid:34dd9eb1-dc7e-4899-b143-a3076453d361","Dislocation-induced breakthrough of strength and ductility trade-off in a non-equiatomic high-entropy alloy","Guo, Wenqi (Max-Planck-Institut für Eisenforschung); Su, Jing (Max-Planck-Institut für Eisenforschung); Lu, Wenjun (Max-Planck-Institut für Eisenforschung); Liebscher, Christian H. (Max-Planck-Institut für Eisenforschung); Kirchlechner, Christoph (Max-Planck-Institut für Eisenforschung); Ikeda, Yuji (Max-Planck-Institut für Eisenforschung); Körmann, F.H.W. (TU Delft (OLD) MSE-7; Max-Planck-Institut für Eisenforschung); Liu, Xuan (Beijing Institute of Technology); Xue, Yunfei (Beijing Institute of Technology); Dehm, Gerhard (Max-Planck-Institut für Eisenforschung)","","2020","In conventional metallic materials, strength and ductility are mutually exclusive, referred to as strength-ductility trade-off. Here, we demonstrate an approach to improve the strength and ductility simultaneously by introducing micro-banding and the accumulation of a high density of dislocations in single-phase high-entropy alloys (HEAs). We prepare two compositions (Cr10Mn50Fe20Co10Ni10 and Cr10Mn10Fe60Co10Ni10) with distinctive different stacking fault energies (SFEs) as experimental materials. The strength and ductility of the Cr10Mn50Fe20Co10Ni10 HEA are improved concurrently by grain refinement from 347.5 ± 216.1 µm to 18.3 ± 9.3 µm. The ultimate tensile strength increases from 543 ± 4 MPa to 621 ± 8 MPa and the elongation to failure enhances from 43±2% to 55±1%. To reveal the underlying deformation mechanisms responsible for such a strength-ductility synergy, the microstructural evolution upon loading is investigated by electron microscopy techniques. The dominant deformation mechanism observed for the Cr10Mn50Fe20Co10Ni10 HEA is the activation of micro-bands, which act both as dislocation sources and dislocation barriers, eventually, leading to the formation of dislocation cell structures. By decreasing grain size, much finer dislocation cell structures develop, which are responsible for the improvement in work hardening rate at higher strains (>7%) and thus for the increase in both strength and ductility. In order to drive guidelines for designing advanced HEAs by tailoring their SFE and grain size, we compute the SFEs of Cr10MnxFe70–xCo10Ni10 (10 ≤ x ≤ 60) based on first principles calculations. Based on these results the overall changes on deformation mechanism can be explained by the influence of Mn on the SFE.","Dislocation; Grain refinement; High-entropy alloy; Stacking fault energy; Strength-ductility trade-off","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-05-30","","","(OLD) MSE-7","","",""
"uuid:9c31e4c9-5193-4b65-84ef-af24d79a6740","http://resolver.tudelft.nl/uuid:9c31e4c9-5193-4b65-84ef-af24d79a6740","Accelerated healing in asphalt concrete via laboratory microwave heating","Wang, H. (TU Delft Pavement Engineering); Yang, Jun (Southeast University); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Liu, X. (TU Delft Pavement Engineering)","","2020","Self-healing of asphalt concrete (AC) is highly dependent on temperature, and its healing capacity increases with elevated temperatures. The main objective of this study is to investigate the effect of microwave heating on promotion of self-healing in AC. With this purpose, two types of AC specimens (neat AC without additives and conductive AC containing steel fiber and graphite) were prepared to for use in thermal conductivity, microwave heating speed tests, four-point bending fatigue, and healing tests. In addition, oscillatory frequency sweep tests were carried out to obtain the flow behavior of asphalt binder. Results indicated that AC containing electrically conductive additives had a higher thermal conductivity and microwave heating speed than neat AC. It was also found that the fatigue resistance and healing capacity of conductive AC after microwave heating were higher than that of neat AC. Moreover, there exists a critical temperature (corresponding to near-Newtonian behavior temperature of asphalt binder) above which healing of AC starts and an optimum heating time (temperature) to maximize the healing effect. Finally, it was found that an intermittent heating mode with a cooling process is more effective than the consecutive heating mode to enhance the healing capacity of AC. Based on these findings, it is concluded that self-healing efficiency of AC can be enhanced via microwave heating.","Asphalt concrete; Fatigue damage; Flow behavior; Healing; Microwave heating","en","journal article","","","","","","","","2020-09-18","","","Pavement Engineering","","",""
"uuid:d88e0dff-8de0-4dfe-9134-6d4ab83ffce7","http://resolver.tudelft.nl/uuid:d88e0dff-8de0-4dfe-9134-6d4ab83ffce7","Rheological properties, compatibility, and storage stability of SBS latex-modified asphalt","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Fan, Weiyu (China University of Petroleum (East China)); Wang, H. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2019","A styrene-butadiene-styrene (SBS) latex modifier can be used for asphalt modification due to the fact of its energy-saving, construction convenience, and economic advantages. The objective of this study was to investigate the influence of asphalt type and SBS latex dosage on the rheological properties, compatibility, and storage stability of asphalt through temperature and frequency sweep, steady-state flow, multiple stress creep and recovery (MSCR) tests, Cole-Cole plots and thermal storage tests. The results indicated that high SBS latex content is beneficial for improving anti-rutting, anti-fatigue, viscous flow resistance, and elastic recovery abilities of modified asphalt. The chemical composition of asphalt had a significant effect on the properties of the SBS latex-modified asphalt. High asphaltenes and low resins were favorable to enhancing anti-rutting and recovery properties but weakened the anti-fatigue, compatibility, and storage stability of modified asphalt. Furthermore, compared to SBS particle-modified asphalt, SBS latex-modified asphalt had greater rutting and fatigue resistance. However, SBS latex-modified asphalt had some disadvantages in compatibility and storage stability. Comprehensively considering the balance of viscoelastic properties, compatibility, and storage stability of SBS latex-modified asphalt, the mixing dosage of SBS latex modifier is recommended at 4.0 wt% which could feasibly replace SBS particle in asphalt modification.","Compatibility; Rheological properties; SBS latex; SBS-modified asphalt; Storage stability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a3084af7-029c-4044-8c3f-759c010e6e03","http://resolver.tudelft.nl/uuid:a3084af7-029c-4044-8c3f-759c010e6e03","Adaptive predictive path following control based on least squares support vector machines for underactuated autonomous vessels","Liu, Chenguang (Wuhan University of Technology); Zheng, Huarong (Zhejiang University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Chu, Xiumin (Wuhan University of Technology); Xie, Shuo (Wuhan University of Technology)","","2019","Since vessel dynamics could vary during maneuvering because of load changes, speed changing, environmental disturbances, aging of mechanism, etc., the performance of model-based path following control may be degraded if the controller uses the same motion model all the time. This article proposes an adaptive path following control method based on least squares support vector machines (LS-SVM) to deal with parameter changes of the motion model. The path following controller consists of two components: the online identification of varying parameters and model predictive control (MPC) using the adaptively identified models. For the online parameter identification, an improved online LS-SVM identification method is proposed based on weighted LS-SVM. Specifically, the objective function of LS-SVM is modified to decrease the errors of parameter estimation, an index is proposed to detect the possible model changes, which speeds up the rate of parameter convergence, and the sliding data window strategy is used to realize the online identification. MPC is combined with the line-of-sight guidance to track straight line reference paths. Finally, case studies are conducted to verify the effectiveness of the proposed path following adaptive controller. Typical parameter varying scenarios, such as rudder aging, current variations and changes of the maneuverability are considered. Simulation results show that the proposed method can handle the above situations effectively.","autonomous surface vessels (ASV); least squares support vector machines (LS-SVM); model predictive control (MPC); parameter identification; path following","en","journal article","","","","","","Accepted Author Manuscript","","2020-11-20","","","Transport Engineering and Logistics","","",""
"uuid:73588eef-c914-4082-9cb6-c3bd74dc45cc","http://resolver.tudelft.nl/uuid:73588eef-c914-4082-9cb6-c3bd74dc45cc","Data based violated behavior analysis of taxi driver in metropolis in China","Yao, Jiao (University of Shanghai for Science and Technology); Ni, Yiling (University of Shanghai for Science and Technology); Zhao, J. (TU Delft Transport and Planning); Niu, Huiwei (University of Shanghai for Science and Technology); Liu, Shanyong (University of Shanghai for Science and Technology); Zheng, Yuhui (Nanjing University of Information Sciences and Technology); Wang, Jin (Changsha University of Science and Technology; Fujian University of Technology)","","2019","Violation probability of taxi drivers in metropolis is far more than that of normal drivers because they are labor-intensive, overconfident of self-driving skill, and always searching potential customers, sometimes even picking up or dropping off passengers randomly. In this paper, four types of violated behavior of taxi drivers in metropolis were first summarized, based on which corresponding scale table was initial designed with social statistical method. Furthermore, with certain samples, relative item analysis, exploratory factor analysis, validity analysis and reliability analysis were conducted to verify validity of the initial scale table, based on which some improvements were made, and we can see that the modified scale table in the paper has high fitness degree, good reliability and validity to detect violated behavior of taxi driver accurately. Finally, large area survey data of taxi driver questionnaire from Shanghai was collected with the modified scale table above, the analysis results showed that among four types of violated behavior of taxi drivers in metropolis, the probability over-speed is top to 89.57%, in which probabilities of behaviors of “driving over-speed at mid-night” and “accelerating to across the intersection during the yellow signal” are top to 64.2% and 58.2% respectively, which is meaningful for the improvement of taxi drivers’ behaviors specification and traffic safety regulation.","Exploratory factor analysis; Scale table design; Taxi driver; Validity analysis; Violated behavior","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:118436eb-14a3-447f-816e-413aead671d1","http://resolver.tudelft.nl/uuid:118436eb-14a3-447f-816e-413aead671d1","Mapping landscape spaces: Methods for understanding spatial-visual characteristics in landscape design","Liu, M. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture)","","2020","Mapping landscape spaces by means of manual and modern technology enables landscape architects to describe, understand, and interpret spatial-visual properties of landscape. This can help to strengthen the body of knowledge of spatial design in landscape architecture through measurement and visualization of common concepts in the field, as well as through the possibility to explore spatial-visual landscape features that was not possible before. Despite the wide ranging possibilities and the methods available for mapping landscape spaces, there is evidence that its potential is often still underutilized mainly due to a lack of awareness. This paper aims to contribute to the increase of awareness by providing an overview of mapping methods and tools that can be used to study spatial-visual characteristics in the field of landscape architecture, and show the potentialities of its application by brief examples. This paper introduces six categories of mapping methods: compartment analysis, 3D landscapes, grid cell analysis, visibility analysis, landscape metrics, and eye-tracking analysis. These methods are used to explore, for spatial design in landscape architecture, crucial spatial-visual categories sequence, orientation, continuity, and complexity in an analogue and digital way. The Vondelpark, a well-known urban park in the Netherlands, is used to exemplify how the spatial features can be mapped by means of the mapping methods.","Intersubjective approaches; Landscape space; Mapping; Multi-dimensions; Spatial-visual organization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-08-10","","","Landscape Architecture","","",""
"uuid:18d235ca-662e-409c-bd40-0df3410d5284","http://resolver.tudelft.nl/uuid:18d235ca-662e-409c-bd40-0df3410d5284","Long-term deterioration of lubricant-infused nanoporous anodic aluminium oxide surface immersed in NaCl solution","Wu, Dequan (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Liu, Bei (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Minhas, Badar (University of Science and Technology Beijing); Qian, Hongchang (University of Science and Technology Beijing); Terryn, H.A. (TU Delft Team Arjan Mol; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2021","This study investigated the deterioration of a lubricant-infused anodic aluminium oxide surface in a 1 M NaCl solution for ∼200 days. Direct observation by cryo-SEM and quantitative analyses by UV spectroscopy and EIS revealed that the long-term deterioration of the lubricant-infused surface was divided into two stages: the surface-adhered lubricant layer gradually dissolved at a constant rate until the substrate was exposed; afterwards the lubricant infused in the nanochannels began to diffuse and was depleted after ∼200 days. The EIS results also revealed that the defects reduced the corrosion resistance of the lubricant-infused surface considerably.","Anodic aluminium oxide; Deterioration; EIS; Lubricant-infused surface","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-08","","","Team Arjan Mol","","",""
"uuid:208c8612-f29f-415f-b92a-7ba9aa288556","http://resolver.tudelft.nl/uuid:208c8612-f29f-415f-b92a-7ba9aa288556","Understanding the stability mechanism of silica nanoparticles: The effect of cations and EOR chemicals","Liu, Z. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces); Bode, Vincent (Student TU Delft); Hadayati, Pegah (External organisation); Onay, Hayati (Student TU Delft); Sudhölter, Ernst J. R. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces)","","2020","We have investigated the conditions of colloidal stability of silica nanoparticles smaller than 100 nm for their applications in enhanced oil recovery (EOR), especially pertaining to chemical flooding processes. Using zeta sizer and dynamic light scattering techniques, the stability of silica nanoparticle (SNP) dispersions has been investigated by variation of the pH, composition of salt solutions, addition of surfactants and polyelectrolytes. Such conditions can be encountered in oil reservoirs. It was found that changing pH from 5 to 10 had a negligible effect on the size of SNPs, whereas its zeta potential increased with increasing pH. Aggregation of SNPs is a partially reversible process for low degrees of aggregation in 500 mM NaCl, whereas observed strong aggregation in 1000 mM NaCl was irreversible. A critical aggregation concentration (CAC) was defined for the different salts investigated, above which the SNP dispersion became unstable at a fixed pH of 9.5. The CAC for NaCl was approximately 200 times higher than for CaCl2 and MgCl2. Our observations could not be explained completely by the Derjaguin-Landau-Verwey-Overbeek (DLVO) theory. Therefore, we have included non-DLVO interactions such as cation bridging, hydration forces, and steric effects. The additional presence of anionic alcohol alkoxy sulfate (AAS) surfactant slightly destabilized the SNP solution, but by the addition of polyacrylate (PA) was effectively stabilized. With increasing PA concentration, the CAC for both CaCl2 and MgCl2 increased. Upon addition of 100 ppm PA, the CAC increased by a factor of five compared to the situation in the absence of PA. Reducing the solution pH below 8.5, SNP can be stabilized in higher salinity in the presence of PA. The obtained results contribute to a better fundamental understanding of the SNP stability mechanism and a guide to optimize the SNP injection process with EOR chemicals.","Enhanced oil recovery; Polyelectrolyte; Salt solution; Silica nanoparticle; Surfactant","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:54210333-c1cd-43f0-bdd9-d1a0cb03a11b","http://resolver.tudelft.nl/uuid:54210333-c1cd-43f0-bdd9-d1a0cb03a11b","Hydrogenation of levulinic acid to γ-valerolactone over Fe-Re/TiO2 catalysts","Huang, Xiaoming (Eindhoven University of Technology); Liu, Kaituo (Eindhoven University of Technology); Vrijburg, Wilbert L. (Eindhoven University of Technology); Ouyang, Xianhong (Eindhoven University of Technology); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep); Liu, Yingxin (Eindhoven University of Technology; Zhejiang University); Tiny Verhoeven, M. W.G.M. (Eindhoven University of Technology); Kosinov, Nikolay A. (Eindhoven University of Technology); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering; Eindhoven University of Technology)","","2020","Hydrogenation of levulinic acid to γ-valerolactone is a key reaction in the valorization of carbohydrates to renewable fuels and chemicals. State-of-the-art catalysts are based on supported noble metal nanoparticle catalysts. We report the utility of a bimetallic Fe-Re supported on TiO2 for this reaction. A strong synergy was observed between Fe and Re for the hydrogenation of levulinic acid in water under mild conditions. Fe-Re/TiO2 shows superior catalytic performance compared to monometallic Fe and Re catalysts at similar metal content. The hydrogenation activity of the bimetallic catalysts increased with Re content. H2-TPR, XPS, XANES, EXAFS, Mössbauer spectroscopy, TEM, and low-temperature CO IR spectroscopy show that the bimetallic catalysts contain metallic Re nanoparticles covered by FeOx species and small amounts of a Fe-Re alloy. Under reaction conditions, the partially reduced surface FeOx species adsorb water and form Brønsted acidic OH groups, which are involved in dehydration of reaction intermediates. Under optimized conditions, nearly full conversion of levulinic acid with a 95 % yield of γ-valerolactone could be achieved at a temperature as low as 180 °C in water at a H2 pressure of 40 bar.","Bimetallic; Characterization; Fe-Re; Hydrogenation; Levulinic acid","en","journal article","","","","","","","","","","","RID/TS/Instrumenten groep","","",""
"uuid:d9c41930-bc1d-4cc6-8008-6db717aa5817","http://resolver.tudelft.nl/uuid:d9c41930-bc1d-4cc6-8008-6db717aa5817","In-situ and numerical investigation on the dynamic response of unbounded granular material in permeable pavement","Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule; The Hong Kong Polytechnic University); Wang, H. (TU Delft Pavement Engineering); Törzs, Tom (Hamburg University of Technology); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Aston University); Wang, Dawei (Rheinisch-Westfälische Technische Hochschule; Harbin Institute of Technology); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Grabe, Jürgen (Hamburg University of Technology)","","2020","Permeable pavements have been widely used as an effective means to improve hydrological characteristics and the ecology of the urban environment. This study aims to investigate the response of fully permeable pavement (FPP) subjected to dynamic loading under dry and saturated conditions. A full-scale test track topped with polyurethane bound permeable material (PUPM) was built to obtain the stress response with an accelerated pavement test (APT) system. In addition, comprehensive analyses were performed based on the coupled Stress-dependent Moisture-sensitive Cross-anisotropic Elastoplastic (SMAEP) model in FEM. The APT test showed that the worst state was observed when the pavement structure was fully saturated, and that and brittle failure of the pavement surface occurred when the critical load level was achieved. The prediction of vertical stress predicted by Stress-dependent Cross-anisotropic Elastic (SAE) and SMAEP were both validated with the field data. The horizontal stress predicted by SAE gave a very high and unreasonable tensile stress prediction at the bottom of the unbounded granular base (UGB) layer when subjected to the high load level. With the consideration of moisture effect and the plastic properties of the material, the prediction made by SMAEP is effective to estimate the dynamic response of the UGB layer. Based on the sensitivity analysis, the optimized designs for FPP based on PUPM were suggested.","Accelerated pavement test (APT); Finite element modeling (FEM); Pervious pavement; Polyurethane-bound pervious mixtures (PUPM); Unbounded granular base","en","journal article","","","","","","Accepted Author Manuscript","","2021-07-28","","","Pavement Engineering","","",""
"uuid:b5b6b660-a7d2-4d6e-a876-fea26e043bdd","http://resolver.tudelft.nl/uuid:b5b6b660-a7d2-4d6e-a876-fea26e043bdd","Urban pluvial flooding prediction by machine learning approaches – a case study of Shenzhen city, China","Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Tian, X. (TU Delft Water Resources; KWR Water Research Institute); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk); Tian, Zhan (Southern University of Science and Technology); Guan, Guanghua (Wuhan University); Cai, Huayang (Sun Yat-sen University; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Huang, Xinxing (Shanghai Institute of Technology); Yang, Honglong (Shenzhen National Climate Observatory of Meteorological Bureau of Shenzhen Municipality); Liu, Junguo (Southern University of Science and Technology)","","2020","Urban pluvial flooding is a threatening natural hazard in urban areas all over the world, especially in recent years given its increasing frequency of occurrence. In order to prevent flood occurrence and mitigate the subsequent aftermath, urban water managers aim to predict precipitation characteristics, including peak intensity, arrival time and duration, so that they can further warn inhabitants in risky areas and take emergency actions when forecasting a pluvial flood. Previous studies that dealt with the prediction of urban pluvial flooding are mainly based on hydrological or hydraulic models, requiring a large volume of data for simulation accuracy. These methods are computationally expensive. Using a rainfall threshold to predict flooding based on a data-driven approach can decrease the computational complexity to a great extent. In order to prepare cities for frequent pluvial flood events – especially in the future climate – this paper uses a rainfall threshold for classifying flood vs. non-flood events, based on machine learning (ML) approaches, applied to a case study of Shenzhen city in China. In doing so, ML models can determine several rainfall threshold lines projected in a plane spanned by two principal components, which provides a binary result (flood or no flood). Compared to the conventional critical rainfall curve, the proposed models, especially the subspace discriminant analysis, can classify flooding and non-flooding by different combinations of multiple-resolution rainfall intensities, greatly raising the accuracy to 96.5% and lowering the false alert rate to 25%. Compared to the conventional model, the critical indices of accuracy and true positive rate (TPR) were 5%-15% higher in ML models. Such models are applicable to other urban catchments as well. The results are expected to be used to assist early warning systems and provide rational information for contingency and emergency planning.","Machine learning; Rainfall threshold; Shenzhen city; Urban pluvial flooding","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2d0f933d-4da1-43e7-bf68-bf876390f5be","http://resolver.tudelft.nl/uuid:2d0f933d-4da1-43e7-bf68-bf876390f5be","A hardware-in-the-loop wave-basin scale-model experiment for the validation of control strategies for floating offshore wind turbines","Fontanella, A. (Politecnico di Milano); Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Azcona, J. (CENER - National Renewable Energy Centre); Pires, O. (CENER - National Renewable Energy Centre); Bayati, I. (Maritime Research Institute Netherlands (MARIN)); Gueydon, S. (Maritime Research Institute Netherlands (MARIN)); De Ridder, E. J. (Maritime Research Institute Netherlands (MARIN)); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden); Belloli, M. (Politecnico di Milano)","","2020","This paper presents a new hardware-in-the-loop methodology for wave-basin scale-model experiments about floating offshore wind turbines and its application as a tool for the validation of control strategies. In the hardware-in-the-loop experiments, the physical Froude-scaled wind turbine model used in conventional scale-model tests is replaced by a numerical model, measurements and a multi-fan actuator. As usual, properly-scaled waves are generated in the wave basin and the floating platform is simulated by means of a scale-model. The hardware-in-the-loop methodology was used to recreate the interaction between the collective pitch controller and the platform pitch mode that, often observed in numerical studies. In addition, the blade-root load measurement available in the numerical model of the rotor was used to implement an individual pitch control strategy. Different from in conventional experiments, the hardware-in-the-loop methodology allows to recreate a realistic three-dimensional wind field that was used to demonstrate the effectiveness of the individual pitch control. The improved emulation of the rotor loads and wind field make the hardware-in-the-loop experimental methodology an effective tool for the development and validation of control strategies for floating offshore wind turbines.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:07144e7f-4d9d-466a-810c-692632f64b9e","http://resolver.tudelft.nl/uuid:07144e7f-4d9d-466a-810c-692632f64b9e","Flexural strength and rotation capacity of welded I-section steel beams with longitudinally profiled flanges","Liu, X. (Tsinghua University); Wang, Yuanqing (Tsinghua University); Ban, Huiyong (Tsinghua University); Liu, Ming (Angang Steel Company Limited, Anshan); Veljkovic, M. (TU Delft Steel & Composite Structures); Bijlaard, F.S.K. (TU Delft Steel & Composite Structures)","","2020","Application of longitudinally profiled (LP) steel plate in the flange of flexural members may provide a good solution to optimize their mechanical performance and to improve the efficiency of steel use, whilst existing design codes provide no design guidance or prediction methodology for such advanced beams in terms of flexural behaviour. To clarify their flexural strength and rotation capacity, tests on two full-scale welded I-section steel beams with longitudinally profiled flanges (LPB members) are carried out herein, as well as two traditional beams with uniform cross-section for comparison. All the specimens exhibit sufficient flexural strength and rotation capacity for seismic plastic design, and specifically, the LPB members possess even better performance in case of identical steel usage. Parametric analyses of 250 beams incorporating a wide range of flange slenderness and steel grades, are conducted by employing the validated nonlinear FE model to investigate the effects of rate of thickness change for the LP flanges. The results show that the effect is limited on the flexural strength but significant on rotation capacity. The existing design provisions for beams with uniform cross-section give generally conservative design results for the flexural strength of the LPB members, but limiting values of flange slenderness needs to be reduced. The research outcomes may provide an important basis for promoting the application of LP plates in flexural members.","Flexural strength; Longitudinally profiled steel plate; Rate of thickness change; Rotation capacity; Welded I-section steel beam","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-25","","","Steel & Composite Structures","","",""
"uuid:cae10df9-0bbf-4a1f-99f6-73242e74972f","http://resolver.tudelft.nl/uuid:cae10df9-0bbf-4a1f-99f6-73242e74972f","Multi-parametric assessment of biological stability of drinking water produced from groundwater: Reverse osmosis vs. conventional treatment","Sousi, Mohaned (IHE Delft Institute for Water Education; University of Twente); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Salinas-Rodriguez, Sergio G. (IHE Delft Institute for Water Education); Chen, L. (TU Delft Sanitary Engineering); Dusseldorp, Jos (Oasen); Wessels, Peter (Oasen); Schippers, Jan C. (IHE Delft Institute for Water Education); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); van der Meer, Walter (University of Twente; Oasen)","","2020","Although water produced by reverse osmosis (RO) filtration has low bacterial growth potential (BGP), post-treatment of RO permeate, which is necessary prior to distribution and human consumption, needs to be examined because of the potential re-introduction of nutrients/contaminants. In this study, drinking water produced from anaerobic groundwater by RO and post-treatment (ion exchange, calcite contactors, and aeration) was compared with that produced by conventional treatment comprising (dry) sand filtration, pellet softening, rapid sand filtration, activated carbon filtration, and UV disinfection. The multi-parametric assessment of biological stability included bacterial quantification, nutrient concentration and composition as well as bacterial community composition and diversity. Results showed that RO permeate remineralised in the laboratory has an extremely low BGP (50 ± 12 × 103 ICC/mL), which increased to 130 ± 10 × 103 ICC/mL after site post-treatment. Despite the negative impact of post-treatment, the BGP of the finished RO-treated water was >75% lower than that of conventionally treated water. Organic carbon limited bacterial growth in both RO-treated and conventionally treated waters. The increased BGP in RO-treated water was caused by the re-introduction of nutrients during post-treatment. Similarly, OTUs introduced during post-treatment, assigned to the phyla of Proteobacteria and Bacteroidetes (75–85%), were not present in the source groundwater. Conversely, conventionally treated water shared some OTUs with the source groundwater. It is clear that RO-based treatment achieved an extremely low BGP, which can be further improved by optimising post-treatment, such as using high purity calcite. The multi-parametric approach adopted in this study can offer insights into growth characteristics including limiting nutrients (why) and dominating genera growing (who), which is essential to manage microbiological water quality in water treatment and distribution systems.","Bacterial growth potential (BGP); Biological stability; Limiting nutrient; Multi-parametric approach; Reverse osmosis (RO); Trace elements","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:262dd1d3-0ff0-48b2-8479-a52a2e22d6e7","http://resolver.tudelft.nl/uuid:262dd1d3-0ff0-48b2-8479-a52a2e22d6e7","Experimental characterization of viscoelastic behaviors, microstructure and thermal stability of CR/SBS modified asphalt with TOR","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Li, Mingliang (Research Institute of Highway Ministry of Transport); Fan, Weiyu (China University of Petroleum (East China)); Xu, Jian (Research Institute of Highway Ministry of Transport); Erkens, S. (TU Delft Pavement Engineering)","","2020","It is well known that crumb rubber (CR) and styrene–butadienestyrene (SBS) composite modified asphalt has better rheological and engineering performance. However, it always presents very poor compatibility and storage stability. Meanwhile, Trans-polyoctenamer (TOR) can effectively improve the compatibility and thermal stability of rubber asphalt. Thus, this study aims to investigate the effectiveness of TOR on rheological properties, microstructure and thermal stability of CR/SBS modified asphalt. The results show that TOR has a significant influence on strengthening anti-rutting and temperature sensitivity of CR/SBS modified asphalt. However, TOR has a slightly negative influence on the anti-cracking ability for CR/SBS modified asphalt, which still maintains the critical low temperature requirement. Furthermore, TOR could be able to promote the conformation of cross-linked structure between polymer and asphalt, resulting in a significant enhancement in rheological properties and thermal stability of CR/SBS modified asphalt. Lastly, the effects of TOR on viscoelastic performance for modified asphalt markedly depend on the component of neat asphalt, and high asphaltene content is beneficial for improving the rheological behavior effects of TOR.","CR/SBS modified asphalt; FM; FT-IR; TG analysis; Trans-polyoctenamer (TOR); Viscoelastic behaviors","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:6bf4de8a-8b82-4a30-a4eb-9f7cf938b888","http://resolver.tudelft.nl/uuid:6bf4de8a-8b82-4a30-a4eb-9f7cf938b888","Ductile damage model calibration for high-strength structural steels","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); Liu, Yuqing (Tongji University)","","2020","Numerical analyses incorporating appropriate damage models provide an opportunity to predict the strength and deformation capacity of steel structures. This paper presents a practical calibration for the ductile damage model of S355 and high-strength steel S690Q, S700MC, S960Q based on tensile coupon test results. A combined linear and power expression is adopted to calibrate the post-necking damaged stress–strain relations of the investigated steels, upon which the undamaged stress–strain relations are estimated further. Damage initiation criterion is based on the Rice-Tracey model and damage evolution law is related to the calibrated damaged stress and the estimated undamaged stress. Fracture of the tensile coupons is modelled using a critical damage variable. Tensile coupon tests on the investigated steels are modelled in ABAQUS with the explicit solver. Results show that combining the proposed post-necking stress–strain relations and ductile damage model generates very good predictions for strain localization and final fracture of the tensile coupons. Numerical engineering stress–strain curves agree well with the experimental results. It also indicates that high-strength steels are more susceptible to damage than S355. The damage variable of S960Q is about 2 times as large as that of S355 from the onset of necking to the final fracture.","Ductile damage model; High-strength steel; Post-necking stress–strain; Rice-Tracey model; Strain localization; Tensile coupon test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-04","","","Steel & Composite Structures","","",""
"uuid:03e5ca34-19ee-4c38-9b44-27f9bac7faef","http://resolver.tudelft.nl/uuid:03e5ca34-19ee-4c38-9b44-27f9bac7faef","Improvements of developed graphite based composite anti-aging agent on thermal aging properties of asphalt","Hu, Zhihui (Nanjing Forestry University); Xu, Tao (Nanjing Forestry University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Wang, H. (TU Delft Pavement Engineering)","","2020","To reduce the thermal-oxidative aging of asphalt and the release amount of harmful volatiles during the construction of asphalt pavement, a new composite anti-aging agent was developed. Since the volatiles were mainly released from saturates and aromatics during the thermal-oxidative aging of asphalt, expanded graphite (EG) was selected as a stabilizing agent to load magnesium hydroxide (MH) and calcium carbonate (CaCO3) nanoparticles for preparing the anti-aging agents of saturates and aromatics, respectively. Thermal stability and volatile constituents released from saturates and aromatics before and after the thermal-oxidative aging were characterized using the isothermal Thermogravimetry/Differential Scanning Calorimetry-Fourier Transform Infrared Spectrometer test (TG/DSC-FTIR test). Test results indicate that anti-aging agents of EG/MH and EG/CaCO3 effectively inhibit the volatilization of light components in asphalt and improve the thermal stability of saturates and aromatics. Then, the proportions of EG, MH, and CaCO3 added in the developed composite anti-aging agent of EG/MH/CaCO3 are 2:1:3 by weight. EG/MH/CaCO3 plays a synergetic effect on inhibiting the thermal-oxidative aging of asphalt, and reduces the release amount of harmful volatiles during the thermal-oxidative aging after EG/MH/CaCO3 is added into asphalt at the proposed content of 10 wt. %. EG plays a synergistic role with MH and CaCO3 nanoparticles to prevent the chain reactions, inhibiting the thermal-oxidative aging of asphalt.","Asphalt; Composite anti-aging agent; Synergistic effect; Thermal-oxidative aging; Volatile constituents","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:efe2211e-f03a-43f3-9ed7-8211824e4e2f","http://resolver.tudelft.nl/uuid:efe2211e-f03a-43f3-9ed7-8211824e4e2f","Fault Detection of the Mooring system in Floating Offshore Wind Turbines based on the Wave-excited Linear Model","Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Fontanella, A. (Politecnico di Milano); Wu, P. (Zhejiang Sci-Tech University); Ferrari, Riccardo M.G. (TU Delft Team Jan-Willem van Wingerden); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2020","Floating Offshore Wind Turbines (FOWTs) are more prone to suffer from faults and failures than bottom-fixed counterparts due to the severe wind and wave loads typical of deep water sites. In particular, mooring line faults may lead to unacceptably high operation and maintenance costs due to the limited accessibility of FOWTs. Detecting the mooring line faults is therefore critical, but the application of Fault Detection (FD) techniques has not been investigated yet. In this paper, an FD scheme based on a wave-excited linear model is developed to detect in a reliable way critical mooring line faults occurring at the fairlead and anchor ends. To reach the goal, a linear model of the FOWT is obtained by approximating the wave radiation and incident wave forces. Based on this model, an observer is built to predict the rigid rotor and platform dynamics. The FD scheme is thus implemented by comparing the Mahalanobis Distance of the observer prediction error against a probabilistic detection threshold. Numerical simulations in some selected fault scenarios show that the wave-excited linear model can predict the FOWT dynamics with good accuracy. Based on this, the FD scheme capabilities are demonstrated, showing that it is able to effectively detect two critical mooring line faults.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:1b0d250e-1feb-4fce-b23c-1332845bf889","http://resolver.tudelft.nl/uuid:1b0d250e-1feb-4fce-b23c-1332845bf889","A control-oriented wave-excited linear model for offshore floating wind turbines","Fontanella, A. (Politecnico di Milano); Al, M. (Student TU Delft); van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); Liu, Y. (TU Delft Team Jan-Willem van Wingerden); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden); Belloli, M. (Politecnico di Milano)","","2020","The design of control strategies for floating offshore wind turbines (FOWTs) is even more difficult than for onshore and bottom-fixed offshore ones and a recognized control strategy for FOWTs is currently lacking. In order to design effective control strategies, the additional dynamics of these systems should be taken into account in the models used to solve this task. This paper presents the analytical derivation of a novel model conceived for control design purposes. In detail, the model is based on a linear description of the highly non-linear phenomena that are relevant for an FOWT. The quasi-steady assumption is used to give a description of the aerodynamic loads and how these are influenced by the main control inputs. Hydrodynamic radiation and diffraction forces are introduced by means of linear-time-invariant parametric models. Simulation results shows that the proposed linear model is able to predict the structural response of the turbine system and the floating platform effectively in the case of control inputs, wind and wave disturbances. Compared to the nonlinear high-fidelity model, the proposed model shows similar results, however, without much complexity, which is promising in the desing of FOWT control strategies.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:1289d278-e4a2-4874-8c45-c23885a9f256","http://resolver.tudelft.nl/uuid:1289d278-e4a2-4874-8c45-c23885a9f256","Feedforward control for wave disturbance rejection on floating offshore wind turbines","Al, M. (Student TU Delft; Sowento GmbH); Fontanella, A. (Politecnico di Milano); van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Belloli, M. (Politecnico di Milano); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2020","Floating offshore wind turbines allow wind energy to be harvested in deep waters. However, additional dynamics and structural loads may result when the floating platform is being excited by wind and waves. In this work, the conventional wind turbine controller is complemented with a novel linear feedforward controller based on wave measurements. The objective of the feedforward controller is to attenuate rotor speed variations caused by wave forcing. To design this controller, a linear model is developed that describes the system response to incident waves. The performance of the feedback-feedforward controller is assessed by a high-fidelity numerical tool using the DTU 10MW turbine and the INNWIND.EU TripleSpar platform as references. Simulations in the presence of irregular waves and turbulent wind show that the feedforward controller effectively compensates the wave-induced rotor oscillations. The novel controller is able to reduce the rotor speed variance by 26%. As a result, the remaining rotor speed variance is only 4% higher compared to operation in still water.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:93536495-da03-4aef-9f41-1c517cff4532","http://resolver.tudelft.nl/uuid:93536495-da03-4aef-9f41-1c517cff4532","Towards developing a new model for inclusive cities in China: The case of Xiong'an New Area","Liu, Z. (TU Delft Integral Design & Management); de Jong, Martin (Fudan University; Erasmus Universiteit Rotterdam); Li, Fen (Shenzhen Institute of Building Research); Brand, A.D. (TU Delft Projects); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Liang, Dong (City University of Hongkong)","","2020","Along with unprecedented urbanization in the last few decades, cities have experienced rapid social and economic transformation in China. A major challenge facing urban authorities in the immediate future is how to plan and govern cities such that they can serve as inclusive systems where everyone is enabled and empowered to fully participate in and contribute to socioeconomic life. A first step towards realizing this is to conceptualize an integrated framework that allows analysts and decision-makers to delineate, evaluate, and guide the development of these cities towards inclusiveness. In this study, we conducted a conceptual analysis of urban inclusiveness and then proposed a multidimensional framework for the evaluation of inclusive development. This is followed by the presentation of the case of Xiong'an, for which inclusive development indicators (IDIs) were selected. By comparing the state before the establishment of Xiong'an with its current development progress, and analyzing the area's management structure and policy measures, the inclusive development challenges are identified. Subsequently, suggestions are given on how to direct Xiong'an toward higher levels of inclusiveness, including offering equal access to public services and employment opportunities, preserving environmental health and sustainable use of natural resources through waste recycling, and encouraging public participation in decision-making to bring higher levels of inclusion within reach.","Evaluation framework; Inclusive city; Inclusive urban development; Indicator system; Xiong'an New Area","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:ba12e3b3-8f76-4569-802d-8ff76b32824f","http://resolver.tudelft.nl/uuid:ba12e3b3-8f76-4569-802d-8ff76b32824f","Microchannel Thermal Management System with Two-Phase Flow for Power Electronics over 500 W/cm2Heat Dissipation","Hou, F. (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences); Zhang, Hengyun (Shanghai University of Engineering Science); Huang, Dezhu (Shanghai University of Engineering Science); Fan, J. (TU Delft Electronic Components, Technology and Materials); Liu, Fengman (National Center for Advanced Packaging (NCAP China); Chinese Academy of Sciences); Lin, Tingyu (National Center for Advanced Packaging (NCAP China)); Cao, Liqiang (Chinese Academy of Sciences; National Center for Advanced Packaging (NCAP China)); Fan, Xuejun (Lamar University); Ferreira, Braham (University of Twente); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","In this article, a microchannel thermal management system (MTMS) with the two-phase flow using the refrigerant R1234yf with low global warming potential is presented. The thermal test vehicles (TTVs) were made of either single or multiple thermal test chips embedded in the substrates, which were then attached to the MTMS. The system included two identical aluminum microchannel heat sinks (MHSs) connected in series in the cooling loop, which also consisted of a gas flowmeter, a miniature compressor, a condenser, a throttling device, and accessory measurement components. The experimental results showed that the thermal management system could dissipate a heat flux of 526 W/cm2 while maintaining the junction temperature below 120 °C. For SiC mosfet with a higher junction temperature, e.g., 175 °C, the current system is expected to dissipate a heat flux as high as about 750 W/cm2. The effects of the rotational speed of the compressor, the opening of the throttling device, TTV layout on MHS, and a downstream heater on the cooling performance of the system were analyzed in detail. The study shows that the present thermal management with a two-phase flow system is a promising cooling technology for the high heat flux SiC devices.","Microchannel thermal management system (MTMS); power electronics; R1234yf; SiC MOSFET; two-phase flow","en","journal article","","","","","","Accepted Auhtor Manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9a301bb0-de91-4723-bd44-58706bc720cf","http://resolver.tudelft.nl/uuid:9a301bb0-de91-4723-bd44-58706bc720cf","A numerical homogenization scheme used for derivation of a homogenized viscoelastic-viscoplastic model for the transverse response of fiber-reinforced polymer composites","Liu, Y. (TU Delft Applied Mechanics; Beijing Institute of Technology); van der Meer, F.P. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design); Fan, J. T. (Beijing Institute of Technology)","","2020","With a classical notched configuration, the damage process in the transverse plane of fiber-reinforced polymer composites are studied by a direct numerical simulation model (DNS). However, to avoid high computational costs the region in which the fiber/matrix microstructure is explicitly modeled must remain small. Therefore, away from the notch tip, a homogenized model is needed to capture the far-field mechanical response without damage but with possibly rate-dependent nonlinearity. In this contribution, with a representative volume element (RVE), a step-by-step numerical homogenization procedure is introduced to calibrate a homogenized viscoelastic-viscoplastic (VE-VP) model with the same formulation as the VE-VP model used for describing the polymer behavior in the RVE model. The calibrated VE-VP model is used in a homogenized FEM model to describe the composite material response and compared against the RVE model. It is found that: (1) the homogenized model captures the viscoelastic deformation, the rate-dependent yielding, stress relaxation and unloading behavior of the polymer composite well, although the assumptions of a single plastic Poisson's ratio and pure isotropic hardening are oversimplifications of the composite behavior; (2) the novel step-by-step numerical homogenization procedure provides an efficient and accurate way for obtaining material parameters of a VE-VP model.","Composites; Numerical homogenization; RVE; Viscoelasticity; Viscoplasticity","en","journal article","","","","","","Accepted author manuscript","","2022-08-06","","Materials- Mechanics- Management & Design","Applied Mechanics","","",""
"uuid:03d3adfc-f090-4a98-8077-3f9da5c9249a","http://resolver.tudelft.nl/uuid:03d3adfc-f090-4a98-8077-3f9da5c9249a","Abrupt decline in tropospheric nitrogen dioxide over China after the outbreak of COVID-19","Liu, Fei (Universities Space Research Association; NASA Goddard Space Flight Center); Page, Aaron (University of Exeter); Strode, Sarah A. (Universities Space Research Association; NASA Goddard Space Flight Center); Yoshida, Yasuko (NASA Goddard Space Flight Center; Science Systems and Applications Inc.); Choi, Sungyeon (NASA Goddard Space Flight Center; Science Systems and Applications Inc.); Zheng, Bo (Laboratoire des Sciences du Climat et de l’Environnement); Lamsal, Lok N. (Universities Space Research Association; NASA Goddard Space Flight Center); Veefkind, j. Pepijn (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI))","","2020","China's policy interventions to reduce the spread of the coronavirus disease 2019 have environmental and economic impacts. Tropospheric nitrogen dioxide indicates economic activities, as nitrogen dioxide is primarily emitted from fossil fuel consumption. Satellite measurements show a 48% drop in tropospheric nitrogen dioxide vertical column densities from the 20 days averaged before the 2020 Lunar New Year to the 20 days averaged after. This decline is 21±5% larger than that from 2015 to 2019. We relate this reduction to two of the government's actions: the announcement of the first report in each province and the date of a province's lockdown. Both actions are associated with nearly the same magnitude of reductions. Our analysis offers insights into the unintended environmental and economic consequences through reduced economic activities.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:f04b76a7-7402-4f6e-95ed-238119ef5f49","http://resolver.tudelft.nl/uuid:f04b76a7-7402-4f6e-95ed-238119ef5f49","Enhanced potential ecological risk induced by a large scale water diversion project","Yu, M. (TU Delft Water Resources; Hohai University; Research Center for Climate Change of Ministry of Water Resources); Wood, Paul (Loughborough University); van de Giesen, N.C. (TU Delft Water Resources); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Li, Qiongfang (Hohai University); Wang, Guoqing (Research Center for Climate Change of Ministry of Water Resources); Zhang, Jianyun (Research Center for Climate Change of Ministry of Water Resources)","","2020","River regulation by the construction of reservoirs represents one of the greatest challenges to the natural flow regime and ecological health of riverine systems globally. The Danjiangkou (DJK) Reservoir is the largest reservoir on the Hangjiang River and commenced operations in 1967. The reservoir was upgraded in 2012 to provide water resource for the South–North water transfer project through central China. However, the effect of the reservoir operations on the downstream hydrological regime and ecological health of the Hanjiang River following the upgrade (increase in dam height and reservoir capacity) has not been examined thus far. The daily discharge series from four stations along the main stem of the Hanjiang River, including a site upstream, were examined from 1950 to 2017. The study series was divided into three periods based on the difference stages of the reservoir operation: (1) 1950–1966, (2) 1967–2012 and (3) 2013–2017. The nature of hydrological alteration, ecological flow requirement and potential ecological risk during the different periods were investigated. The results clearly indicate that the DJK reservoir has significantly modified the hydrological regime in the middle and downstream section of the Hanjiang River, with most significant modifications recorded immediately downstream of the reservoir. None of the observed ‘Range of Variability Approach’ hydrological indicators fell within the expected range at Huangjiagang following the increase in reservoir capacity. As a result, the ecological flow requirements could not be guaranteed, and the frequency and intensity of ecodeficit increased. The river ecosystem immediately downstream of the dam was observed to be at high risk of ecosystem degradation during the post-dam periods considered.","Danjiangkou reservoir; Ecodeficit; Ecological risk; Hydrological alteration; South–North water transfer project","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-02-24","","","Water Resources","","",""
"uuid:c05554e4-b3f4-48cf-9a8d-efde53a86f15","http://resolver.tudelft.nl/uuid:c05554e4-b3f4-48cf-9a8d-efde53a86f15","Interface chemistry of an amide electrolyte for highly reversible lithium metal batteries","Wang, Qidi (Tsinghua University); Yao, Zhenpeng (Harvard University); Zhao, Chenglong (Chinese Academy of Sciences); Verhallen, T.W. (TU Delft RST/Storage of Electrochemical Energy); Tabor, Daniel P. (Harvard University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ooms, F.G.B. (TU Delft RST/Technici Pool); Kang, Feiyu (Tsinghua University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2020","Metallic lithium is a promising anode to increase the energy density of rechargeable lithium batteries. Despite extensive efforts, detrimental reactivity of lithium metal with electrolytes and uncontrolled dendrite growth remain challenging interconnected issues hindering highly reversible Li-metal batteries. Herein, we report a rationally designed amide-based electrolyte based on the desired interface products. This amide electrolyte achieves a high average Coulombic efficiency during cycling, resulting in an outstanding capacity retention with a 3.5 mAh cm−2 high-mass-loaded LiNi0.8Co0.1Mn0.1O2 cathode. The interface reactions with the amide electrolyte lead to the predicted solid electrolyte interface species, having favorable properties such as high ionic conductivity and high stability. Operando monitoring the lithium spatial distribution reveals that the highly reversible behavior is related to denser deposition as well as top-down stripping, which decreases the formation of porous deposits and inactive lithium, providing new insights for the development of interface chemistries for metal batteries.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:d93d6dd5-ba16-4c53-a7e3-311710fc4d84","http://resolver.tudelft.nl/uuid:d93d6dd5-ba16-4c53-a7e3-311710fc4d84","Fatigue evaluation on headed stud connectors with toe-plate failure mode using hot spot stress approach","Liu, Rong (Hohai University); Zhao, Hao (Hohai University); Feng, Zhiqiang (Hohai University); Xin, H. (TU Delft Steel & Composite Structures; Xi’an Jiaotong University); Liu, Yuqing (Tongji University)","","2020","The headed stud connectors are widely used in a variety of innovative engineering applications of the infrastructure sector. The fatigue life of headed studs is significantly affected by the residual stress introduced from welding procedures. It is very important to develop a reliable numerical method to predict the fatigue performance of headed stud connectors. In this paper, the efficiency of fatigue life prediction, using the nominal stress (NS) and the hot spot stress (HSS) methods based on finite element simulation, is compared. The limitation using the NS method to predict the fatigue life of studs is discussed in this paper. The efficiency of the HSS analysis technique is validated by the fatigue test results in the literature.","Fatigue strength; Finite element analysis; Hot spot stress; Stud connectors; Toe-base crack","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:1d9fbe54-034b-475a-9eda-b0ee975e3832","http://resolver.tudelft.nl/uuid:1d9fbe54-034b-475a-9eda-b0ee975e3832","Design for societal resilience: The risk evaluation diversity-aiding approach (RED-A)","Onencan, A.M. (TU Delft Design for Sustainability; TU Delft Policy Analysis); Liu, Lian Ena (Student TU Delft); van de Walle, B.A. (TU Delft Policy Analysis)","","2020","The global impacts of disaster risks are on the rise. Moreover, evidence shows that the severity of damage will increase exponentially. In 2019, there were 395 natural disasters that caused 11,755 deaths. Literature and practice indicate that diversification of disaster risk management (DRM) approaches can make communities more resilient. One notable bottleneck in adopting diverse DRM approaches is the historical dominance of natural and technological sciences with little contribution from social sciences. Thus, a heterogeneous social-technical approach to DRM is rare and risk governance challenges are hardly understood. We conducted a systematic literature and practice review and extracted data to develop and answer five sub-questions. After that, we reviewed relevant information and selected eight risk evaluation approaches. We made comparisons and used the input to design the Risk Evaluation Diversity-aiding Approach (RED-A). The approach consists of 12 criteria and a checklist with 22 items. RED-A provides guidance to DRM researchers and practitioners when conducting socio-technical risk evaluations. It helps identify cognitive biases in the ongoing DRM process that may largely impact the quality of risk evaluation procedures. The goal of the 22-item checklist is to ensure that the 12 RED-A criteria are incorporated as much as possible to support the progressive transition towards a heterogeneous social-technical DRM approach. Finally, the RED-A criteria and checklist are applied in the Solotvyno municipality context (in Ukraine), to illustrate the use of the approach.","Ambiguity; Complexity; Decision-making; Design for resilience (DfR); Disaster risk reduction (DRM) measures; Embodied uncertainty; Risk evaluation approaches; Solotvyno; Tolerability and acceptability judgments","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:a0b3a2dd-7cf9-4fdf-8ac5-75de00906453","http://resolver.tudelft.nl/uuid:a0b3a2dd-7cf9-4fdf-8ac5-75de00906453","External surface cracked offshore steel pipes reinforced with composite repair system subjected to cyclic bending: An experimental investigation","Li, Z. (TU Delft Support Marine and Transport Techology); Jiang, X. (TU Delft Transport Engineering and Logistics); Hopman, J.J. (TU Delft Marine and Transport Technology); Zhu, Ling (Wuhan University of Technology); Liu, Zhiping (Ministry of Education Hangzhou)","","2020","In this paper, we experimentally studied the external surface crack growth in steel pipes reinforced with Composite System Repaired (CRS). CRS reinforced surface cracked API 5L X65 pipes were tested, containing three initial notch sizes and four reinforcement schemes. During the tests, the crack growth results, as well as the strain on the external mid-bottom composite laminate around the cracked area, and the vertical deflection of the specimens were recorded. The results showed that within the surface crack growth stage, the composite laminates were adequately bonded on the steel substrate, which significantly decreased the crack growth rate and prolonged the residual fatigue life. In addition, CRS reinforcement has increased the stiffness of the surface cracked pipes. Through the analysis on applying different reinforcement schemes, we indicated that increasing the amount of composite layers evidently facilitated the reinforcement effectiveness, while increasing the bond length did not; and the inversely diagonal wrapping pattern performance less effective.","Composite repair system; Experimental investigation; External surface crack; Fatigue crack growth rate; Offshore steel pipes; Structural integrity","en","journal article","","","","","","","","","","Marine and Transport Technology","Support Marine and Transport Techology","","",""
"uuid:0dc7b3c0-e463-4789-bd7b-4f4ebb453716","http://resolver.tudelft.nl/uuid:0dc7b3c0-e463-4789-bd7b-4f4ebb453716","Material-structure integrated design optimization of GFRP bridge deck on steel girder","Xin, H. (TU Delft Steel & Composite Structures; Xi’an Jiaotong University; Tongji University); Mosallam, Ayman (University of California); Correia, José A.F.O. (INEGI); Liu, Yuqing (Tongji University); He, Jun (Changsha University of Science and Technology; Heriot-Watt University); Sun, Yun (Tongji University)","","2020","Design optimization of fiber-reinforced polymeric (FRP) composite products is essential to facilitate their applications in engineering structures. For bridge structures, the main design optimization goals are the reduction of FRP material consumption and the structure weight, which aim to reduce the initial construction cost and achieve a longer bridge span. Compared with conventional steel–concrete composite bridges, FRP-steel composite bridges possess more design variables and more complex design process, which necessitate the simplified optimization models. This paper aims to propose a two-scale design optimation method for FRP bridge deck on the steel girder. The macro behavior of the pultruded FRP composite bridge deck is analyzed. Regarding the micro level, the equivalent properties of pultruded GFRP lamination are calculated by combining micromechanics and classical lamination theory (CLT). The above-mentioned macro pultruded GFRP bridge level and the micro fiber/resin level were bridged based on the assumption that the micro-component effective homogenized strain equals to the corresponding macro strain. The two-scale lamination optimization of pultruded GFRP bridge deck is finally achieved by finding optimized two-scale design variables that can achieve the minimum bridge weight or the lowest initial construction cost with all listed constraint requirements satisfied. A pultruded FRP deck supported on equally-spaced steel girders was selected as a case study to show how to obtain the optimized two-scale parameters by using this proposed optimization method. The optimized results of the top flange thickness, tu, the bottom flange thickness, tl, the web height, hw, and the web thickness per meter, tw, are 46.02 mm, 45.86 mm, 300.0 mm and 37.42 mm, respectively. Results also showed that the optimized ratio of the 0°-lamina, 45°-lamina, and the 90°-lamina are77.9%, 17.1%, 5.0%. The optimized fiber volume fraction is 65.2%.","Composite bridge girder; Laminations; Multiscale optimization; Pultruded GFRP bridge deck","en","journal article","","","","","","Accepted Author Manuscript","","2021-07-24","","","Steel & Composite Structures","","",""
"uuid:942fb134-ef1f-4aae-be2e-0953bdedd2a8","http://resolver.tudelft.nl/uuid:942fb134-ef1f-4aae-be2e-0953bdedd2a8","Controlling the Lithium-Metal Growth to Enable Low-Lithium-Metal-Excess All-Solid-State Lithium-Metal Batteries","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Cheng, Z. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Haverkate, Lucas A. (TNO); Unnikrishnan, Sandeep (TNO); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2020","Solid-state lithium-metal batteries are considered to be promising candidates for next-generation high-energy density storage devices to power electrical vehicles. Critical challenges for solid-state lithium-metal batteries include the large morphological changes associated with the plating and stripping of lithium metal and decomposition of the solid electrolyte, because of the reductive nature of the lithium metal, both increasing the lithium metal-solid electrolyte interface resistance. This is especially challenging when starting in the discharged state with a bare anode or ""anode-less""current collector facing the solid electrolyte. To overcome this, a 100-nm thin layer of ZnO is deposited on the copper current collector with atomic layer deposition (ALD). During the first charge, this results in more homogeneous lithium-metal growth, rationalized by the formation of a Zn-Li alloy that acts as seed crystals for the lithium metal. The resulting more homogeneous lithium-metal growth maintains better contact with the solid electrolyte, leading to more reversible cycling of lithium metal. Minor prelithiating of the ZnO/Cu anode with 1 mAh/cm2 further improves the cycling performance, as demonstrated in a full all-solid-state cell using LiFePO4 as a cathode, resulting in an average Coulombic efficiency of >95%. These findings mark the first steps in an interface strategy to overcome the challenges at the solid electrolyte/lithium-metal interface in solid-state lithium-metal batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:96c10503-3c1f-4e01-94da-fd74e7de5b05","http://resolver.tudelft.nl/uuid:96c10503-3c1f-4e01-94da-fd74e7de5b05","Risk assessment of high-speed rail projects: A risk coupling model based on system dynamics","Xue, Yutong (Chongqing University); Xiang, Pengcheng (Chongqing University); Jia, Fuyuan (Chongqing University); Liu, Z. (TU Delft Integral Design & Management)","","2020","Due to their characteristics and multiple objectives, high-speed rail (HSR) projects carry more complex risks than conventional projects and high correlation and conductivity are among the associated risk factors. Previous risk assessment frameworks for rail infrastructure have ignored the effects of risk interactions that inflate risk levels, namely, risk coupling effects. Based on a system dynamics method, this paper develops a risk coupling model for HSR project risk assessments. A risk factor list is established from a literature review, and relationships analysed using a case study and expert interviews. System dynamics equations are constructed and their parameters obtained by expert evaluations of risk factors. The proposed model is applied to a real-world HSR project to demonstrate it in detail. The model can evaluate the risk levels of HSR projects during a simulation period. In particular, it can identify the key coupling effects that are the main increased risk. It provides a significant resource, using which HSR project managers can identify and mitigate risks.","Dynamic risk; High-speed rail; Risk assessment; Risk coupling; Risk interaction; System dynamics","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:4c92a6c2-0de6-4405-816e-6c5926b8e63e","http://resolver.tudelft.nl/uuid:4c92a6c2-0de6-4405-816e-6c5926b8e63e","A Bayesian Network Approach for Condition Monitoring of High-Speed Railway Catenaries","Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Liu, Zhigang (Southwest Jiaotong University); Zhang, Dongliang (Southwest Jiaotong University); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2020","The growing variety of data from condition monitoring of high-speed railways offer unprecedented opportunities to improve railway infrastructure maintenance. For condition monitoring of railway catenaries, this paper proposes a data-driven approach that uses a Bayesian network (BN) to integrate the inspection data from catenaries into a key performance indicator (KPI). The BN topology is structured based on the physical relationships among data types, including train speed, dynamic stagger and height of the contact wire, pantograph head acceleration, and pantograph-catenary contact force. The tailored performance indicators are individually defined and extracted from the five types of data as the BN input. As the output of the BN, the KPI is defined as the overall condition level of the catenary considering all defects that can be reflected by the data types. Finally, using historical inspection data and maintenance records from a section of the Beijing-Guangzhou high-speed line in China, the BN parameters are estimated to establish a probabilistic relationship between the input and output. An approach that applies the estimated BN to catenary condition monitoring is proposed. Testing of the BN-based approach using new inspection data shows that the output KPI can adequately represent the catenary condition, leading to a nearly 66.2% reduction in the false alarm rate of defect detection compared with current practice. It is also tested that when the input data quality is not ideal, the approach can still work acceptably on noisy data with a signal-to-noise ratio greater than 3 dB or with one type of data missing.","Bayesian network; catenary; condition monitoring; High-speed railway; inspection data; key performance indicator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-30","","","Railway Engineering","","",""
"uuid:6562ed8c-5bec-45c6-9cd5-5cce51c88e42","http://resolver.tudelft.nl/uuid:6562ed8c-5bec-45c6-9cd5-5cce51c88e42","Catalytic conversion of pure glycerol over an un-modified H-ZSM-5 zeolite to bio-based aromatics","He, Songbo (University Medical Center Groningen); Zuur, Kenny (University Medical Center Groningen); Santosa, Dian Sukmayanda (University Medical Center Groningen); Heeres, Andre (Bio-BTX B.V.; Hanze Hogeschool Groningen); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Algemeen; TU Delft ChemE/Inorganic Systems Engineering); Heeres, Hero Jan (University Medical Center Groningen)","","2021","The catalytic conversion of pure glycerol to bio-aromatics (bio-BTX) over an un-modified H-ZSM-5 (SiO2/Al2O3 molar ratio of 23) via an ex-situ catalytic pyrolysis approach in a continuous tandem-micro reactor at a scale of 1 g glycerol h−1 was investigated. A BTX peak carbon yield of 28.1 ± 0.2 % was obtained at a pyrolysis temperature of 400 °C, catalytic upgrading temperature of 500 °C, atmospheric pressure and a WHSV of 1 h−1. About 70 % of the bound oxygen in glycerol was converted to water. The latter was mainly formed in the catalytic upgrading unit (70 %), though conversion of glycerol to other oxygenates with water formation was also observed in the pyrolysis unit. Catalyst deactivation occurs at a time scale of hours and is mainly due to coke deposition (12.0 wt.%) on the catalyst surface. An oxidative regeneration procedure to remove coke was applied and 5 cycles of reaction-regeneration were performed successfully, though a drop in activity was observed after each cycle due to irreversible catalyst deactivation. Characterization of the fresh, deactivated and regenerated catalysts by various techniques revealed dealumination of the H-ZSM-5 framework and resulted in a dramatic decrease in Brønsted acidity of the catalyst. Dealumination mainly occurred in the catalytic upgrading reactor and to a by far lesser extent during catalyst regeneration. This information is relevant for a better understanding of the process on a molecular level but also for scale-up studies, e.g. for the design of pilot plants.","Aromatics; Bio-based chemicals; Glycerol; H-ZSM-5; Pyrolysis","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:ce7bf8d2-de2b-4e58-ab9e-820036e3fe6d","http://resolver.tudelft.nl/uuid:ce7bf8d2-de2b-4e58-ab9e-820036e3fe6d","Analysis on mechanical characteristics of welded joint with a new reinforced device in high-speed railway","Xiao, Hong (Beijing Jiaotong University); Yan, Dongwei (Beijing Jiaotong University); Liu, Guangpeng (Beijing Jiaotong University); Wang, Haoyu (TU Delft Mechanics and Physics of Structures)","","2020","High-speed railways adopt continuous welded rail to maintain the smoothness and continuity of the rail surface. However, the welded joint became one of the weakest parts. In order to clear the characteristics and mechanical properties of the new reinforced device, a dynamic three-dimensional vehicle-reinforced device-track coupling model is established. The mechanical characteristics of the track structure under high-speed train load were simulated and analyzed. After installing the new reinforced device, the dynamic response and service life of the track structure are obviously improved compared with the unreinforced rail. When the train speed is 300 km/h, the dynamic bending stress at the bottom of rail is reduced by 26.90%, the vertical and lateral acceleration of the rail are reduced by 42.78% and 21.56%, the vertical and lateral displacement of the rail are reduced by 6.36% and 8.67%, and the theoretical service life of the rail is greatly extended.","dynamic characteristics; fatigue life; high-speed railway; Reinforced device; welded joint","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:6b6099c0-d0fa-4aca-9041-50a8f9e13aa1","http://resolver.tudelft.nl/uuid:6b6099c0-d0fa-4aca-9041-50a8f9e13aa1","Spin-orbit coupling and linear crossings of dipolar magnons in van der Waals antiferromagnets","Liu, J. (Beijing Normal University); Wang, L. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Shen, Ka (Beijing Normal University)","","2020","A magnon spin-orbit coupling, induced by the dipole-dipole interaction, is derived in monoclinic-stacked bilayer honeycomb spin lattice with perpendicular magnetic anisotropy and antiferromagnetic interlayer coupling. Linear crossings are predicted in the magnon spectrum around the band minimum in Γ valley, as well as in the high-frequency range around the zone boundary. The linear crossings in K and K′ valleys, which connect the acoustic and optical bands, can be gapped when the intralayer dipole-dipole or Kitaev interactions exceed the interlayer dipole-dipole interaction, resulting in a phase transition from semimetal to insulator. Our results are useful for analyzing the magnon spin dynamics and transport properties in van der Waals antiferromagnets.","","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:e7edffbc-f8dc-4dcd-8705-b587411c05f6","http://resolver.tudelft.nl/uuid:e7edffbc-f8dc-4dcd-8705-b587411c05f6","Hydrogen in methanol catalysts by neutron imaging","Terreni, Jasmin (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Billeter, Emanuel (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Sambalova, Olga (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Liu, Xiaochun (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Trottmann, Matthias (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Sterzi, Andrea (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Geerlings, J.J.C. (TU Delft ChemE/Materials for Energy Conversion and Storage); Trtik, Pavel (Paul Scherrer Institut); Kaestner, Anders (Paul Scherrer Institut); Borgschulte, Andreas (University of Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa))","","2020","Although of pivotal importance in heterogeneous hydrogenation reactions, the amount of hydrogen on catalysts during reactions is seldom known. We demonstrate the use of neutron imaging to follow and quantify hydrogen containing species in Cu/ZnO catalysts operando during methanol synthesis. The steady-state measurements reveal that the amount of hydrogen containing intermediates is related to the reaction yields of CO and methanol, as expected from simple considerations of the likely reaction mechanism. The time-resolved measurements indicate that these intermediates, despite indispensable within the course of the reaction, slow down the overall reaction steps. Hydrogen-deuterium exchange experiments indicate that hydrogen reduction of Cu/ZnO nano-composites modifies the catalyst in such a way that at operating temperatures, hydrogen is dynamically absorbed in the ZnO-nanoparticles. This explains the extraordinary good catalysis of copper if supported on ZnO by its ability to act as a hydrogen reservoir supplying hydrogen to the surface covered by CO2, intermediates, and products during catalysis.","","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-21","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:fadaa87f-1530-40a6-b244-25b88dae6b78","http://resolver.tudelft.nl/uuid:fadaa87f-1530-40a6-b244-25b88dae6b78","Tuning electron transfer by crystal facet engineering of BiVO4 for boosting visible-light driven photocatalytic reduction of bromate","Liu, Guoshuai (Jiangnan University); Zhu, Yukun (Qingdao University of Technology); Yan, Qun (Jiangnan University; Jiangsu Collaborative Innovation Center of Technology and Material of Water Treatment); Wang, Han (Jiangnan University); Wu, Peng (Jiangsu Collaborative Innovation Center of Technology and Material of Water Treatment); Shen, Yaoliang (Jiangsu Collaborative Innovation Center of Technology and Material of Water Treatment); Doekhi-Bennani, Y. (TU Delft Sanitary Engineering)","","2021","Removal of bromate (BrO3−) has gained increasing attention in drinking water treatment process. Photocatalysis technology is an effective strategy for bromate removal. During the photocatalytic reduction of bromate process, the photo-generated electrons are reductive species toward bromate reduction and photo-generated holes responsible for water oxidation. In this study, the monoclinic bismuth vanadate (BiVO4) single crystal was developed as a visible photocatalyst for the effective removal of bromate. The as-synthesized BiVO4 photocatalyst with optimized {010} and {110} facets ratio could achieve almost 100% removal efficiency of BrO3− driven by visible light with a first-order kinetic constant of 0.0368 min−1. As demonstrated by the electron scavenger experiment and density functional theory (DFT) calculations, the exposed facets of BiVO4 should account for the high photocatalytic reduction efficiency. Under visible light illumination, the photo-generated electron and holes were spatially transferred to {010} facets and {110} facets, respectively. The BiVO4 single crystal photocatalyst may serve as an attractive photocatalyst by virtue of its response to the visible light, spatially charge transfer and separation as well as high photocatalytic activity, which will make the removal of BrO3− in water much easier, more economical and more sustainable.","Bismuth vanadate; Bromate; Crystal engineering; Photocatalysis","en","journal article","","","","","","Accepted Author Manuscript","","2023-01-13","","","Sanitary Engineering","","",""
"uuid:aa45eae7-3504-40e8-a4d1-2f12d8cb3edb","http://resolver.tudelft.nl/uuid:aa45eae7-3504-40e8-a4d1-2f12d8cb3edb","Protection Testing for Multiterminal High-Voltage dc Grid: Procedures and Assessment","Liu, Z. (Aalborg University); Mirhosseini, S.S. (Iran University of Science and Technology); Popov, M. (TU Delft Intelligent Electrical Power Grids); Audichya, Yash (ABB); Colangelo, Daniele (Swiss Federal Institute of Technology); Jamali, Sadegh (Iran University of Science and Technology); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Hu, Weihao (University of Electronic Science and Technology of China); Chen, Zhe (Aalborg University)","","2020","eAssessment The application of multiterminal (MT), high-voltage dc (HVdc) (MTdc) grid technology requires test procedures for the operation and implementation of the protection solutions. The test procedures are usually derived from experience and from extensive measurement data, which, at present, are still not widely available. Based on a hardware-inthe- loop (HIL) method, advanced dc protection testing strategies, utilizing existing experience for ac grids and requirements for MTdc grids, may overcome this gap.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-17","","","Intelligent Electrical Power Grids","","",""
"uuid:a88a4d6b-b170-437e-be1a-e7d52f76b26c","http://resolver.tudelft.nl/uuid:a88a4d6b-b170-437e-be1a-e7d52f76b26c","Beyond Solid Solution High-Entropy Alloys: Tailoring Magnetic Properties via Spinodal Decomposition","Rao, Ziyuan (Max-Planck-Institut für Eisenforschung); Dutta, B. (TU Delft (OLD) MSE-7); Körmann, F.H.W. (TU Delft (OLD) MSE-7; Max-Planck-Institut für Eisenforschung); Lu, Wenjun (Max-Planck-Institut für Eisenforschung; Southern University of Science and Technology); Zhou, Xuyang (Max-Planck-Institut für Eisenforschung); Liu, Chang (Max-Planck-Institut für Eisenforschung); da Silva, Alisson Kwiatkowski (Max-Planck-Institut für Eisenforschung); Wiedwald, Ulf (Universität Duisburg-Essen); Spasova, Marina (Universität Duisburg-Essen); Farle, Michael (Universität Duisburg-Essen); Ponge, Dirk (Max-Planck-Institut für Eisenforschung); Gault, Baptiste (Max-Planck-Institut für Eisenforschung; Imperial College London); Neugebauer, Jörg (Max-Planck-Institut für Eisenforschung); Raabe, Dierk (Max-Planck-Institut für Eisenforschung); Li, Zhiming (Max-Planck-Institut für Eisenforschung; Central South University China)","","2021","Since its first emergence in 2004, the high-entropy alloy (HEA) concept has aimed at stabilizing single- or dual-phase multi-element solid solutions through high mixing entropy. Here, this strategy is changed and renders such massive solid solutions metastable, to trigger spinodal decomposition for improving the alloys’ magnetic properties. The motivation for starting from a HEA for this approach is to provide the chemical degrees of freedom required to tailor spinodal behavior using multiple components. The key idea is to form Fe-Co enriched regions which have an expanded volume (relative to unconstrained Fe-Co), due to coherency constraints imposed by the surrounding HEA matrix. As demonstrated by theory and experiments, this leads to improved magnetic properties of the decomposed alloy relative to the original solid solution matrix. In a prototype magnetic FeCoNiMnCu HEA, it is shown that the modulated structures, achieved by spinodal decomposition, lead to an increase of the Curie temperature by 48% and a simultaneous increase of magnetization by 70% at ambient temperature as compared to the homogenized single-phase reference alloy. The findings thus open a pathway for the development of advanced functional HEAs.","coherency constraints; density functional theory; high-entropy alloys; magnetic properties; spinodal decomposition","en","journal article","","","","","","","","","","","(OLD) MSE-7","","",""
"uuid:b6758b03-fe91-4da0-a0ad-fd311a0ac90d","http://resolver.tudelft.nl/uuid:b6758b03-fe91-4da0-a0ad-fd311a0ac90d","Reducing anionic surfactant adsorption using polyacrylate as sacrificial agent investigated by QCM-D","Liu, Z. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces; China University of Petroleum - Beijing); Hedayati, Pegah (Student TU Delft); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering); Sun, Weichao (University of Copenhagen); Onay, Hayati (Student TU Delft); Groenendijk, Dirk (Shell Global Solutions International B.V.); van Wunnik, Johannes (Shell Global Solutions International B.V.); Sudhölter, Ernst J. R. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces)","","2021","Surfactant losses by adsorption to rock surfaces make surfactant-based enhanced oil recovery economically less feasible. We investigated polyacrylate (PA) as a sacrificial agent in the reduction of anionic surfactant adsorption with focus on calcite surfaces by using quartz crystal microbalance with dissipation monitoring. It was found that the adsorption of the anionic surfactant alcohol alkoxy sulfate (AAS) followed a Langmuir adsorption isotherm, and the adsorbed amount reached saturation above its critical micellar concentration. Adsorption of PA was a much slower process compared to AAS adsorption. Increasing the calcium ion concentration also increased the amount of AAS adsorbed as well as the mass increase rate of PA adsorption. Experimental results combined with density functional theory calculations indicated that calcium cation bridging was important for anionic surfactant AAS and PA adsorption to calcite surfaces. To effectively reduce the amount of surfactant adsorption, it was needed to preflush with PA, rather than by a simultaneous injection. Preflushing with 30 ppm of PA gave a reduction of AAS adsorption of 30% under high salinity (HS, 31,800 ppm) conditions, compared to 8% reduction under low salinity (LS, 3180 ppm) conditions. In the absence of PA, the amount of adsorbed AAS was reduced by already 50% upon changing from HS to LS conditions. Lower calcium ion concentrations, as under LS conditions, contributed to this observation. On different mineral surfaces, PA reduced the AAS adsorption in the order of alumina > calcite > silica. These results offer important insights into mitigating surfactant adsorption using PA polyelectrolyte as sacrificial agent and contribute to improved flooding strategies with reduced surfactant loss.","Divalent cation; Enhanced oil recovery; Polyelectrolyte; QCM-D; Surfactant flooding","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:49211bb8-325a-447d-9a33-51c33f6848fe","http://resolver.tudelft.nl/uuid:49211bb8-325a-447d-9a33-51c33f6848fe","An optimized SFC approach for nD window querying on point clouds","Liu, H. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Meijers, B.M. (TU Delft GIS Technologie); Verbree, E. (TU Delft GIS Technologie)","","2020","Dramatically increasing collection of point clouds raises an essential demand for highly efficient data management. It can also facilitate modern applications such as robotics and virtual reality. Extensive studies have been performed on point data management and querying, but most of them concentrate on low dimensional spaces. High dimensional data management solutions from computer science have not considered the special features of spatial data; so, they may not be optimal. A Space Filling Curve (SFC) based approach, PlainSFC which is capable of nD point querying has been proposed and tested in low dimensional spaces. However, its efficiency in nD space is still unknown. Besides that, PlainSFC performs poorly on skewed data querying. This paper develops HistSFC which utilizes point distribution information to improve the querying efficiency on skewed data. Then, the paper presents statistical analysis of how PlainSFC and HistSFC perform when dimensionality increases. By experimenting on simulated nD data and real data, we confirmed the patterns deduced: for inhomogeneous data querying, the false positive rate (FPR) of PlainSFC increases drastically as dimensionality goes up. HistSFC alleviates such deterioration to a large extent. Despite performance degeneration in ultra high dimensional spaces, HistSFC can be applied with high efficiency for most spatial applications. The generic theoretical framework developed also allows us to study related topics such as visualization and data transmission in the future.","Point Clouds; Query; SFC; Histogram; Distribution; B+-Tree; Oracle; Morton Curve","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:d1d17f9a-58f7-402d-af76-191fe78e3c06","http://resolver.tudelft.nl/uuid:d1d17f9a-58f7-402d-af76-191fe78e3c06","Spatio-temporal prediction of missing temperature with stochastic Poisson equations: The LC2019 team winning entry for the EVA 2019 data competition","Cheng, D. (TU Delft Applied Probability); Liu, Z. (TU Delft Materials and Manufacturing)","","2020","This paper presents our winning entry for the EVA 2019 data competition, the aim of which is to predict Red Sea surface temperature extremes over space and time. To achieve this, we used a stochastic partial differential equation (Poisson equation) based method, improved through a regularization to penalize large magnitudes of solutions. This approach is shown to be successful according to the competition’s evaluation criterion, i.e. a threshold-weighted continuous ranked probability score. Our stochastic Poisson equation and its boundary conditions resolve the data’s non-stationarity naturally and effectively. Meanwhile, our numerical method is computationally efficient at dealing with the data’s high dimensionality, without any parameter estimation. It demonstrates the usefulness of stochastic differential equations on spatio-temporal predictions, including the extremes of the process.","35Q62; 62H11; 62M30; 62P12; Data competition; EVA 2019 Conference; Poisson equation; Prediction; Spatio-temporal data; Temperature data","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:5c70d32f-df29-4be7-8c7b-1e384f2bac3d","http://resolver.tudelft.nl/uuid:5c70d32f-df29-4be7-8c7b-1e384f2bac3d","Intermolecular Effects on Tunneling through Acenes in Large-Area and Single-Molecule Junctions","Liu, Yuru (Rijksuniversiteit Groningen); Ornago, L. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Carlotti, Marco (Rijksuniversiteit Groningen); Ai, Yong (Rijksuniversiteit Groningen); El Abbassi, M. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Soni, Saurabh (Rijksuniversiteit Groningen); Asyuda, Andika (University of Heidelberg); Zharnikov, Michael (University of Heidelberg); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Chiechi, Ryan C. (Rijksuniversiteit Groningen)","","2020","This paper describes the conductance of single-molecules and self-assembled monolayers comprising an oligophenyleneethynylene core, functionalized with acenes of increasing length that extend conjugation perpendicular to the path of tunneling electrons. In the Mechanically Controlled Break Junction (MCBJ) experiment, multiple conductance plateaus were identified. The high conductance plateau, which we attribute to the single molecule conformation, shows an increase of conductance as a function of acene length, in good agreement with theoretical predictions. The lower plateau is attributed to multiple molecules bridging the junctions with intermolecular interactions playing a role. In junctions comprising a self-assembled monolayer with eutectic Ga-In top-contacts (EGaIn), the pentacene derivative exhibits unusually low conductance, which we ascribe to the inability of these molecules to pack in a monolayer without introducing significant intermolecular contacts. This hypothesis is supported by the MCBJ data and theoretical calculations showing suppressed conductance through the PC films. These results highlight the role of intermolecular effects and junction geometries in the observed fluctuations of conductance values between single-molecule and ensemble junctions, and the importance of studying molecules in both platforms.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:db322950-dc17-4291-a6fd-445f95f5b33f","http://resolver.tudelft.nl/uuid:db322950-dc17-4291-a6fd-445f95f5b33f","Assessing the performance of multi-GNSS PPP-RTK in the local area","Ma, H. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Zhao, Qile (Wuhan University); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro Innovation & Technology B.V.); Liu, Xianglin (Fugro Innovation & Technology B.V.)","","2020","The benefits of an increased number of global navigation satellite systems (GNSS) in space have been confirmed for the robustness and convergence time of standard precise point positioning (PPP) solutions, as well as improved accuracy when (most of) the ambiguities are fixed. Yet, it is still worthwhile to investigate fast and high-precision GNSS parameter estimation to meet user needs. This contribution focuses on integer ambiguity resolution-enabled Precise Point Positioning (PPP-RTK) in the use of the observations from four global navigation systems, i.e., GPS (Global Positioning System), Galileo (European Global Navigation Satellite System), BDS (Chinese BeiDou Navigation Satellite System), and GLONASS (Global’naya Navigatsionnaya Sputnikova Sistema). An undifferenced and uncombined PPP-RTK model is implemented for which the satellite clock and phase bias corrections are computed from the data processing of a group of stations in a network and then provided to users to help them achieve integer ambiguity resolution on a single receiver by calibrating the satellite phase biases. The dataset is recorded in a local area of the GNSS network of the Netherlands, in which 12 stations are regarded as the reference to generate the corresponding corrections and 21 as the users to assess the performance of the multi-GNSS PPP-RTK in both kinematic and static positioning mode. The results show that the root-mean-square (RMS) errors of the ambiguity float solutions can achieve the same accuracy level of the ambiguity fixed solutions after convergence. The combined GNSS cases, on the contrary, reduce the horizontal RMS of GPS alone with 2 cm level to GPS + Galileo/GPS + Galileo + BDS/GPS + Galileo + BDS + GLONASS with 1 cm level. The convergence time benefits from both multi-GNSS and fixing ambiguities, and the performances of the ambiguity fixed solution are comparable to those of the multi-GNSS ambiguity float solutions. For instance, the convergence time of GPS alone ambiguity fixed solutions to achieve 10 cm three-dimensional (3D) positioning accuracy is 39.5 min, while it is 37 min for GPS + Galileo ambiguity float solutions; moreover, with the same criterion, the convergence time of GE ambiguity fixed solutions is 19 min, which is better than GPS + Galileo + BDS + GLONASS ambiguity float solutions with 28.5 min. The experiments indicate that GPS alone occasionally suffers from a wrong fixing problem; however, this problem does not exist in the combined systems. Finally, integer ambiguity resolution is still necessary for multi-GNSS in the case of fast achieving very-high-accuracy positioning, e.g., sub-centimeter level.","GNSS; Integer ambiguity resolution; PPP; PPP-RTK; Satellite positioning","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:15ada30a-1cf4-43ab-91f4-afb0916b587e","http://resolver.tudelft.nl/uuid:15ada30a-1cf4-43ab-91f4-afb0916b587e","Finite-element modelling of laterally loaded piles in a dense marine sand at Dunkirk","Taborda, David M.G. (Imperial College London); Zdravkovic, Lidija (Imperial College London); Potts, David M. (Imperial College London); Burd, Harvey J. (University of Oxford); Byrne, Byron W. (University of Oxford); Gavin, Kenneth (TU Delft Geo-engineering); Houlsby, Guy T. (University of Oxford); Jardine, Richard J. (Imperial College London); Liu, Tingfa (Imperial College London)","","2020","The paper presents the development of a three-dimensional finite-element model for pile tests in dense Dunkirk sand, conducted as part of the PISA project. The project was aimed at developing improved design methods for laterally loaded piles, as used in offshore wind turbine foundations. The importance of the consistent and integrated interpretation of the soil data from laboratory and field investigations is particularly emphasised. The chosen constitutive model for sand is an enhanced version of the state parameter-based bounding surface plasticity model, which, crucially, is able to reproduce the dependency of sand behaviour on void ratio and stress level. The predictions from three-dimensional finite-element analyses, performed before the field tests, show good agreement with the measured behaviour, proving the adequacy of the developed numerical model and the calibration process for the constitutive model. This numerical model directly facilitated the development of new soil reaction curves for use in Winkler-type design models for laterally loaded piles in natural marine sands.","constitutive relations; design; finite-element modelling; numerical modelling; piles AND piling; soil/structure interaction","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:82553189-b777-4753-ab85-a2e80ad7ba2c","http://resolver.tudelft.nl/uuid:82553189-b777-4753-ab85-a2e80ad7ba2c","A type-and-effect system for object initialization","Liu, Fengyun (Swiss Federal Institute of Technology); Lhoták, Ondřej (University of Waterloo); Biboudis, Aggelos (Swiss Federal Institute of Technology); Giarrusso, P.G. (TU Delft Programming Languages); Odersky, Martin (Swiss Federal Institute of Technology)","","2020","Every newly created object goes through several initialization states: starting from a state where all fields are uninitialized until all of them are assigned. Any operation on the object during its initialization process, which usually happens in the constructor via this, has to observe the initialization states of the object for correctness, i.e. only initialized fields may be used. Checking safe usage of this statically, without manual annotation of initialization states in the source code, is a challenge, due to aliasing and virtual method calls on this. Mainstream languages either do not check initialization errors, such as Java, C++, Scala, or they defend against them by not supporting useful initialization patterns, such as Swift. In parallel, past research has shown that safe initialization can be achieved for varying degrees of expressiveness but by sacrificing syntactic simplicity. We approach the problem by upholding local reasoning about initialization which avoids whole-program analysis, and we achieve typestate polymorphism via subtyping. On this basis, we put forward a novel type-and-effect system that can effectively ensure initialization safety while allowing flexible initialization patterns. We implement an initialization checker in the Scala 3 compiler and evaluate on several real-world projects.","Object initialization; Type-and-effect syste","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:926c3150-ac55-4fd5-a4a1-cc8602dd463c","http://resolver.tudelft.nl/uuid:926c3150-ac55-4fd5-a4a1-cc8602dd463c","Optimizing the joint collision avoidance operations of multiple ships from an overall perspective","Li, Shijie (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Ma, Feng (Wuhan University of Technology)","","2019","Ship collision is the main type of maritime accidents, which causes great losses on human lives and economy, and brings negative impacts to the maritime environment. In crowded waters such as the sea area near a seaport, multiple ships encountering situations happen frequently. While several methods have been proposed for solving multiple ships collision avoidance problem, most research focuses on the safety guarantee and time-availability of anti-collision decisions, and less attention is paid on improving the efficiency of collision avoidance maneuvers. This paper proposes a rolling horizon optimization approach for multiple ships from a global optimal perspective, with the aim to minimize the time costs and course angle alterations of the anti-collision operations. A ship maneuverability model is used to make predictions and calculations of inter-ship collision risks, upon which an overall optimization problem is formulated. Continuous time is divided into a set of discrete time slots, and an iterative optimization procedure is carried out to determine the optimal course angles for the ships at each time slot. A PID heading controller is designed to implement the optimal course angles. When collision risks among ships no longer exist, the optimization procedure terminates. To evaluate the performance of the proposed approach, simulation experiments regarding 7-ships and 12-ships encounter situations are carried out.","Collision avoidance; Decision support; Maritime transportation; Ship navigation","en","journal article","","","","","","Accepted Author Manuscript","","2021-10-14","","","Transport Engineering and Logistics","","",""
"uuid:a3dd27c0-6815-4307-b6f6-527e4eeea83d","http://resolver.tudelft.nl/uuid:a3dd27c0-6815-4307-b6f6-527e4eeea83d","Drying shrinkage of alkali-activated slag and fly ash concrete; A comparative study with ordinary Portland cement concrete","Li, Z. (TU Delft Materials and Environment); Liu, Jiahua (Jiangsu College of Engineering and Technology); Ye, G. (TU Delft Materials and Environment)","","2019","This study investigates the drying shrinkage and the shrinkage-induced stress of alkali-activated blast furnace slag and fly ash concrete (AC) in comparison with ordinary Portland cement concrete (OC). For samples that were dried from 1 day after casting, the drying shrinkage of AC was much higher than that of OC. For samples that were stored in a sealed condition for 28 days before drying, the subsequent drying shrinkage amplitudes of AC and OC were comparable. In both conditions, the stresses generated in AC were higher than in OC at the beginning, but experienced great reductions after certain ages, reaching less than one-fourth of the stresses in OC in the end. The stresses decrease, i.e. relaxation in AC was attributed to the pronounced non-elastic deformability of CASH gels. The non-elastic deformability of AC reduced the risk of thorough cracking, but maybe at the expense of the development of local microcracks. It is recommended to protect AC from drying at an early age to avoid micro and macrocracking.","Alkali-activated slag and fly ash; Concrete; Cracking; Drying; Shrinkage; Stress","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f1d1b060-f7a9-44c7-84b4-739cb132fb9e","http://resolver.tudelft.nl/uuid:f1d1b060-f7a9-44c7-84b4-739cb132fb9e","High performance mixed potential type No2 gas sensor based on porous YSZ layer formed with graphite doping","Hong, Hao (Guizhou University); Sun, J. (TU Delft Electronic Components, Technology and Materials; China Research Institute); Wu, Cinan (Guizhou University); Liu, Zewen (Tsinghua University)","","2019","High performance mixed potential type NO2 sensors using porous yttria-stabilized zirconia (YSZ) layers doped with different concentration graphite as solid electrolyte and LaFeO3 as sensing electrode were fabricated and characterized. LaFeO3 was prepared by a typical citrate sol–gel method and characterized using XRD. The surface morphology and porosity of porous YSZ layers were characterized by field emission scanning electron microscope (FESEM). The sensor doped with 3 wt% graphite shows the highest response (−76.4 mV to 80 ppm NO2) and the response is linearly dependent on the logarithm of NO2 concentration in the range of 10–200 ppm. The sensor measurement results also present good repeatability and cross-sensitivity.","Graphite; Mixed potential; NO; Porous YSZ layers","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7ffde750-054d-425f-8356-ff5806295030","http://resolver.tudelft.nl/uuid:7ffde750-054d-425f-8356-ff5806295030","Leadership and governance tools for village sustainable development in China","Liu, Yi (Dalian University of Technology); Yang, W. (TU Delft Organisation & Governance)","","2019","The Chinese central government has recognized that village-government networks are promising for the sustainable development of rural villages. Though many local governments tend to deploy various hands-on or hand-off governance tools to influence the sustainable development of village-governance networks, the number of villages successfully achieving ""good governance"" is still rare. Therefore, this study empirically elaborates on the application of three classic tools of governance networks, analyzing how leaders of local government and village communities influence the effectiveness of these tools. The data were collected by snowball interviews, careful observations, and documentary analysis in Xiaonan village, a representatively successful case of rural-village governance networks in China. We detected that governance tools are often inadequately used and under-development in Chinese rural-village governance networks, accompanying a strong interaction between the effectiveness of tools and leadership. Excellent leadership is necessary for a successful rural-village governance network and its scaling-up.","China; Governance network; Hands-off; Hands-on; Leadership; Meta-governance; Tools; Village","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:94c687d1-76b9-4cb5-bf8c-2d94d69ca58c","http://resolver.tudelft.nl/uuid:94c687d1-76b9-4cb5-bf8c-2d94d69ca58c","A study on the effect of ceramic polishing powder on the nucleation and growth of hydrates in cement paste","Wang, Liquan (Guangzhou University); Liu, Ziyang (Guangzhou University); Xu, Shida (Guangzhou University); Ouyang, Xiaowei (Guangzhou University); Ouyang, Dong (Jinan University); Jiao, Chujie (Guangzhou University); Zhang, Y. (TU Delft Materials and Environment)","","2019","The production of cement leads to a large amount of CO2 emission. Using industrial waste slag, such as ceramic polishing powder (PP), to replace part of Portland cement can reduce the pollution caused by the cement industry and solid waste disposal. In order to use PP as a replacement for cement, its effects on the properties of cement paste need to be clarified. In this study, the effect of PP on the nucleation and growth of hydrates in cement paste at very early ages was investigated. Quartz was used as a reference. The interactions of their surface with various ions in cement paste solution, which has an important effect on the nucleation and growth of hydrates, were studied by using the zeta potential test. The morphology of the nucleus and crystal of hydrates was investigated by using SEM. The zeta potential measurements showed that the affinity of the surface of PP and quartz to ions in the pore solution of cement paste is similar. The image of SEM indicated that there is also not much difference in the crystallization of hydrates on the surfaces of PP and quartz. These evidences suggested that PP has similar surface charge properties as quartz, and its effects on the properties of cement paste are the same as that of quartz. From the viewpoint of the effect on very early hydration, PP can be used in cement paste, similar to quartz power.","C-S-H; Cement paste; Ceramic polishing powder; Crystal; Ions interaction; Nucleation; Quartz; Zeta potential","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:305a7400-3f5b-4780-8c83-b331873927ce","http://resolver.tudelft.nl/uuid:305a7400-3f5b-4780-8c83-b331873927ce","Ab initio exact diagonalization simulation of the Nagaoka transition in quantum dots","Wang, Yao (Harvard University); Dehollain, Juan Pablo (Kavli institute of nanoscience Delft; University of Technology Sydney); Liu, Fang (Massachusetts Institute of Technology); Mukhopadhyay, U. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Rudner, Mark S. (University of Copenhagen); Vandersypen, L.M.K. (TU Delft TU Delft Library; TU Delft QCD/Vandersypen Lab; TU Delft QN/Vandersypen Lab); Demler, Eugene (Harvard University)","","2019","Recent progress of quantum simulators provides insight into the fundamental problems of strongly correlated systems. To adequately assess the accuracy of these simulators, the precise modeling of the many-body physics, with accurate model parameters, is crucially important. In this paper, we employed an ab initio exact diagonalization framework to compute the correlated physics of a few electrons in artificial potentials. We apply this approach to a quantum-dot system and study the magnetism of the correlated electrons, obtaining good agreement with recent experimental measurements in a plaquette. Through control of dot potentials and separation, including geometric manipulation of tunneling, we examine the Nagaoka transition and determine the robustness of the ferromagnetic state. While the Nagaoka theorem considers only a single-band Hubbard model, in this work we perform extensive ab initio calculations that include realistic multiorbital conditions in which the level splitting is smaller than the interactions. This simulation complements the experiments and provides insight into the formation of ferromagnetism in correlated systems. More generally, our calculation sets the stage for further theoretical analysis of analog quantum simulators at a quantitative level.","","en","journal article","","","","","","","","","","TU Delft Library","QCD/Vandersypen Lab","","",""
"uuid:b4126921-6c74-428c-833a-228eead714ff","http://resolver.tudelft.nl/uuid:b4126921-6c74-428c-833a-228eead714ff","A scenario-based distributed model predictive control approach for freeway networks","Liu, S. (TU Delft Team Bart De Schutter); Sadowska, A.D. (TU Delft Team Bart De Schutter; Schlumberger Cambridge Research); De Schutter, B.H.K. (TU Delft Team Bart De Schutter)","","2022","In this paper a scenario-based Distributed Model Predictive Control (DMPC) approach based on a reduced scenario tree is developed for large-scale freeway networks. In the new scenario-based DMPC approach, uncertainties in a large-scale freeway network are distinguished into two categories: global uncertainties for the overall network and local uncertainties applicable to subnetworks only. We propose to use a reduced scenario tree instead of using a complete scenario tree. A complete scenario tree is defined as a scenario tree consisting of global scenarios and all the combinations of the local scenarios for all subnetworks, while a reduced scenario tree is defined as a scenario tree consisting of global scenarios and a reduced local scenario tree in which local scenarios are combined within each subnetwork, not among subnetworks. Moreover, an expected-value setting and a min–max setting are considered for handling uncertainties in scenario-based DMPC. In the expected-value setting, the expected-value of the cost function values for all considered uncertainty scenarios is optimized by scenario-based DMPC. However, in the min–max setting, the worst-case of the cost function values for all considered uncertainty scenarios is optimized by scenario-based DMPC. The results for a numerical experiment show that the new scenario-based DMPC approach is effective in improving the control performance while at the same time satisfying the queue constraints in the presence of uncertainties. Additionally, the proposed approach results in a relatively low computational burden compared to the case with the complete scenario tree.","Freeway networks; Global and local uncertainties; Reduced scenario tree; Scenario-based DMPC","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:36a3fc08-0d3d-4429-bee4-831699c71f7b","http://resolver.tudelft.nl/uuid:36a3fc08-0d3d-4429-bee4-831699c71f7b","Evaluation of the fatigue properties for the long-term service asphalt pavement using the semi-circular bending tests and stereo digital image correlation technique","Cheng, Long (Southeast University); Zhang, Lei (Southeast University); Liu, X. (TU Delft Pavement Engineering); Yuan, Fang (Southeast University); Ma, Yang (Southeast University); Sun, Yinqing (Southeast University)","","2022","Reliable assessment of the fatigue resistance of asphalt pavement with a long-term service is critically crucial for the rational formulation of original pavement utilization strategies in reconstruction and expansion projects. Currently, the pavement performance evaluation indicators are mainly used to guide pavement preventive maintenance, and its applicability in reconstruction and expansion projects of the freeway is limited. This paper aims to propose an evaluation method of fatigue resistance of asphalt concrete utilizing semi-circular bending (SCB) tests and stereo digital image correlation (stereo-DIC) techniques. A total of 27 asphalt concrete cores were drilled from the three freeways (K84, K124, and K165) with a service life of more than 20 years, and the SCB specimens were produced to conduct the SCB fracture and fatigue tests. During the SCB test, the stereo-DIC technique was employed to monitor the evolution process of the strain distribution and crack length for the specimens. K-dimension tree neighbor-searching algorithm (K-d tree algorithm) was used to effectively measure the change of crack length corresponding to each fatigue load cycle. Meanwhile, the strain threshold of asphalt concrete crack initiation was determined by the bilinear softening cohesive zone model (CZM) to ensure the accuracy of the crack length calculated by the K-d tree algorithm. Furthermore, the relationship between crack growth rate and stress intensity, which was used to fit the Paris law parameters, was determined. The CZM and DIC results indicated that the strain threshold of asphalt concrete crack should be set as 2000 με when using the K-d tree algorithm to determine the crack length. With the stress ratio increase, the Paris law parameter A increased wavily, and the parameter n decreased steadily, while the threshold of the stress intensity factor increased steadily. The Paris law master curves could characterize the fatigue performance of various road sections at a wide load range. The residual fatigue life of K84, K124, K165 the road sections were 2.13E + 08, 3.57E + 08, and 1.02E + 07, respectively.","CZM; Fatigue performance; K-d tree algorithm; Paris law; SCB test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:af081522-7deb-4b22-a3fe-bbd13b233516","http://resolver.tudelft.nl/uuid:af081522-7deb-4b22-a3fe-bbd13b233516","One-Step Reverse Osmosis Based on Riverbank Filtration for Future Drinking Water Purification","Zhai, Yujia (Oasen; University of Twente); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Meer, Walter G.J. (University of Twente; Oasen)","","2022","The presence of newly emerging pollutants in the aquatic environment poses great challenges for drinking water treatment plants. Due to their low concentrations and unknown characteristics, emerging pollutants cannot be efficiently removed by conventional water treatment processes, making technically, economically, and environmentally friendly water purification technologies increasingly important. This article introduces a one-step reverse osmosis (OSRO) concept consisting of riverbank filtration (RBF) and reverse osmosis (RO) for drinking water treatment. The OSRO concept combines the relatively low-cost natural pretreatment of river water with an advanced engineered purification system. RBF provides a continuous natural source of water with stable water quality and a robust barrier for contaminants. With the pre-removal of particles, organic matter, organic micro-pollutants (OMPs), and microbes, RBF becomes an ideal source for a purification system based on RO membranes, in comparison with the direct intake of surface water. OSRO treatment removes almost 99.9% of the particles, pathogens, viruses, and OMPs, as well as the vast majority of nutrients, and thus meets the requirements for the chlorine-free delivery of drinking water with high biostability. The OSRO treatment is cost effective compared with the standard conventional series of purification steps involving sprinkling filters, softening, and activated carbon. Artificial bank filtration (ABF), which functions as an artificial recharge in combination with a sand filtration system, is proposed as an alternative for RBF in the OSRO concept to supply drinking water from locally available resources. It is also suggested that the OSRO concept be implemented with wind power as an alternative energy source in order to be more sustainable and renewable. An OSRO-based decentralized water system is proposed for water reclaiming and reuse. It is suggested that future water treatment focus on the combination of natural and engineered systems to provide drinking water through technically efficient, financially feasible, resource reusable, and environmentally relevant means.","Artificial bank filtration; Drinking water treatment; Reverse osmosis; River bank filtration; Water reclamation and reuse","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:032a2a71-9073-4264-8315-6c268c63d4d9","http://resolver.tudelft.nl/uuid:032a2a71-9073-4264-8315-6c268c63d4d9","Optimizing the preheating temperature of hot rolled slab from the perspective of the oxidation kinetic","Zhang, Hua (Wuhan University of Science and Technology); Yu, Li (Wuhan University of Science and Technology); Liu, Tao (Wuhan University of Science and Technology); Ni, Hongwei (Wuhan University of Science and Technology); Li, Y. (TU Delft (OLD) MSE-3; Wuhan University of Science and Technology); Chen, Z. (TU Delft (OLD) MSE-3; Flemish Institute for Technological Research); Yang, Y. (TU Delft Team Yongxiang Yang)","","2020","Decreasing the preheating temperature is an effective step to control the energy consumption in the hot rolling process. In order to obtain the lowest preheating temperature to prepare enough thickness of oxide scale in the hot rolling process, the oxidation resistance of commercial steel samples with different Al and Si contents were investigated in this paper. The results indicate that both Al and Si based oxides form at the steel-oxides interface as diffusion barrier but Al provide stronger diffusion resistance than Si in the diffusion-controlling oxidation region. Meanwhile, a three-dimensional oxidation kinetic model has been adopted to depict the oxidation behavior of four types of commercial steel. The oxidation process of automotive steel sample containing with low alloy elements is kinetically determined by interface chemical reaction. Its activation energy is 55.2 ± 6.9 kJ/mol. As for silicon steel containing with relative high alloy elements, its controlling process is determined by diffusion step at low temperature and controlled by chemical reaction rate at high temperature. In order to obtain enough thickness of oxide scale, the lowest preheating temperature of different types steel range from high to low should be the steel with high content of Al (1180 °C), the steel with high content of Si and low content of Al (1130 °C) and the steel with high contents of Si and Al (1030 °C).","Alloy elements; Hot rolling; Oxidation kinetic; Oxide scale","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:57c1423c-de64-4caf-95a5-21228dc312c3","http://resolver.tudelft.nl/uuid:57c1423c-de64-4caf-95a5-21228dc312c3","Dynamic anti-collision A-star algorithm for multi-ship encounter situations","He, Zhibo (Wuhan University of Technology); Liu, Chenguang (Wuhan University of Technology); Chu, Xiumin (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Wu, Qing (Wuhan University of Technology)","","2022","For the complex multi-ship encounter scenarios, this article proposes a dynamic collision avoidance path planning algorithm based on the A-star algorithm and ship navigation rules, namely Dynamic Anti-collision A-star (DAA-star) algorithm. A dynamic search mechanism of the DAA-star algorithm considering time factors is designed to enable the collision avoidance for situations with known moving obstacles. A quaternion ship domain is generated based on Automatic Identification System (AIS) data, and the navigation risk cost is calculated with the combination of the quaternion ship domain and potential field. The searching constraints conforming with the Regulations for Preventing Collision at Sea (COLREGS) rules are set for the DAA-star algorithm to guarantee the safety of collision avoidance. Meanwhile, the individual ship maneuverability constraints and maneuverability differences from ship to ship are both considered in the proposed DAA-star algorithm, which can solve the path planning problem with dynamic obstacles in multi-ship encounter scenarios. The simulation results show that, compared with the traditional A-star algorithm and dynamic A-star algorithm, the DAA-star algorithm can generate more reasonable dynamic and static obstacle avoidance paths in complex navigation scenarios in the trade-off between the navigation risk and economical efficiency.","A-star algorithm; COLREGS; Dynamic anti-collision; Multi-ship encounter; Ship domain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:b112e021-91f2-484b-9ea3-b11faeb6c0b3","http://resolver.tudelft.nl/uuid:b112e021-91f2-484b-9ea3-b11faeb6c0b3","Coalescence kinetics and microstructure evolution of Cu nanoparticles sintering on substrates: a molecular dynamics study","Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Gao, Chenshan (Southern University of Science and Technology; Chongqing University); Liu, Yang (Harbin University of Science and Technology); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Nano copper sintering technology has great potential to be widely applied in the wide-bandgap semiconductor packaging. In order to investigate the coalescence kinetics of copper nano particles for this application, a molecular dynamic (MD) simulation was carried out at low temperature on a special model containing two substrate and multiple particles in between. Accordingly, thorough microstructure and dislocation investigation was conducted to identify the atomic-scale evolution in the system. The corresponding findings could provide evidence on the new particle-substrate sintering mechanism. Furthermore, atomic trajectories tracking method was applied to study the rotation behavior of different sized nano particles. New rotation behavior and mechanism were described. Additionally, the study on the size effect of copper particles on the sintering process and coalescence mechanism was conducted via comparing the microstructural and dislocation distribution of 3 nm, 4 nm and 5 nm models. Finally, by comparing the MSD results at low and high temperature for each model, the dominant coalescence dynamics changes were obtained.","Cu nanoparticle; Microstructural analysis; Molecular dynamics; Sintering dynamics; Sintering on substrate","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9c58315f-c955-45a8-8787-ed298892b37a","http://resolver.tudelft.nl/uuid:9c58315f-c955-45a8-8787-ed298892b37a","Research on the natural hazard emergency cooperation behavior between governments and social organizations based on the hybrid mechanism of incentive and linkage in China","Liu, Jida (Harbin Institute of Technology); Dong, Changqi (Harbin Institute of Technology); An, Shi (Harbin Institute of Technology); Guo, Y. (TU Delft Transport and Logistics; Harbin Institute of Technology)","","2021","Social organizations have become an important component of the emergency management system by virtue of their heterogeneous resource advantages. It is of great significance to explore the interaction between the local government and social organizations and to clarify the key factors affecting the participation of social organizations in natural hazard emergency responses. With the aim of exploring the relationship between the local government and social organizations, based on evolutionary game theory, the emergency incentive game model and the emergency linkage game model of natural hazard emergency responses were constructed. The evolutionary trajectories of the emergency incentive game system and the emergency linkage game system were described by numerical simulation. Meanwhile, the influence mechanism of government decision parameters on the strategy selection of both game subjects was analyzed. The results show that both governmental incentive strategy and linkage strategy can significantly improve the enthusiasm of social organizations for participating in natural hazard emergency responses. Moreover, they could encourage social organizations to choose a positive participation strategy. Nevertheless, over-reliance on incentives reduces the probability of the local government choosing a positive emergency strategy. In addition, we found that, when both game subjects tend to choose a positive strategy, the strategy selection of the local government drives that of social organizations.","Emergency cooperation; Emergency interaction; Evolutionary game theory; Natural hazards; Social organization","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:9385d43e-8448-42eb-af38-bb4b88394961","http://resolver.tudelft.nl/uuid:9385d43e-8448-42eb-af38-bb4b88394961","Executing convex polytope queries on nD point clouds","Liu, H. (TU Delft GIS Technologie); Thompson, R.J. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Meijers, B.M. (TU Delft GIS Technologie)","","2021","Efficient spatial queries are frequently needed to extract useful information from massive nD point clouds. Most previous studies focus on developing solutions for orthogonal window queries, while rarely considering the polytope query. The latter query, which includes the widely adopted polygonal query in 2D, also plays a critical role in many nD spatial applications such as the perspective view selection. Aiming for an nD solution, this paper first formulates a convex nD-polytope for querying. Then, the paper integrates three approximate geometric algorithms – SWEEP, SPHERE, VERTEX, and a linear programming method CPLEX, developing a solution based on an Index-Organized Table (IOT) approach. IOT is applied with space filling curve based clustering and advanced querying mechanism which recursively refines hypercubic nD spaces to approach the query geometry for primary filtering. Results from experiments based on both synthetic and real data have confirmed the superior performance of SWEEP. However, the algorithm may lag behind CPLEX due to pessimistic intersection computation in high dimensional spaces. In a real application, by properly transforming a perspective view selection into a polytope query, the solution achieves a sub-second querying performance using SWEEP. In another flood risk query, SWEEP also leads the others. In general, the robust and efficient solution can be immediately used to address different polytope queries, including those abstract ones whose constraints on combinations of different dimensions are formed into a polytope model. Besides, the knowledge of high-dimensional computations acquired also provides significant guidance for handling more nD GIS issues.","CPLEX; nD point clouds; Perspective view selection; Polytope query; Spatial data structures","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:e1d5391b-e01e-40c9-b700-c852b9797b44","http://resolver.tudelft.nl/uuid:e1d5391b-e01e-40c9-b700-c852b9797b44","A New Divergence Method to Quantify Methane Emissions Using Observations of Sentinel-5P TROPOMI","Liu, Mengyao (Royal Netherlands Meteorological Institute (KNMI)); van der A, Ronald (Royal Netherlands Meteorological Institute (KNMI); Nanjing University of Information Sciences and Technology); van Weele, Michiel (Royal Netherlands Meteorological Institute (KNMI)); Eskes, Henk (Royal Netherlands Meteorological Institute (KNMI)); Lu, Xiao (Sun Yat-sen University); Veefkind, j. Pepijn (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); de Laat, Jos (Royal Netherlands Meteorological Institute (KNMI)); Kong, Hao (Peking University); Wang, Jingxu (Ocean University of China)","","2021","We present a new divergence method to estimated methane (CH4) emissions from satellite observed mean mixing ratio of methane (XCH4) by deriving the regional enhancement of XCH4 in the Planetary Boundary Layer (PBL). The applicability is proven by comparing the estimated emissions with its known emission inventory from a 3-month GEOS-Chem simulation. When applied to TROPOspheric Monitoring Instrument observations, sources from well-known oil/gas production areas, livestock farms and wetlands in Texas become clearly visible in the emission maps. The calculated yearly averaged total CH4 emission over the Permian Basin is 3.06 (2.82, 3.78) Tg a−1 for 2019, which is consistent with previous studies and double that of EDGAR v4.3.2 for 2012. Sensitivity tests on PBL heights, on the derived regional background and on wind speeds suggest our divergence method is quite robust. It is also a fast and simple method to estimate the CH4 emissions globally.","divergence; methane emissions; Texas; TROPOMI","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9052ccd8-cc3c-49f8-8842-291c6a8ab919","http://resolver.tudelft.nl/uuid:9052ccd8-cc3c-49f8-8842-291c6a8ab919","A Direct View on Li-Ion Transport and Li-Metal Plating in Inorganic and Hybrid Solid-State Electrolytes","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2021","ConspectusDriven by the intrinsic safety and potential to achieve higher energy densities, solid-state Li-metal batteries are intensively researched. The ideal solid electrolyte should possess a high conductivity, should have electrochemical stability both toward the Li-metal anode and to high voltage cathodes, should suppress dendrites, should provide flexibility to deal with the volumetric changes of the electrodes, and should be easy to process. This challenging combination is to date not fulfilled by any solid electrolyte, be it organic, inorganic, or even a hybrid of the two. Pushing the development of solid electrolytes toward reversible room temperature operation when used in tandem with Li-metal anodes demands an understanding of critical processes that determine the properties of the solid electrolyte. These include the complex Li-ion transport as well as the Li-metal plating processes. This already presents the first experimental hurdle as the ability to directly and noninvasively monitor the Li-ion kinetics, Li densities, and Li chemistries, under in/situ or operando, is not trivial.The scope of this Account is the investigation and improvement of solid electrolytes, with the emphasis on the possibilities offered by solid-state NMR and neutron depth profiling as direct probes for the study of critical processes that involve Li ions and Li metal. Solid-state NMR allows us to unravel the complex interface chemical environment and the diffusion processes both in the bulk solid electrolyte and in the interface environment. These studies shed light on the role of interface composition, wetting and space-charge layers, on the macroscopic battery performance. Another technique that enables probing Li directly is operando neutron depth profiling, which allows us to determine the Li density as a function of depth. It provides a noninvasive and effectively nondestructive tool to examine delamination, irreversible reactions and dendrite formation during plating/stripping. Results demonstrate that it is very challenging to maintain the contact between Li metal and the SE during cycling, especially for the ""anode-less""or ""anode-free""configuration under low-pressure conditions. A perspective is provided on the potential improvement of the Li-ion transport, dendrite suppression, and preventing Li-metal-solid-electrolyte delamination as well as on the potential role of solid-state NMR and NDP techniques to guide these developments.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:eb2f1271-bc84-478d-b79c-31cbb28a9398","http://resolver.tudelft.nl/uuid:eb2f1271-bc84-478d-b79c-31cbb28a9398","Elucidating the removal of organic micropollutants on biological ion exchange resins","Liu, Zhen (Université de Montréal; Polytechnique Montreal); Solliec, Morgan (Polytechnique Montreal); Papineau, Isabelle (Polytechnique Montreal); Lompe, K.M. (TU Delft Sanitary Engineering); Mohseni, Madjid (University of British Columbia); Bérubé, Pierre R. (University of British Columbia); Sauvé, Sébastien (Université de Montréal); Barbeau, Benoit (Polytechnique Montreal)","","2022","Biological ion exchange (BIEX) refers to operating ion exchange (IX) filters with infrequent regeneration to favor the microbial growth on resin surface and thereby contribute to the removal of organic matter through biodegradation. However, the extent of biodegradation on BIEX resins is still debatable due to the difficulty in discriminating between biodegradation and IX. The objective of the present study was to evaluate the performance of BIEX resins for the removal of organic micropollutants and thereby validate the occurrence of biodegradation. The removals of biodegradable micropollutants (neutral: caffeine and estradiol; negative: ibuprofen and naproxen) and nonbiodegradable micropollutants with different charges (neutral: atrazine and thiamethoxam; negative: PFOA and PFOS) were respectively monitored during batch tests with biotic and abiotic BIEX resins. Results demonstrated that biodegradation contributed to the removal of caffeine, estradiol, and ibuprofen, confirming that biodegradation occurred on the BIEX resins. Furthermore, biodegradation contributed to a lower extent to the removal of naproxen probably due to the absence of an adapted bacterial community (Biotic: 49% vs Abiotic: 38% after 24 h batch test). The removal of naproxen, PFOS, and PFOA were attributable to ion exchange with previously retained natural organic matter on BIEX resins. Nonbiodegradable and neutral micropollutants (atrazine and thiamethoxam) were minimally (6%–10%) removed during the batch tests. Overall, the present study corroborates that biomass found on BIEX resins contribute to the removal of micropollutants through biodegradation and ion exchange resins can be used as biomass support for biofiltration.","Biodegradation; Biological ion exchange (BIEX); Ion exchange resins; Micropollutants; Natural organic matter (NOM); PFAS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:3557b4ea-f372-41f8-82e5-cc979c541350","http://resolver.tudelft.nl/uuid:3557b4ea-f372-41f8-82e5-cc979c541350","Design of singularity-free fixed-time fault-tolerant control for HFVs with guaranteed asymmetric time-varying flight state constraints","Zuo, Renwei (Air Force Engineering University China); Li, Yinghui (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University China); Liu, Zongcheng (Air Force Engineering University China); Dong, Zehong (Air Force Engineering University China)","","2022","This article solves the fixed-time trajectory tracking problem for hypersonic flight vehicles (HFVs) encountered with diverse actuator faults and asymmetric envelope constraints. In contrast to the state of the art, the crucial characteristics of our design lie in obviating the explosion of complexity of the conventional recursive design, and in realizing satisfactory preselected tracking qualities for flight states in the sense of guaranteeing asymmetric envelope constraints. More precisely, by exploiting the fixed-time command filters to produce certain command signals and their derivatives, a modified command-filtered control algorithm is formulated to circumvent heavy computation burden caused by repetitive derivative of intermediate control laws. A two-step control methodology is devised based on an auxiliary compensating dynamics, which is capable of compensating for the actuator faults completely without the need for prior knowledge about the lumped disturbances and the actuator faults. Time-varying asymmetric barrier Lyapunov functions are introduced to confine the flight state tracking errors within the corresponding time-varying compact sets all the time provided their initial values remain therein. The effectiveness of the proposed method is validated by comparative simulation results.","Actuator fault; Asymmetric envelope constraint; Fixed-time command filter; Hypersonic flight vehicle","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:1b01c624-e944-41a5-9269-82e56a680158","http://resolver.tudelft.nl/uuid:1b01c624-e944-41a5-9269-82e56a680158","Sintering mechanism of Ag nanoparticle-nanoflake: a molecular dynamics simulation","Li, Shizhen (Harbin University of Science and Technology; Southern University of Science and Technology); Liu, Yang (Harbin University of Science and Technology); Ye, H. (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","This paper studied the behaviors of sintering between Ag nanoparticle (NP) and nanoflake (NF) in the same size by molecular dynamics simulation. Before the sintering simulation, the melting simulation of NF was carried out to calculate the melting points of NFs and investigate the thermostability of NF. The Lindemann index and potential energy showed that the melting points of NF were significantly size-dependent. During the heating process, the sharp corner of NF transformed to the round corner and could bend spontaneously lower than melting points. In sintering simulation, the sintering process of NF-NP showed a metastable stage before equilibrium. Under low sintering temperature (500 K), the degree of plasticity sintering mechanism of NF-NP was more prominent, which generated more defects, such as amorphous atoms, dislocations, and stacking faults, than NP-NP. The sintered products of NF-NP also presented a better neck size and shrinkage than NP-NP in the same size. A new sintering behavior was observed: NF was bent toward the NP during the sintering. The bending curvature of NF increased as the thickness or the length/width decreased. For the NF with the ratio of length/width to thickness of 5:1, bending could further significantly facilitate neck growth. At 700 K, the plasticity mechanism dominated both the sintering processes of NF-NP and NP-NP. And NF-NP showed a larger diffusivity than NP-NP. At last, we investigated the effects of crystal misorientation, and found that a tilted grain boundary generated in the neck. The NF had the trend of rotation to decrease the crystal misorientation.","Melting; Molecular dynamics; Nanoflake; Nanoparticle; Sintering","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:540b161f-f7eb-4842-9c28-3c8130efac9d","http://resolver.tudelft.nl/uuid:540b161f-f7eb-4842-9c28-3c8130efac9d","High-temperature nanoindentation characterization of sintered nano-copper particles used in high power electronics packaging","Fan, Jiajie (Fudan University); Jiang, Dawei (Hohai University); Zhang, Hao (School of Materials Science and Engineering; Nanyang Technological University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Nano-copper sintering is one of new die-attachment and interconnection solutions to realize the wide bandgap semiconductor power electronics packaging with benefits on high temperature, low inductance, low thermal resistance and low cost. Aiming to assess the high-temperature reliability of sintered nano-copper die-attachment and interconnection, this study characterized the mechanical properties of sintered nano-copper particles using the high-temperature nanoindentation tests. The results showed that: firstly, the hardness and indentation modulus of the sintered nano-copper particles increased rapidly when the loading rate increased below 0.2 mN·s−1 and then stabilized, and decreased with increased applied load up to 30 mN. Next, by extracting the yield stress and strain hardening index, a plastic stress–strain constitutive model at room temperature for sintered nano-copper particles was obtained. Finally, the high temperature nanoindentation tests were performed at 140 ˚C–200 ˚C on the sintered nano-copper particles prepared under different assisted pressures, which showed that a high assisted pressure resulted in the reduced temperature sensitivity of hardness and indentation modulus. The creep tests indicated that high operation temperature resulted in a high steady-state creep rate, which negatively impacted the creep resistance of sintered nano-copper particles, while the higher assisted pressure could improve the creep resistance.","High-temperature creep; Nano-copper sintering; Nanoindentation; Power electronics packaging; Reliability","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f76d5cd9-482f-4e24-bff8-a0ec22e4da31","http://resolver.tudelft.nl/uuid:f76d5cd9-482f-4e24-bff8-a0ec22e4da31","A facile method to prepare oriented boron nitride-based polymer composite with enhanced thermal conductivity and mechanical properties","Chen, Jing (Fudan University; Chinese Academy of Sciences; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zeng, Xiao Liang (Chinese Academy of Sciences); Ye, Huai Yu (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2022","Hexagonal boron nitride (BN) is often used as filler to improve the thermal conductivity of polymer matrix due to its high thermal conductivity. However, previously reported BN-based composites always have a high in-plane thermal conductivity, which is not beneficial for vertical heat dissipation. In addition, high BN content results in the deterioration of the mechanical properties. Here, we report a feasible method to prepare a BN/silicone rubber (SiR) composite with oriented BN in organosilicon matrix via a vacuum-assisted self-assembly technique. The BN/SiR composite displays a 1270% higher (2.74 W/(m·K)) thermal conductivity than that of neat organosilicon matrix (0.20 W/(m·K)). The oriented BN nanosheets increase the polymer's adhesive force and exhibit excellent compression cycle performance. In turn, these features support its superiority as thermal interface material in the light-emitting diode chips heat dissipation application.","Boron nitride; Mechanical property; Polymer composites; Thermal conductivity; Vacuum-assisted self-assembly method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:968c2d5b-3a0d-493e-aca6-f6b46c1c736b","http://resolver.tudelft.nl/uuid:968c2d5b-3a0d-493e-aca6-f6b46c1c736b","A hybrid methodology for structural damage detection uniting FEM and 1D-CNNs: Demonstration on typical high-pile wharf: Demonstration on typical high-pile wharf","Zhou, Yujue (Sanming University; Tongji University); Zheng, Yonglai (Tongji University); Liu, Yongcheng (Tongji University); Pan, Tanbo (Tongji University); Zhou, Y. (TU Delft Applied Mechanics)","","2022","Vibration-based structural damage detection (SDD) has been a subject of intense research in structural health monitoring (SHM) for large civil engineering structures over the decades. The performance of the conventional SDD approaches predominantly relies on the rational choices of the damage feature and classifier. Hand-crafted features or fixed classifiers would not be the optimal choice for all structural damaged scenarios. This paper proposes a novel, quick and precise real-time SDD framework for high-pile wharf foundations using a combination of finite element modeling and 1D convolutional neural networks (CNNs). The distinct advantage of this method lies in extracting the damage-related features from the raw displacement response directly and automatically, and the computational complexity of the compact 1D CNNs is significantly lower because the data processing involves only simple 1D operations. The results show that the presented 1D CNNs have a superior ability to accurately identify the occurrence and location of damage in real time. In addition, the comprehensive performance of the CNNs trained by the displacement response dataset in component form is significantly better than that based on the dataset in absolute value form. The results also demonstrated that although the proposed CNNs are more sensitive to the longitudinal and lateral displacement responses of the high-pile wharf structure, the vertical component still has a positive effect on the improvement of the generalization and robustness of the CNNs.","1D Convolutional neural networks; Finite element modeling; High-pile wharf; Pile foundations; Structural damage detection; Structural health monitoring; Vibration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Mechanics","","",""
"uuid:a7bd4881-35a8-4fab-824f-f27803ef2034","http://resolver.tudelft.nl/uuid:a7bd4881-35a8-4fab-824f-f27803ef2034","Elutriation and agglomerate size distribution in a silica nanoparticle vibro-fluidized bed","Feng, Zhen (Southeast University); Liu, Daoyin (Southeast University); Zhang, Wangle (Xi’an Modern Chemistry Research Institute, Xi'an); Feng, Hao (Xi’an Modern Chemistry Research Institute, Xi'an); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2022","Fluidization of nanoparticle agglomerates is a promising technique to process nanoparticles. However, possible elutriation of small agglomerates may cause significant loss of bed material. To obtain the elutriation behavior under stable operation, in this study the elutriation fraction of silica nanoparticle agglomerates is measured in a vibro-fluidized bed, which is operated for several hours. Among conditions with different fluidizing gas velocities, Ug, and vibration strength, Λ, the lowest elutriation fraction measured is around 5% after 7-hour fluidization. The elutriation fraction increases significantly with Ug, while varies slightly with Λ. To help elucidating the elutriation behavior, the agglomerates at three different locations (bed surface, splash zone, and bed outlet) are sampled and their size distributions are determined. The elutriation rate constant is found to be much smaller than the literature results for ordinary particles, and the reasons are discussed in detail. Finally, an empirical correlation considering size distribution is proposed to fit the elutriation rate constant for the conditions in this study.","Agglomerate size distribution; Elutriation; Elutriation rate constant; Fluidization; Nanoparticle agglomerate","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Product and Process Engineering","","",""
"uuid:f4a6dac7-65f5-43ba-b31f-6fd7794ff94c","http://resolver.tudelft.nl/uuid:f4a6dac7-65f5-43ba-b31f-6fd7794ff94c","Error Features in Predicting Typhoon Winds: A Case Study Comparing Simulated and Measured Data","Peng, Shaoyuan (China Construction Science and Industry Co., Shenzhen); Liu, Y. (TU Delft Team Riccardo Ferrari); Li, Renge (China Construction Science and Industry Co., Shenzhen); Wei, Ying (China Construction Science and Industry Co., Shenzhen); Chan, Pak Wai (Hong Kong Observatory); Li, Sunwei (Tsinghua University)","","2022","Simulating a typhoon’s wind field via mesoscale models is important in terms of providing not only the guidelines for urban planning and onshore/offshore constructions, but also the provision of insight into the dynamics and thermodynamics of tropical cyclone systems. Therefore, the errors that are contained in simulation results were investigated in the present study, in association with large-scale meteorological patterns and localized wind conditions in the typhoon boundary layer. In detail, the full-set three-dimensional simulations of three typhoon cases were carried out in order to provide the typhoon wind fields that were required to compare with the observations that were obtained through land weather stations and offshore buoys. Although the reliability of typhoon simulations has been thoroughly investigated, the previous works mostly concentrated on the configurations and dynamic core of the model. The present study reveals, however, the influences of the characteristics of the specific weather system on the simulation’s results, which provides the foundation for the proposition of empirical corrections to improve the mesoscale simulation results of typhoon wind fields without updating the model’s algorithm.","Error features; Large-scale meteorological pattern; Localized wind conditions; Numerical simulation; Typhoon wind fields","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:0f03c65c-908e-4902-a14b-3ddfb83a17f2","http://resolver.tudelft.nl/uuid:0f03c65c-908e-4902-a14b-3ddfb83a17f2","Direct evidence of microbiological water quality changes on bacterial quantity and community caused by plumbing system","Li, Jun (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ren, Anran (Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Mark, Ed (Dunea); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2022","Drinking water quality deteriorates from treatment plant to customer taps, especially in the plumbing system. There is no direct evidence about what the differences are contributed by plumbing system. This study compared the water quality in the water main and at customer tap by preparing a sampling tap on the water main. The biomass was quantified by adenosine triphosphate (ATP) and the microbial community was profiled by 454 pyrosequencing. The results showed that in distribution pipes, biofilm contributed >94% of the total biomass, while loose deposits showed little contribution (< 2%) because of the low amount of loose deposits. The distribution of biological stable water had minor effects on the microbiocidal water quality regarding both quantity (ATP 1 ng/L vs. 1.7 ng/L) and community of the bacteria. Whereas the plumbing system has significant contribution to the increase of active biomass (1.7 ng/L vs. 2.9 ng/L) and the changes of bacterial community. The relative abundance of Sphingomonas spp. at tap (22%) was higher than that at water main (2%), while the relative abundance of Pseudomonas spp. in tap water (15%) was lower than that in the water from street water main (29%). Though only one location was prepared and studied, the present study showed that the protocol of making sampling tap on water main offered directly evidences about the impacts of plumbing system on tap water quality, which makes it possible to distinguish and study the processes in distribution system and plumbing system separately.","454 pyrosequencing; Drinking water distribution system; Microbiological water quality; Plumbing system; Sampling program","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a7ae1c3e-dd7c-4760-8c5f-95cddd17c975","http://resolver.tudelft.nl/uuid:a7ae1c3e-dd7c-4760-8c5f-95cddd17c975","Eligibility traces and forgetting factor in recursive least-squares-based temporal difference","Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Zhang, Z. (Southeast University); Liu, Di (Southeast University; University Medical Center Groningen)","","2022","We propose a new reinforcement learning method in the framework of Recursive Least Squares-Temporal Difference (RLS-TD). Instead of using the standard mechanism of eligibility traces (resulting in RLS-TD((Formula presented.))), we propose to use the forgetting factor commonly used in gradient-based or least-square estimation, and we show that it has a similar role as eligibility traces. An instrumental variable perspective is adopted to formulate the new algorithm, referred to as RLS-TD with forgetting factor (RLS-TD-f). An interesting aspect of the proposed algorithm is that it has an interpretation of a minimizer of an appropriate cost function. We test the effectiveness of the algorithm in a Policy Iteration setting, meaning that we aim to improve the performance of an initially stabilizing control policy (over large portion of the state space). We take a cart-pole benchmark and an adaptive cruise control benchmark as experimental platforms.","eligibility traces; instrumental variable method; least squares; reinforcement learning; temporal difference","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:5ece17ef-902f-40af-af9a-bd22a89da055","http://resolver.tudelft.nl/uuid:5ece17ef-902f-40af-af9a-bd22a89da055","Chemical process safety education in China: An overview and the way forward","Motalifu, Mailidan (China University of Petroleum (East China)); Tian, Yue (Sinochem); Liu, Yi (China University of Petroleum (East China)); Zhao, Dongfeng (China University of Petroleum (East China)); Bai, Mingqi (China University of Petroleum (East China)); Kan, Yufeng (Wanhua Chemical Group); Qi, Meng (Yonsei University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Roy, Nitin (California State University)","","2022","The chemical process industry (CPI) in China is developing rapidly with installations becoming more complicated and integrated to meet people's rising demands for chemical-related products. However, the fast-growing CPI has caused catastrophic consequences and bad social influence due to accidents occurred in the last decades, which has threatened its sustainable development. As one of the solutions, the Chinese government is promoting chemical process safety education to train interdisciplinary graduates who understand both chemical process and loss prevention, who are skilled in technology, and how to manage risk. In this paper, we reviewed the development of chemical process safety education in China by researching syllabuses of accredited undergraduate Chemical Engineering and Safety Engineering majors in higher education institutions, discussed the associated shortcomings by analyzing the current discipline construction of the newly established major Chemical Safety Engineering, including education methodologies, resources, faculties, curriculum provision, and professional accreditation. Based on the analysis results, suggestions were provided to encourage institutions to strengthen chemical process safety education, thereby inherently reducing human errors and consequently improving the safety of the entire CPI.","Chemical engineering; Chemical process industry; Engineering education accreditation; Interdisciplinary graduates","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:f1e4966f-4535-440e-b7a4-2276c053d8df","http://resolver.tudelft.nl/uuid:f1e4966f-4535-440e-b7a4-2276c053d8df","Temperature effect on the dynamic adsorption of anionic surfactants and alkalis to silica surfaces","Masulli, Marco (China University of Petroleum - Beijing; Student TU Delft); Liu, Z. (TU Delft ChemE/Advanced Soft Matter; China University of Petroleum - Beijing); Guo, Feng Zhi (China University of Petroleum - Beijing); Li, Xue (China University of Petroleum - Beijing); Sudhölter, Ernst J. R. (TU Delft ChemE/Advanced Soft Matter); Kumar, N. (TU Delft OLD ChemE/Organic Materials and Interfaces)","","2022","Chemical loss such as surfactants and alkalis by adsorption to reservoir rock surface is an important issue in enhanced oil recovery (EOR). Here, we investigated the adsorption behaviors of anionic surfactants and alkalis on silica for the first time as a function of temperature using quartz crystal microbalance with dissipation (QCM-D). The results demonstrated that the temperature dependent critical micelle concentration of alcohol alkoxy sulfate (AAS) surfactant can be quantitatively described by the thermodynamics parameters of micellization, showing a mainly entropy-driven process. AAS adsorption was mediated under varying temperature conditions, by divalent cations for bridging effect, monovalent cations competitive for adsorption sites but not giving cation bridging, pH regulation of deprotonated sites of silica, presence of alkoxy groups in the surfactants, and synergistic effect of surfactant co-injection. The addition of organic alkalis can enhance the overall adsorption of the species with AAS, whereas inorganic alkali of Na2CO3 had capability of the sequestration of the divalent ions, whose addition would reduce AAS adsorption. The typical AAS adsorption indicated a non-rigid multilayer, estimated to have between 2 and 5 layers, with a likely compact bilayer followed by disorganized and unstable further layering. The new fundamental understanding about temperature effect on surfactants and alkalis adsorption contributes to optimizing the flooding conditions of chemicals and developing more efficient mitigation strategies.","Adsorption; Alkali; Enhanced oil recovery; Surfactant; Temperature","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:85f5c832-91c2-4c5f-a258-3eb0365c68b7","http://resolver.tudelft.nl/uuid:85f5c832-91c2-4c5f-a258-3eb0365c68b7","Explorative Learning in Infrastructure Development Megaprojects: The Case of the Hong Kong-Zhuhai-Macao Bridge","Liu, Y. (TU Delft Integral Design & Management); Houwing, E.J. (TU Delft Integral Design & Management); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Yuan, Ziwei (Nanjing University); Liu, Huimin (Nanjing University)","","2022","Research on explorative learning has primarily focused on the organizational level. Not much research has been done at the megaproject level, which is a more complex form of organizing. Therefore, it is advisable to analyze how the pursuit of explorative learning is enabled at the megaproject level. This research draws upon the case study of the Hong Kong-Zhuhai-Macao Bridge (HZMB)—a cross-sea link construction project—to study how explorative learning was achieved and sustained. The findings from archival documents, interviews, and focus group discussions indicate that megaprojects are more likely to increase in complexity but might bring value via more significant learning opportunities. Explorative learning is enacted through the complementary use of owner leadership, collaboration, external resources, and experiments. This research adds to our knowledge of how explorative learning works in practice and highlights its significance in the context of megaprojects.","complexity; explorative learning; HZMB; learning mechanisms; megaproject","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:cbf28d8a-e349-49a2-af77-10b109251ea6","http://resolver.tudelft.nl/uuid:cbf28d8a-e349-49a2-af77-10b109251ea6","Experimental investigation of the performance of a hybrid self-healing system in porous asphalt under fatigue loadings","Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Technological University Dublin; University College Dublin); Schlangen, E. (TU Delft Materials and Environment)","","2021","Self-healing asphalt, which is designed to achieve autonomic damage repair in asphalt pavement, offers a great life-extension prospect and therefore not only reduces pavement maintenance costs but also saves energy and reduces CO2 emissions. The combined asphalt self-healing system, incorporating both encapsulated rejuvenator and induction heating, can heal cracks with melted binder and aged binder rejuvenation, and the synergistic effect of the two technologies shows significant advantages in healing efficiency over the single self-healing method. This study explores the fatigue life extension prospect of the combined healing system in porous asphalt. To this aim, porous asphalt (PA) test specimens with various healing systems were prepared, including: (i) the capsule healing system, (ii) the induction healing system, (iii) the combined healing system and (iv) a reference system (without extrinsic healing). The fatigue properties of the PA samples were characterized by an indirect tensile fatigue test and a four-point bending fatigue test. Additionally, a 24-h rest period was designed to activate the built-in self-healing system(s) in the PA. Finally, a damaging and healing programme was employed to evaluate the fatigue damage healing efficiency of these systems. The results indicate that all these self-healing systems can extend the fatigue life of porous asphalt, while in the combined healing system, the gradual healing effect of the released rejuvenator from the capsules may contribute to a better induction healing effect in the damaging and healing cycles.","Calcium alginate capsules; Combined healing system; Fatigue life; Induction heating; Self-healing asphalt","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:52247cb3-2325-4a68-93cc-f33d7022fc92","http://resolver.tudelft.nl/uuid:52247cb3-2325-4a68-93cc-f33d7022fc92","Investigating the role of swelling-degradation degree of crumb rubber on CR/SBS modified porous asphalt binder and mixture","Ren, S. (TU Delft Pavement Engineering; Ministry of Transport of the People's Republic of China); Liu, X. (TU Delft Pavement Engineering); Xu, Jian (Ministry of Transport of the People's Republic of China); Lin, P. (TU Delft Electronic Components, Technology and Materials)","","2021","Porous asphalt pavement is widely used due to its adequate water permeability, noise reduction and skid resistance. The study aims at exploring the potential of using the crumb rubber/styrene–butadienestyrene (CR/SBS) modified bitumen as high-viscosity binder for porous asphalt mixture, and investigating the effect of swelling and degradation degree of crumb rubber as well as SBS dosage on the performance of porous asphalt binder and mixture. The conventional, rheological and engineering properties of CR/SBS modified high-viscosity porous asphalt binders and mixtures were characterized. The results revealed that the CR swelling-degradation degree significantly affected the physical and viscoelastic properties of CR/SBS modified high-viscosity binders. With the swelling time prolonged, the viscosity of rubber bitumen enhanced gradually until reaching the equilibrium state, which kept falling during the degradation process dramatically. The full-swelling binders exhibited the highest complex modulus, zero-shear viscosity, rutting resistance, which deteriorated as the degradation degree increasing. However, the degradation of crumb rubber would be beneficial to improve the workability, thermal cracking resistance and deformation recovery ability of high-viscosity binder. Meanwhile, the incorporation of SBS copolymer could further strengthen both high-and-low temperatures viscoelastic performance of high-viscosity bitumen. In addition, the performance of porous asphalt with CR/SBS modified high-viscosity bitumen could meet the requirements of Marshall stability, air void content, Cantabro loss, run-off loss, anti-rutting, moisture damage resistance and permeability performance. Although the full-swelling asphalt mixture presented the best rutting resistance, it showed the poor adhesive and moisture damage resistance when compared with partial and full-degradation asphalt mixtures.","Crumb rubber; High-viscosity bitumen; Porous asphalt; SBS copolymer; Swelling and degradation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:156e4db9-23f4-40d5-a927-e42bcd1536e9","http://resolver.tudelft.nl/uuid:156e4db9-23f4-40d5-a927-e42bcd1536e9","A gravity assist mapping for the circular restricted three-body problem using Gaussian processes","Liu, Y. (TU Delft Astrodynamics & Space Missions); Noomen, R. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering)","","2021","Inspired by the Keplerian Map and the Flyby Map, a Gravity Assist Mapping using Gaussian Process Regression for the fully spatial Circular Restricted Three-Body Problem is developed. A mapping function for quantifying the flyby effects over one orbital period is defined. The Gaussian Process Regression model is established by proper mean and covariance functions. The model learns the dynamics of flyby's from training samples, which are generated by numerical propagation. To improve the efficiency of this method, a new criterion is proposed to determine the optimal size of the training dataset. We discuss its robustness to show the quality of practical usage. The influence of different input elements on the flyby effects is studied. The accuracy and efficiency of the proposed model have been investigated for different energy levels, ranging from representative high- to low-energy cases. It shows improvements over the Kick Map, an independent semi-analytical method available in literature. The accuracy and efficiency of predicting the variation of the semi-major axis are improved by factors of 3.3, and 1.27×104, respectively.","Gaussian process regression; Gravity assist mapping; Machine learning","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:611968f9-7281-4798-8e94-4e8e76be9c14","http://resolver.tudelft.nl/uuid:611968f9-7281-4798-8e94-4e8e76be9c14","Reverse traveling microwave reactor – Modelling and design considerations","Eghbal Sarabi, F. (TU Delft Complex Fluid Processing); Liu, J. (Student TU Delft); Stankiewicz, A.I. (TU Delft Complex Fluid Processing); Nigar, H. (TU Delft Complex Fluid Processing)","","2021","Microwave heating presents a potentially green alternative for energy supply to chemical and catalytic reactors as it can be based on the electricity from renewable sources. The Reverse Traveling Microwave Reactor (RTMR) is a novel heterogeneous catalytic reactor concept, based on the coaxial waveguide structure. The reactor has two microwave ports on both ends, and microwave irradiation is periodically switched between those ports to minimize the temperature gradients along the catalyst bed. In the current paper, COMSOL MULTIPHYSICS® simulation environment has been used to develop a 3D multiphysics model of the RTMR. Based on the model, operational characteristics of the reactor including electric field distribution and transient temperature profiles have been studied. Simulation results show that periodically reversed microwave irradiation improves the homogeneity of the temperature distribution inside the catalyst bed. The study provides new insights into the design and scale-up of microwave-assisted catalytic flow processes.","Microwave heating; Reverse traveling microwave reactor; Scale-up; Temperature homogeneity; Traveling microwave reactor","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:55579819-77c3-43bf-9f66-eed892031343","http://resolver.tudelft.nl/uuid:55579819-77c3-43bf-9f66-eed892031343","Comparing the bacterial growth potential of ultra-low nutrient drinking water assessed by growth tests based on flow cytometric intact cell count versus adenosine triphosphate","Sousi, Mohaned (IHE Delft Institute for Water Education; University of Twente); Salinas-Rodriguez, Sergio G. (IHE Delft Institute for Water Education); Liu, G. (TU Delft Sanitary Engineering; Key Laboratory of Drinking Water Science and Technology); Dusseldorp, Jos (Oasen); Kemperman, Antoine J.B. (University of Twente); Schippers, Jan C. (IHE Delft Institute for Water Education); van der Meer, Walter G.J. (University of Twente; Oasen); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2021","The bacterial growth potential (BGP) of drinking water is widely assessed either by flow cytometric intact cell count (BGPICC) or adenosine triphosphate (BGPATP) based methods. Combining BGPICC and BGPATP measurements has been previously applied for various types of drinking water having high to low growth potential. However, this has not been applied for water with ultra-low nutrient content, such as remineralised RO permeate. To conduct a sound comparison, conventionally treated drinking water was included in this study, which was also used as an inoculum source. BGPICC, BGPATP, intact cell-yield (YICC), and ATP-yield (YATP) were determined for conventionally treated drinking water (Tap-water) and remineralised RO permeate (RO-water). In addition, both BGPICC and BGPATP methods were used to identify the growth-limiting nutrient in each water type. The results showed that the BGPICC ratio between Tap-water/RO-water was ∼7.5, whereas the BGPATP ratio was only ∼4.5. Moreover, the YICC ratio between Tap-water/RO-water was ∼2 (9.8 ± 0.6 × 106 vs. 4.6 ± 0.8 × 106 cells/µg-C), whereas the YATP ratio was ∼1 (0.39 ± 0.12 vs. 0.42 ± 0.06 ng ATP/µg-C), resulting in a consistently higher ATP per cell in RO-water than that of Tap-water. Both BGPICC and BGPATP methods revealed that carbon was the growth-limiting nutrient in the two types of water. However, with the addition of extra carbon, phosphate limitation was detected only with the BGPICC method, whereas BGPATP was not affected, suggesting that a combination of carbon and phosphate is essential for biomass synthesis, whereas carbon is probably utilised for cellular activities other than cell synthesis when phosphate is limited. It was estimated that the intact cell-yield growing on phosphate would be 0.70 ± 0.05 × 109 cells/µg PO4-P.","Adenosine triphosphate (ATP); Bacterial growth potential (BGP); Bacterial yield; Flow cytometry (FCM); Reverse osmosis (RO); Ultra-low nutrient drinking water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:49ed01af-c422-401b-9408-b1ba85a27210","http://resolver.tudelft.nl/uuid:49ed01af-c422-401b-9408-b1ba85a27210","Radio-Frequency Reflectometry in Silicon-Based Quantum Dots","Liu, Y. Y. (Harvard University); Philips, S.G.J. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Orona, L. A. (Harvard University); Samkharadze, Nodar (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre); McJunkin, T. (University of Wisconsin-Madison); Macquarrie, E. R. (University of Wisconsin-Madison); Eriksson, M. A. (University of Wisconsin-Madison); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft); Yacoby, A. (Harvard University)","","2021","Radio-frequency (rf) reflectometry offers a fast and sensitive method for charge sensing and spin readout in gated quantum dots. We focus in this work on the implementation of rf readout in accumulation-mode gate-defined quantum dots, where the large parasitic capacitance poses a challenge. We describe and test two methods for mitigating the effect of the parasitic capacitance, one by on-chip modifications and a second by off-chip changes. We demonstrate that on-chip modifications enable high-performance charge readout in Si/SixGe1-x quantum dots, achieving a fidelity of 99.9% for a measurement time of 1μs.","","en","journal article","","","","","","","","","","","QCD/Vandersypen Lab","","",""
"uuid:9032c70c-7390-4fbd-a997-2db77616dfc9","http://resolver.tudelft.nl/uuid:9032c70c-7390-4fbd-a997-2db77616dfc9","Quantification on fuel cell degradation and techno-economic analysis of a hydrogen-based grid-interactive residential energy sharing network with fuel-cell-powered vehicles","He, Yingdong (University of California; Hunan University); Zhou, Yuekuan (The Hong Kong Polytechnic University); Wang, Zhe (Lawrence Berkeley National Laboratory); Liu, Jia (The Hong Kong Polytechnic University); Liu, Zhengxuan (TU Delft Housing Quality and Process Innovation; Hunan University); Zhang, Guoqiang (Hunan University)","","2021","Hydrogen-based (H2-based) interactive energy networks for buildings and transportations provide novel solutions for carbon-neutrality transition, regional energy flexibility and independence on fossil fuel consumption, where vehicle fuel cells are key components for H2-electricity conversion and clean power supply. However, due to the complexity in thermodynamic working environments and frequent on/off operations, the proton exchange membrane fuel cells (PEMFCs) suffer from performance degradation, depending on cabin heat balance and power requirements, and the ignorance of the degradation may lead to the performance overestimation. In order to quantify fuel cell degradation in both daily cruise and vehicle-to-grid (V2G) interactions, this study firstly proposes a two-space cabin thermal model to quantify the ambient temperature of vehicle PEMFCs and the power supply from PEMFCs to vehicle HVAC systems. Afterwards, a stack voltage model is proposed to quantify the fuel cell degradation for multiple purposes, such as daily transportation and V2G interactions. Afterwards, the two models are coupled in a community-level based building-vehicle energy network, consisting of twenty single residential buildings, rooftop PV systems, four hydrogen vehicles (HVs), a H2 station, community-served micro power grid, local main power grid, and local H2 pipelines, located in California, U.S.A. Comparative analysis with and without fuel cell degradation is conducted to study the impact of dynamic fuel cell degradation on the energy flexibility and operating cost. Furthermore, a parametrical analysis is conducted on the integrated HV quantity and the grid feed-in tariff to reach trade-off strategies between associated fuel cell degradation costs and grid import cost savings. The results indicate that, in the proposed hydrogen-based building-vehicle energy network, the total fuel cell degradation is 3.16% per vehicle within one year, where 2.50% and 0.66% are caused by daily transportation and V2G interactions, respectively. Furthermore, in the H2-based residential community, the total fuel cell degradation cost is US$6945.2, accounting for 33.4% of the total operating cost at $20770.61. The sensitivity analysis results showed that, when the HV quantity increases to twenty, the fuel cell degradation of each HV decreases to 2.50%, whereas the total fuel cell degradation cost increases to 42.8% of the total operating cost. Last but not the least, the cost saving by V2G interactions can compensate the fuel cell degradation cost when the grid feed-in tariff is reduced by 40%. Research results can provide basic modelling tools on dynamic fuel cell degradation, in respect to vehicle power supply, vehicle HVAC and V2G interactions, together with techno-economic feasibility analysis, paving path for the development of hydrogen energy for the carbon-neutrality transition.","Cabin thermal model; Distributed hydrogen infrastructure; Fuel cell degradation; Hydrogen energy storage and economy; Solar energy; Wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-23","","","Housing Quality and Process Innovation","","",""
"uuid:c9530048-5863-44d7-8703-53619749a64c","http://resolver.tudelft.nl/uuid:c9530048-5863-44d7-8703-53619749a64c","Driving factors of land surface temperature in urban agglomerations: A case study in the pearl river delta, china","Liu, Wenxiu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Meng, Qingyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Sanya Institute of Remote Sensing); Allam, Mona (National Water Research Center, Egypt); Zhang, Linlin (Chinese Academy of Sciences); Hu, Die (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing)","","2021","Land surface temperature (LST) in urban agglomerations plays an important role for policymakers in urban planning. The Pearl River Delta (PRD) is one of the regions with the highest urban densities in the world. This study aims to explore the spatial patterns and the dominant drivers of LST in the PRD. MODIS LST (MYD11A2) data from 2005 and 2015 were used in this study. First, spatial analysis methods were applied in order to determine the spatial patterns of LST and to identity the hotspot areas (HSAs). Second, the hotspot ratio index (HRI), as a metric of thermal heterogeneity, was developed in order to identify the features of thermal environment across the nine cities in the PRD. Finally, the geo-detector (GD) metric was employed to explore the dominant drivers of LST, which included elevation, land use/land cover (LUCC), the normalized difference vegetation index (NDVI), impervious surface distribution density (ISDD), gross domestic product (GDP), population density (POP), and nighttime light index (NLI). The GD metric has the advantages of detecting the dominant drivers without assuming linear relationships and measuring the combined effects of the drivers. The results of Moran’s Index showed that the daytime and nighttime LST were close to the cluster pattern. Therefore, this process led to the identification of HSAs. The HSAs were concentrated in the central PRD and were distributed around the Pearl River estuary. The results of the HRI indicated that the spatial distribution of the HSAs was highly heterogeneous among the cities for both daytime and nighttime. The highest HRI values were recorded in the cities of Dongguan and Shenzhen during the daytime. The HRI values in the cities of Zhaoqing, Jiangmen, and Huizhou were relatively lower in both daytime and nighttime. The dominant drivers of LST varied from city to city. The influence of land cover and socio-economic factors on daytime LST was higher in the highly urbanized cities than in the cities with low urbanization rates. For the cities of Zhaoqing, Huizhou, and Jiangmen, elevation was the dominant driver of daytime LST during the study period, and for the other cities in the PRD, the main driver changed from land cover in 2005 to NLI in 2015. This study is expected to provide useful guidance for planning of the thermal environment in urban agglomerations.","Driving factors; Geo-detector metric; Land surface temperature; Spatial analysis; Urban agglomeration","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:dc0a1512-a7bd-4580-9777-7f51b972b424","http://resolver.tudelft.nl/uuid:dc0a1512-a7bd-4580-9777-7f51b972b424","Barrier Lypunov functions-based nonsingular fixed-time switching control for strict-feedback nonlinear dynamics with full state constraints","Zhang, Wenqian (Air Force Engineering University China); Dong, Wenhan (Air Force Engineering University China); Lv, Maolong (TU Delft Team Bart De Schutter); Liu, Zongcheng (Air Force Engineering University China; Northwestern Polytechnical University); Zhou, Yang (Air Force Engineering University China); Feng, Haoming (Air Force Engineering University China)","","2021","This work proposes a nonsingular adaptive fixed-time switching control method for a class of strict-feedback nonlinear dynamics subject to full state constraints. The peculiarity of this design lies in overcoming the singularity issue that typically appears in the existing backstepping-based fixed-time control methods caused by the iterative differentiation of fractional power terms as tracking errors approach to zero, while guaranteeing the nonviolation of full state constraints. Crucial in solving such singularity issue is to skillfully introduce a smooth switching between fractional power and integer power terms, which guarantees that fractional power term is confined within a positive interval all the time. An asymmetric time-varying barrier Lyapunov function is delicately incorporated into control design, rendering state variables to be within prescribed time-varying bounds. Besides, radial basis function neural network is employed to handle system unknown nonlinearities. It is rigorously proved that all the closed-loop signals eventually converge to small regions around origin within fixed-time. Comparative simulation results are finally given to validate the effectiveness and superiority of the proposed control strategy.","adaptive backstepping control; fixed-time stability; switching control; time-varying state constraints","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:22237b17-da74-4abe-a858-80e611a4b294","http://resolver.tudelft.nl/uuid:22237b17-da74-4abe-a858-80e611a4b294","Photo cleavable thioacetal block copolymers for controlled release","Men, Y. (TU Delft ChemE/Advanced Soft Matter); Breve, T. (TU Delft ChemE/Advanced Soft Matter); Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2021","We present a new light cleavable polymer containing o-nitrobenzene thioacetal groups in the main chain. By conjugation to a PEG block, we synthesized block copolymers capable of forming nanoparticles in aqueous solution. We studied drug encapsulation and release using the model drug Nile Red. Irradiation with UV-A light (365 nm) leads to efficient degradation of the polymers and associated burst release of the payload. Unlike other thioacetal and thioketal polymers, these polymers are stable to reactive oxygen species (ROS), preventing non-triggered release. Moreover, the nanocarriers showed low cytotoxicity in cell viability experiments.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:cabd41d2-550f-407a-b2d9-ccf54e368293","http://resolver.tudelft.nl/uuid:cabd41d2-550f-407a-b2d9-ccf54e368293","Optimization of intrinsic self-healing silicone coatings by benzotriazole loaded mesoporous silica","Chen, Guangmeng (Northwestern Polytechnical University); Wen, Shifeng (Northwestern Polytechnical University); Ma, Jiacheng (Northwestern Polytechnical University); Sun, Zhiyong (Luoyang Ship Material Research Institute); Lin, Cunguo (Luoyang Ship Material Research Institute); Yue, Zhufeng (Northwestern Polytechnical University); Mol, J.M.C. (TU Delft Team Arjan Mol); Liu, M. (TU Delft Energy Technology; Xi’an Jiaotong University)","","2021","Multifunctional intrinsic self-healing polymers (ISP) are gaining increasing attention these days, and many studies have been devoted to solving the conflict between achieving high mechanical polymer strength and active polymer chain diffusion. In this research, we developed an optimized self-healing composite coating by adding Benzotriazole (BTA) loaded nano silica (SiO2@BTA) to a dynamic cross-linked Polydimethylsiloxane (PDMS) network,which allows substantial and fast healing of the material integrity upon damage due to the hydrogen interaction between urea and BTA. BTA leaching tests demonstrated a longer release profile during the coating service life and corrosion tests in a 3.5 wt% NaCl aqueous solution indicated that the SiO2@BTA modified PDMS coating shows enhanced corrosion protection of carbon steel upon coating damage. Moreover, after addition of SiO2@BTA, the material failure strength increased from ~1.5 MPa to ~5.5 MPa, and the healing efficiency increased from ~59% to ~90% for 24 h healing at room temperature. Above all, this research provides an intrinsic self-healing coating design method to improve the mechanical strength and the self-healing ability of the ISP as well as enhancing the coating's corrosion protection ability.","BTA leaching; Corrosion protection; Mechanical strength; Self-healing coating; Urea hydrogen","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-07","","","Team Arjan Mol","","",""
"uuid:5cb757a7-858c-40e0-9de0-a0d53eb19fde","http://resolver.tudelft.nl/uuid:5cb757a7-858c-40e0-9de0-a0d53eb19fde","Quantized and unquantized zero-bias tunneling conductance peaks in Majorana nanowires: Conductance below and above 2e2/h","Pan, Haining (University of Maryland); Liu, Chun Xiao (Kavli institute of nanoscience Delft); Wimmer, M.T. (TU Delft QN/Wimmer Group; Kavli institute of nanoscience Delft); Das Sarma, Sankar (University of Maryland)","","2021","Majorana zero modes can appear at the wire ends of a one-dimensional topological superconductor and manifest themselves as a quantized zero-bias conductance peak in the tunneling spectroscopy of normal-superconductor junctions. However, in superconductor-semiconductor hybrid nanowires, zero-bias conductance peaks may arise owing to topologically trivial mechanisms as well, mimicking the Majorana-induced topological peak in many aspects. In this work, we systematically investigate the characteristics of zero-bias conductance peaks for topological Majorana bound states, trivial quasi-Majorana bound states and low-energy Andreev bound states arising from smooth potential variations and disorder-induced subgap bound states. Our focus is on the conductance peak value (i.e., equal to, greater than, or less than 2e2/h), as well as the robustness (plateau- or spike-like) against the tuning parameters (e.g., the magnetic field and tunneling gate voltage) for zero-bias peaks arising from the different mechanisms. We find that for Majoranas and quasi-Majoranas, the zero-bias peak values are no more than 2e2/h, and a quantized conductance plateau forms generically as a function of parameters. By contrast, for conductance peaks due to low-energy Andreev bound states or disorder-induced bound states, the peak values may exceed 2e2/h, and a conductance plateau is rarely observed unless through careful postselection and fine-tuning. Our findings should shed light on the interpretation of experimental measurements on the tunneling spectroscopy of normal-superconductor junctions of hybrid Majorana nanowires.","","en","journal article","","","","","","","","","","","QN/Wimmer Group","","",""
"uuid:8ec82101-4267-4a3c-ac8d-3ea3f153da91","http://resolver.tudelft.nl/uuid:8ec82101-4267-4a3c-ac8d-3ea3f153da91","Identifying the key risk factors of mega infrastructure projects from an extended sustainable development perspective","Li, Yuanli (Chongqing University); Xiang, Pengcheng (Chongqing University); You, Kairui (Chongqing University); Guo, Jin (Chongqing University); Liu, Z. (TU Delft Integral Design & Management); Ren, Hong (Chongqing University)","","2021","Mega infrastructure projects (MIPs) have become increasingly important to the realization of sustainable development in China. Sustainable development is a process of dynamic balance, and coordinating the triple bottom line (the environmental, social, and economic dimensions) will enable more sustainable development of MIPs. However, previous studies have lacked consideration of coordination when applying sustainable development principles to the systematic identification of risks to MIPs. The goals of this study were to clarify the definition and dimensions of the sustainable development of MIPs and to identify the key risks of MIPs. A literature review was performed to extend the definition of sustainable development of MIPs by combining the triple bottom line with a fourth coordination dimension. A conceptual model of MIP risk identification was then proposed from an extended sustainable development perspective, 22 sustainability elements and 75 risk factors were identified, and the key risk factors were determined based on the interview responses and fuzzy set theory. The results show that economic risks have a high probability, social risks have a high loss, environmental risks have an intermediate probability and loss, and coordination risks have the greatest impact. In addition, the three most important key risk factors were found to be construction and installation cost overruns, land acquisition and resettling cost overruns, and information sharing with the public. Identifying key risk factors can provide information to help stakeholders understand the risk factors associated with MIPs and formulate reasonable risk response strategies.","Coordination; Fuzzy set theory; Mega infrastructure projects; Risk identification; Sustainable development","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:7d7b2296-7a3f-4c7e-825d-cd5d63479dab","http://resolver.tudelft.nl/uuid:7d7b2296-7a3f-4c7e-825d-cd5d63479dab","Catalytic conversion of glycerol to bio-based aromatics using H-ZSM-5 in combination with various binders","He, Songbo (University Medical Center Groningen); Goldhoorn, Hero Reinder (University Medical Center Groningen); Tegudeer, Zhuorigebatu (University Medical Center Groningen); Chandel, Anshu (University Medical Center Groningen); Heeres, Andre (Hanze Hogeschool Groningen); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Algemeen; TU Delft ChemE/Inorganic Systems Engineering); Heeres, Hero Jan (University Medical Center Groningen)","","2021","The use of H-ZSM-5 with various binders (Al2O3, SiO2, and kaolinite, 10 wt% on catalyst formulation) for the catalytic conversion of glycerol to bio-based aromatics (GTA) was investigated in a continuous bench-scale unit at a pyrolysis temperature of 450 °C, catalytic upgrading temperature of 500 °C, WHSV of pure glycerol of 1 h−1, and atmospheric pressure, and their performance was compared to H-ZSM-5 (SiO2/Al2O3 molar ratio of 28). The latter gave a peak BTX carbon yield of ca. 31.1C.%, a life-time of ca. 220 min, and a total BTX productivity of ca. 312 mg BTX g−1H-ZSM-5. The introduction of binders affects catalyst performance, which is the most profound and promising for the H-ZSM-5/Al2O3 catalyst. It shows a prolonged catalyst life-time of ca. 320 min and a higher total BTX productivity of ca. 518 mg BTX g−1H-ZSM-5, compared to the H-ZSM-5 without a binder. Catalyst characterization studies show that the addition of the binder does not have a major effect on the specific surface area, total pore volume, and total acidity. Other relevant properties were affected, though, such as micropore volume (SiO2), a reduced Brønsted acidity (Al2O3, and SiO2), and reduced crystallinity (SiO2). Coke formation causes severe catalyst deactivation, ultimately leading to an inactive catalyst for BTX formation. Catalyst characterization studies after an oxidative regeneration showed that the textural properties of the regenerated catalysts were close to those of the original catalysts. However, some dealumination of H-ZSM-5 occurs, resulting in decreased crystallinity and acidity, causing irreversible deactivation, which needs attention in future catalyst development studies.","Aromatics; Binders; BTX; Glycerol; H-ZSM-5","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:8ed64776-bf8a-4d75-bbca-387cc737a58b","http://resolver.tudelft.nl/uuid:8ed64776-bf8a-4d75-bbca-387cc737a58b","Electronic properties of InAs/EuS/Al hybrid nanowires","Liu, C. (TU Delft ChemE/Delft Ingenious Design; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Schuwalow, Sergej (University of Copenhagen); Liu, Yu (University of Copenhagen); Vilkelis, K. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Manesco, A. L.R. (Kavli institute of nanoscience Delft; Universidade de São Paulo); Krogstrup, P. (University of Copenhagen); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2021","We study the electronic properties of InAs/EuS/Al heterostructures as explored in a recent experiment, combining both spectroscopic results and microscopic device simulations. In particular, we use angle-resolved photoemission spectroscopy to investigate the band bending at the InAs/EuS interface. The resulting band offset value serves as an essential input to subsequent microscopic device simulations, allowing us to map the electronic wave function distribution. We conclude that the magnetic proximity effects at the Al/EuS as well as the InAs/EuS interfaces are both essential to achieve topological superconductivity at zero applied magnetic field. Mapping the topological phase diagram as a function of gate voltages and proximity-induced exchange couplings, we show that the ferromagnetic hybrid nanowire with overlapping Al and EuS layers can become a topological superconductor within realistic parameter regimes. Our work highlights the need for a combined experimental and theoretical effort for faithful device simulations.","","en","journal article","","","","","","","","","","","ChemE/Delft Ingenious Design","","",""
"uuid:4a341807-3bad-45b0-adb1-aed96bdee4b2","http://resolver.tudelft.nl/uuid:4a341807-3bad-45b0-adb1-aed96bdee4b2","Effect of ethanol concentration on methane hydrate decomposition: MD simulation insights","Sun, Xiaoliang (China University of Petroleum - Beijing); Zhou, Guanggang (China University of Petroleum - Beijing); Liu, Z. (TU Delft ChemE/Advanced Soft Matter; China University of Petroleum - Beijing); Zhu, Jianwei (China University of Petroleum - Beijing); Guo, Fengzhi (China University of Petroleum - Beijing); Chen, Junqing (China University of Petroleum - Beijing); He, Wenhao (China University of Petroleum - Beijing); Wang, Ning (China University of Petroleum - Beijing); Zhao, Ge (China University of Petroleum - Beijing); Lu, Guiwu (China University of Petroleum - Beijing)","","2021","The controllability of mining is a key factor affecting the commercial application of methane hydrates, and the addition of chemical additives can significantly accelerate the mining process. However, the effect of additive concentration on hydrate decomposition is not yet well understood. In this study, we systematically investigate the effect of ethanol concentration on the decomposition of methane hydrate under varying thermodynamic conditions using molecular dynamics (MD) simulations. To quantitatively characterize the decomposition process and mechanism of methane hydrates, the combination of angular order parameter (AOP), radial distribution function (RDF), mean square displacement (MSD), diffusion coefficients and system energy was for the first time used. The results showed that the addition of ethanol contributed to the formation of methane bubbles and accelerated the decomposition of hydrates. The mass transfer effect of ethanol molecules and the reconstruction of the hydrogen bond network of water molecules determined the stability of hydrates. From 0 to 40 mol% ethanol concentration, the hydrate decomposition increased with increasing the concentration of ethanol. Both increasing the temperature and decreasing the pressure are beneficial to the decomposition of the hydrate system. These results provide the selection of optimal ethanol concentration for the decomposition of methane hydrate and reveal its decomposition mechanism, and shed important light for the controllable production of gas hydrates.","Decomposition; Ethanol concentration; Methane hydrate; Molecular dynamics; Thermodynamics","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:56422ce2-d804-44d0-84cc-1509603686aa","http://resolver.tudelft.nl/uuid:56422ce2-d804-44d0-84cc-1509603686aa","One-step controllable fabrication of 3D structured self-standing Al3Ni2/Ni electrode through molten salt electrolysis for efficient water splitting","Hua, Zhongsheng (Anhui University of Technology); Wu, Xiaobin (Anhui University of Technology); Zhu, Zengli (Anhui University of Technology); He, Jiwen (Anhui University of Technology); He, Shiwei (Anhui University of Technology); Liu, Huan (Anhui University of Technology); Xu, Liang (Anhui University of Technology); Yang, Y. (TU Delft Team Yongxiang Yang; Anhui University of Technology); Zhao, Zhuo (Anhui University of Technology)","","2022","Exploring more efficient and low-cost electrocatalysts to replace platinum (Pt) is highly desired to promote the practical hydrogen production through water splitting. Herein, a facile and effective strategy is proposed to fabricate self-standing Al3Ni2/Ni electrode with controlled phase composition and surface morphology, which is obtained by one-step electrochemical reduction of Al3+ on commercially available nickel in eutectic NaCl-KCl melt. Different from previously reported approaches, uniform Al3Ni2 monolith catalyst can directly grow onto Ni substrate. The deposit possesses unique three-dimensional (3D) cauliflower-like morphology comprising of nano- and microparticles due to the rapid nucleation rate during molten salt electrolysis. The as-fabricated Al3Ni2/Ni electrode can be directly used as the cathode to catalyze Hydrogen evolution reaction (HER). Impressively, it exhibits remarkable HER activity comparable to commercial Pt, including a low overpotential of 83.4 mV for a current density of 10 mA cm−2, a small Tafel slope of 40.7 mV dec-1, and excellent long-term stability over 36 h of continuous HER operation in 0.5 M H2SO4 solution. The intrinsic catalytic ability of Al3Ni2 with the unique hierarchical structure of nano/microsized grains can offer multiple effects, including massive exposed active sites, enhanced charge transfer and mass transport, and fast gas releasing that synergistically contribute to improving the electrocatalytic performance of HER. This work represents a highly promising approach to the design and one-step controllable fabrication of efficient and self-standing base metal electrode for electrocatalytic hydrogen production.","AlNi/Ni electrode; Electrocatalytic performance; Hydrogen evolution reaction; Molten salt electrolysis; Water splitting","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Yongxiang Yang","","",""
"uuid:02a52218-9f6b-4c02-8f49-f00ee4e3e728","http://resolver.tudelft.nl/uuid:02a52218-9f6b-4c02-8f49-f00ee4e3e728","Bridging-induced phase separation induced by cohesin SMC protein complexes","Ryu, J.K. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Bouchoux, Céline (Francis Crick Institute); Liu, Hon Wing (Francis Crick Institute); Kim, E. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Minamino, Masashi (Francis Crick Institute); de Groot, Ralph (Kavli institute of nanoscience Delft; Student TU Delft); Katan, A.J. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft); Bonato, Andrea (University of Edinburgh); Marenduzzo, Davide (University of Edinburgh); Michieletto, Davide (University of Edinburgh); Uhlmann, Frank (Francis Crick Institute); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2021","Structural maintenance of chromosome (SMC) protein complexes are able to extrude DNA loops. While loop extrusion constitutes a fundamental building block of chromosomes, other factors may be equally important. Here, we show that yeast cohesin exhibits pronounced clustering on DNA, with all the hallmarks of biomolecular condensation. DNA-cohesin clusters exhibit liquid-like behavior, showing fusion of clusters, rapid fluorescence recovery after photobleaching and exchange of cohesin with the environment. Strikingly, the in vitro clustering is DNA length dependent, as cohesin forms clusters only on DNA exceeding 3 kilo-base pairs. We discuss how bridging-induced phase separation, a previously unobserved type of biological condensation, can explain the DNA-cohesin clustering through DNA-cohesin-DNA bridges. We confirm that, in yeast cells in vivo, a fraction of cohesin associates with chromatin in a manner consistent with bridging-induced phase separation. Biomolecular condensation by SMC proteins constitutes a new basic principle by which SMC complexes direct genome organization.","","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:8dab0b68-0720-45cf-a519-89ab6bb1a2b7","http://resolver.tudelft.nl/uuid:8dab0b68-0720-45cf-a519-89ab6bb1a2b7","Aqueous chemoenzymatic one-pot enantioselective synthesis of tertiary α-aryl cycloketonesviaPd-catalyzed C-C formation and enzymatic C=C asymmetric hydrogenation","Luan, Pengqian (Hebei University of Technology); Liu, Yunting (Hebei University of Technology; Tianjin University); Li, Yongxing (Hebei University of Technology); Chen, Ran (Hebei University of Technology); Huang, Chen (Hebei University of Technology); Gao, Jing (Hebei University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Jiang, Yanjun (Hebei University of Technology)","","2021","An aqueous chemoenzymatic cascade reaction combining Pd-catalyzed C-C formation and enzymatic C=C asymmetric hydrogenation (AH) was developed for enantioselective synthesis of tertiary α-aryl cycloketones in good yields and excellent enantioselectivities. The stereopreference of the enzyme in AH of α-aryl cyclohexenones was studied. An enantiocomplementary enzyme was obtained by site-directed mutation.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-22","","","BT/Biocatalysis","","",""
"uuid:b0e1dbc6-9bcc-4db7-94cc-c90be7d0b850","http://resolver.tudelft.nl/uuid:b0e1dbc6-9bcc-4db7-94cc-c90be7d0b850","The hydro-mechanical interaction in novel polyurethane-bound pervious pavement by considering the saturation states in unbound granular base course","Lu, Guoyang (The Hong Kong Polytechnic University; Rheinisch-Westfälische Technische Hochschule); Wang, H. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Wang, Dawei (Rheinisch-Westfälische Technische Hochschule; Harbin Institute of Technology); Oeser, Markus (Rheinisch-Westfälische Technische Hochschule); Grabe, Jürgen (Hamburg University of Technology)","","2021","The pore-water pressure generated by intermittent dynamic vehicle loading under various saturation states is recognized as a critical factor influencing the behaviour of permeable pavement structures, especially the behaviour of UGB layer. However, the underlying mechanisms of hydro-mechanical interaction in the UGB layer and the influence on the pavement structure are still unclear. This study aims to characterize the changes in dynamic response in permeable pavement structures under various saturation conditions by considering the hydro-mechanical interaction within the UGB layer. To achieve this objective, a full-scale test track with a PUPM wearing course was constructed. Pressures and water distribution were characterized by embedded sensors within different layers of the test track when subjected to the accelerating pavement test. Based on the coupled SAME model, the water distribution and the dynamic response of UGB in the rainfall events were both characterised and solved by FEM. The results predicted by the proposed SAME model correspond to the field measurements, and the influence of the water content on the resilient modulus distribution within the UGB layer was then estimated. Based on the predictions for the stress state of the UGB layer, the sensitivity analysis was also proposed.","hydro-mechanical interaction; matric suction; polyurethane bound pervious material (PUPM); unsaturated flow; Water content","en","journal article","","","","","","Accepted Author Manuscript","","2022-05-12","","","Pavement Engineering","","",""
"uuid:d638a876-5b66-45c1-8d48-c070142c22be","http://resolver.tudelft.nl/uuid:d638a876-5b66-45c1-8d48-c070142c22be","Data-Driven Incipient Fault Detection via Canonical Variate Dissimilarity and Mixed Kernel Principal Component Analysis","Wu, P. (TU Delft Team Jan-Willem van Wingerden; Zhejiang Institute of Meteorological Sciences); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); Liu, Y. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Incipient fault detection plays a crucial role in preventing the occurrence of serious faults or failures in industrial processes. In most industrial processes, linear, and nonlinear relationships coexist. To improve fault detection performance, both linear and nonlinear features should be considered simultaneously. In this article, a novel hybrid linear-nonlinear statistical modeling approach for data-driven incipient fault detection is proposed by closely integrating recently developed canonical variate dissimilarity analysis and mixed kernel principal component analysis (MKPCA) using a serial model structure. Specifically, canonical variate analysis (CVA) is first applied to estimate the canonical variables (CVs) from the collected process data. Linear features are extracted from the estimated CVs. Then, the canonical variate dissimilarity (CVD) which quantifies model residuals in the CVA state-subspace is calculated using the estimated CVs. To explore the nonlinear features, the nonlinear principal components are extracted as nonlinear features through performing MKPCA on CVD. Fault detection indices are formed based on Hotelling's T2 as well as Q statistics from the extracted linear and nonlinear features. Moreover, kernel density estimation is utilized to determine the control limits. The effectiveness of the proposed method is demonstrated by the comparisons with other relevant methods via simulations based on a closed-loop continuous stirred-tank reactor process.","Canonical variate analysis (CVA); dissimilarity analysis; incipient fault detection; kernel principal component analysis (KPCA); mixed kernel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-09","","","Team Jan-Willem van Wingerden","","",""
"uuid:4eebfc78-4bf6-473a-a2e1-104c892e9df2","http://resolver.tudelft.nl/uuid:4eebfc78-4bf6-473a-a2e1-104c892e9df2","Establishing Platoons of Bidirectional Cooperative Vehicles with Engine Limits and Uncertain Dynamics","Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Liu, Di (Southeast University; Rijksuniversiteit Groningen); Jain, V. (TU Delft Intelligent Vehicles); Yu, Wenwu (Southeast University)","","2021","In adaptive platooning strategies proposed in literature to handle uncertain and nonidentical uncertain vehicle dynamics (uncertain heterogeneous platoons) two aspects requiring proper design are neglected: bidirectional interaction among vehicles which might lead to loss of string stability, and engine saturation constraints which might lead to loss of cohesiveness. This work proposes a novel adaptive platooning strategy handling these two crucial aspects. Specifically, bidirectional interaction is handled by designing bidirectional reference dynamics with proven string stability properties, to which the uncertain heterogeneous platoon should homogenize; engine constraints are handled via a proposed a mechanism that makes such reference dynamics 'not too demanding', by properly saturating their action. The saturation action will allow all vehicles in the platoon to not hit their engine limits, preserving cohesiveness. Simulations are conducted to validate the theoretical analysis and show the effectiveness of the method in retaining cohesiveness of the platoon.","bidirectional communication; Cooperative adaptive cruise control; engine constraints; heterogeneous platoon","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:1f7d4796-c759-4b39-8894-c823a313bcf1","http://resolver.tudelft.nl/uuid:1f7d4796-c759-4b39-8894-c823a313bcf1","Transformation towards a carbon-neutral residential community with hydrogen economy and advanced energy management strategies","He, Yingdong (University of California; Hunan University); Zhou, Yuekuan (The Hong Kong University of Science and Technology); Yuan, Jing (University of California); Liu, Zhengxuan (TU Delft Housing Quality and Process Innovation; Hunan University); Wang, Zhe (Lawrence Berkeley National Laboratory); Zhang, Guoqiang (Hunan University)","","2021","Cleaner power production, distributed renewable generation, building-vehicle integration, hydrogen storage and associated infrastructures are promising for transformation towards a carbon–neutral community, whereas the academia provides limited information through integrated solutions, like intermittent renewable integration, hydrogen sharing network, smart operation on electrolyzer and fuel cell, seasonal hydrogen storage and advanced heat recovery. This study proposes a hybrid electricity-hydrogen sharing system in California, United States, with synergistic electric, thermal and hydrogen interactions, including low-rise houses, rooftop photovoltaic panels, hydrogen vehicles, a hydrogen station, micro and utility power grid and hydrogen pipelines. Advanced energy management strategies were proposed to enhance energy flexibility and grid stability. Besides, simulation-based optimizations on smart power flows of vehicle-to-grid interaction and electrolyzer are conducted for further seasonal grid stability and annual cost saving. The obtained results indicate that, the green renewable-to-hydrogen can effectively reduce reliance on pipelines delivered hydrogen, and the hydrogen station is effective to address security concerns of high-pressure hydrogen and improve participators’ acceptance. Microgrid peer-to-peer sharing can improve hydrogen system efficiency under idling modes. Furthermore, the integrated system can reduce the annual net hydrogen consumption in transportation from 127.0 to 1.2 kg/vehicle. The smart operation (minimum input power of electrolyzer and fuel cell at 65 and 80 kW) can reduce the maximum mean hourly grid power to 78.2 kW by 24.2% and the annual energy cost to 1228.5 $/household by 38.9%. The proposed district hydrogen-based community framework can provide cutting-edge techno-economic guidelines for carbon-neutral transition with district peer-to-peer energy sharing, zero-energy buildings, hydrogen-based transportations together with smart strategies for high energy flexibility.","Distributed hydrogen infrastructure; Distributed renewable energy sharing; Flexible energy management strategy; Hydrogen energy storage and economy; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-09","","","Housing Quality and Process Innovation","","",""
"uuid:f270ae7b-8d12-4736-b550-3eb9c99e14e7","http://resolver.tudelft.nl/uuid:f270ae7b-8d12-4736-b550-3eb9c99e14e7","Differences in the ageing behavior of asphalt pavements with porous and stone mastic asphalt mixtures","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University); Erkens, S. (TU Delft Pavement Engineering)","","2021","The degradation of bituminous materials as a result of ageing has a significant effect on asphalt pavement performance. In this study, one porous asphalt (PA) section and one stone mastic asphalt (SMA) asphalt pavement section were designed and constructed in 2014 and exposed to the actual environmental condition. To study the change in the pavement’s mechanical properties, asphalt cores were collected from both test sections annually. The change in stiffness modulus was determined via cyclic indirect tensile tests. To investigate the ageing behavior across the pavement depth, the bitumen was extracted and recovered from 13 mm slices along the depths of the cores. The chemical composition and rheological properties of the field-recovered bitumen, and that of original bitumen aged in standard short-and long-term ageing protocols, were investigated by means of the Fourier Transform Infrared (FTIR) spectrometer and Dynamic Shear Rheometer. The results show that the effect of mineral aggregate packing, and therefore of air-void distribution and connectivity, on the ageing sensitivity of the pavements with time was significant, as the changes in the stiffness of the PA mixture were greater than that of SMA mixture. In addition, the results of field-recovered bitumen show that there is an ageing gradient inside the porous asphalt layer, however, the ageing of SMA mainly happens on the surface of the layer. Finally, the field-recovered and laboratory-aged bitumen results demonstrate a weak relation between field and standard laboratory ageing protocols.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:7a9849c6-522c-4922-a0ba-f5ca7214f69c","http://resolver.tudelft.nl/uuid:7a9849c6-522c-4922-a0ba-f5ca7214f69c","Supplementary control based on current source coupling for improving dynamic characteristics of active distribution network","Hou, Jue (Huazhong University of Science and Technology); Liu, Zhou (Aalborg University); Wang, Shaorong (Huazhong University of Science and Technology); Chen, Zhe (Aalborg University); Xie, Wei (The State Grid Shanghai Municipal Electric Power Company); Fang, Cheng (The State Grid Shanghai Municipal Electric Power Company); Wei, Xinchi (The State Grid Shanghai Municipal Electric Power Company); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2022","Supplementary control (SC) technology is widely leveraged by power supply companies in active distribution networks (ADNs) to improve their stability and dynamic characteristics. Yet, the existed SCs are generally implemented from inside the converter controllers of distributed generators (DGs) or active loads, so there is a need to redesign the internal physical structure of the existing controller, resulting in the increasing work amount of assembling and workability. This paper studies the specific R & D process of a novel external coupling type SC (ECSC), which is based upon current source injection (CSI-ECSC) for improving the dynamic characteristics of ADN. The SC current signals are coupled to the current sampling loop from outside the converter controller. And the employment of the existing current sample makes it unnecessary to redesign the internal physical structure of the existing controller. As a result, the SC assembling is simplified and its workability is improved. In this paper, a detailed exemplary ADN with direct-drive permanent magnet synchronous generator (PMSG) is firstly set up in math for full eigenvalue analysis. Then, the CSI-ECSC is designed with its control loop, interface circuit, and parameter setting. Furthermore, by using PSCAD/EMTDC, groups of case studies are conducted in ADNs where photovoltaics (PVs) and energy storage (ES) are included. Finally, the real-time hardware-in-the-loop (HIL) testing validates the functionality of the realized CSI-ECSC in RTDS.","Active distribution network; Converter controller; Eigenvalue analysis; Hardware in the loop; RTDS; Supplementary control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-03-03","","","Intelligent Electrical Power Grids","","",""
"uuid:8943f59e-c723-4772-abc0-8394fdf1eb1e","http://resolver.tudelft.nl/uuid:8943f59e-c723-4772-abc0-8394fdf1eb1e","The collaborative autonomous shipping experiment (Case): Motivations, theory, infrastructure, and experimental challenges","Haseltalab, A. (TU Delft Transport Engineering and Logistics); Garofano, V. (TU Delft Transport Engineering and Logistics); Afzal, Muhammad Raheel (Katholieke Universiteit Leuven); Faggioni, Nicoló (University of Genova); Li, Shijie (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Ma, Feng (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Martelli, Michele (University of Genova); Singh, Yogang (Katholieke Universiteit Leuven); Slaets, Peter (Katholieke Universiteit Leuven); You, Xu (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2020","The future autonomous ships will be operating in an environment where different autonomous and non-autonomous vessels with different characteristics exist. These vessels are owned by different parties and each uses its owned unique approaches for guidance and navigation. The Collaborative Autonomous Shipping Experiment (CASE) aims at emulating such an environment and also stimulating the move of automatic ship control algorithms towards practice by bringing together different institutes researching on autonomous vessels under an umbrella to experiment with collective sailing in inland waterways. In this paper, the experiments of CASE 2020 are explained, the characteristics of different participating vessels are discussed and some of the control and perception algorithms that are planned to be used at CASE 2020 are presented. CASE 2020 will be held in parallel to iSCSS 2020 at Delft University of Technology, the Netherlands.","Collaborative Shipping; Model Vessels. Autonomous Shipping; Obstacle Avoidance; Path Planning; Trajectory Tracking","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:6379b6bf-c351-4921-aafd-29b027dcc7b7","http://resolver.tudelft.nl/uuid:6379b6bf-c351-4921-aafd-29b027dcc7b7","Ca-modified Al–Mg–Sc alloy with high strength at elevated temperatures due to a hierarchical microstructure","Du, Haiquan (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Zhang, Shasha (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Zhang, Bingyi (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Tao, Xuewei (Nanjing Institute of Technology); Yao, Zhengjun (Nanjing University of Aeronautics and Astronautics; Ministry of Industry and Information Technology); Belov, Nikolay (National University of Science and Technology MISiS); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Liu, Zili (Nanjing University of Aeronautics and Astronautics)","","2021","Al-Mg alloys are normally prone to lose part of their yield and tensile strength at high temperatures due to insufficient thermal stability of the microstructure. Here, we present a Ca-modified Al–Mg–Sc alloy demonstrating high strength at elevated temperatures. The microstructure contains Al4Ca phases distributed as a network along the grain boundary and Al3(Sc,Zr) nano-particles dispersed within the grains. The microstructure evolution and age-hardening analysis indicate that the combination of an Al4Ca network and Sc-rich nano-particles leads to excellent thermal stability even upon aging at 300 °C. The tensile strength of the alloy for temperatures up to 250 °C is significantly improved by an aging treatment and is comparable with the commercial heat-resistant aluminum alloys, i.e., A356 and A319. At a high temperature of 300 °C, the tensile strength is superior to the above-mentioned commercial alloys, even more so when expressed as the specific strength due to the low density of Ca-modified Al–Mg–Sc alloy. The excellent high-temperature strength results from a synergistic effect of solid solution strengthening, grain boundary strengthening and nanoparticle order strengthening.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-10","","","Novel Aerospace Materials","","",""
"uuid:df1b19c7-cbc2-49db-8486-3af7144c1afa","http://resolver.tudelft.nl/uuid:df1b19c7-cbc2-49db-8486-3af7144c1afa","Floating offshore wind turbine fault diagnosis via regularized dynamic canonical correlation and fisher discriminant analysis","Wu, Ping (Zhejiang Institute of Meteorological Sciences); Liu, Y. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Over the past decades, Floating Offshore Wind Turbine (FOWT) has gained increasing attention in wind engineering due to the rapidly growing energy demands. However, difficulties in turbine maintenance will increase due to the harsh operational conditions. Fault diagnosis techniques play a crucial role to enhance the reliability of FOWTs and reduce the cost of offshore wind energy. In this paper, a novel data-driven fault diagnosis method using regularized dynamic canonical correlation analysis (RDCCA) and Fisher discriminant analysis (FDA) is proposed for FOWTs. Specifically, to overcome the collinearity problem that exists in measured process data, dynamic canonical correlation analysis with a regularization scheme, is developed to exploit the relationship between input and output signals. Then, the residual signals are generated from the established RDCCA model for fault detection. To further classify the fault type, an FDA model is trained from the residual signals of different training faulty data sets. Simulations on a FOWT baseline model based on the widely used National Renewable Energy Laboratory FAST simulator are carried out to demonstrate the feasibility and efficacy of the proposed fault detection and classification method. Results have shown many salient features of the proposed method with potential applications in FOWTs.","","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:bf74537e-8403-4ad4-85e6-830a5ac8e368","http://resolver.tudelft.nl/uuid:bf74537e-8403-4ad4-85e6-830a5ac8e368","What motivates stakeholders to engage in collaborative innovation in the infrastructure megaprojects?","Chen, Xiaoyan (Tongji University); He, Qinghua (Tongji University); Zhang, Xinyue (Tongji University); Cao, Tingting (Tianjin University of Technology); Liu, Y. (TU Delft Integral Design & Management)","","2021","Collaborative innovation has become an innovation paradigm to improve innovation performance and firms’ economic output. However, there is relatively little research investigating stakeholders’ drivers for engaging in collaborative innovation and the relative importance of drivers in infrastructure megaprojects. This research aims to address this gap by identifying the drivers for collaborative innovation and their relative importance in the context of infrastructure megaprojects. We adopt the literature review and questionnaire survey methods to identify drivers, the Relative Importance Index to rank them, and exploratory factor analysis to group them. The results show that 18 drivers are grouped into 6 dimensions. Their rankings are as follows: responding to project and clients’ requirements, improving efficiency, gaining rewards, learning, responding to competition, responding to environmental changes. The research contributes to stake-holders’ drivers to engage in collaborative innovation and the relative importance of drivers in the context of infrastructure megaprojects. Identifying and prioritizing stakeholders’ drivers can provide practitioners with suggestions on managing the collaborative innovation process in infrastructure megaprojects.","Collaborative innovation; Drivers; Exploratory factor analysis; Infrastructure megaproject; Principal component analysis; Relative importance; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:4073da34-6ded-4f2d-9d95-34585ba7eacd","http://resolver.tudelft.nl/uuid:4073da34-6ded-4f2d-9d95-34585ba7eacd","Mesh properties for rans simulations of airfoil-shaped profiles: A case study of rudder hydrodynamics","Lu, Suli (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Hekkenberg, R.G. (TU Delft Ship Design, Production and Operations)","","2021","A good mesh is a prerequisite for achieving reliable results from Computational Fluid Dynamics (CFD) calculations. Mesh properties include mesh types, computational domain sizes, and node distributions. However, in literature, we found no clear consensus about what these properties should be. In this article, we performed a case study on ship rudders to determine what the suitable mesh properties are for airfoil-shaped profiles. A classic NACA 0012 profile is chosen as an example, and commercial packages ANSYS ICEM are applied for meshing with an ANSYS Fluent solver. With a strategy in consideration of relationships among different mesh properties, a comprehensive parametric investigation is conducted to study the impacts of these properties on the accuracy of rudder hydrodynamic coefficients obtained by CFD methods. The step-by-step study outputs recommended Reynolds numbers, domain sizes, and near-and far-field node distributions for mesh types with distinct topology structures, i.e., C-mesh, O-mesh, H-mesh, and Hybrid-mesh. Specifically, the study shows that a critical Reynolds number is needed for the perspective of efficiency, while a domain extending 60 times of the chord length enables the boundary effects to be negligible. As for node distributions, the near-field nodes should be treated carefully, compared with those in the far-field. After that, corresponding mesh properties for different calculation objectives are illustrated in detail based on the characteristics of mesh types mentioned above. With the proposed strategy for mesh refinements, impacts of different mesh properties on rudder hydrodynamics are clarified and recommended settings are applicable for other airfoil-shaped profiles such as wind turbines and marine propellers.","Computational fluid dynamics; Mesh independence; Mesh properties; Rudder hydrodynamics","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:025d26d9-fc7a-4b35-8f17-7ed5092691dc","http://resolver.tudelft.nl/uuid:025d26d9-fc7a-4b35-8f17-7ed5092691dc","High dielectric barium titanate porous scaffold for efficient Li metal cycling in anode-free cells","Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Liu, M. (TU Delft Team Arjan Mol); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Ooms, F.G.B. (TU Delft RST/Technici Pool); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2021","Li metal batteries are being intensively investigated as a means to achieve higher energy density when compared with standard Li-ion batteries. However, the formation of dendritic and mossy Li metal microstructures at the negative electrode during stripping/plating cycles causes electrolyte decomposition and the formation of electronically disconnected Li metal particles. Here we investigate the use of a Cu current collector coated with a high dielectric BaTiO3 porous scaffold to suppress the electrical field gradients that cause morphological inhomogeneities during Li metal stripping/plating. Applying operando solid-state nuclear magnetic resonance measurements, we demonstrate that the high dielectric BaTiO3 porous scaffold promotes dense Li deposition, improves the average plating/stripping efficiency and extends the cycling life of the cell compared to both bare Cu and to a low dielectric scaffold material (i.e., Al2O3). We report electrochemical tests in full anode-free coin cells using a LiNi0.8Co0.1Mn0.1O2-based positive electrode and a LiPF6-based electrolyte to demonstrate the cycling efficiency of the BaTiO3-coated Cu electrode.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:4e7c06f2-ddd5-4061-8fea-a0ef090d43c3","http://resolver.tudelft.nl/uuid:4e7c06f2-ddd5-4061-8fea-a0ef090d43c3","A single-layer approach for joint optimization of traffic signals and cooperative vehicle trajectories at isolated intersections","Liu, M. (TU Delft Transport and Planning); Zhao, J. (TU Delft Transport and Planning; University of Shanghai for Science and Technology); Hoogendoorn, S.P. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning)","","2022","A joint control approach that simultaneously optimizes traffic signals and trajectories of cooperative (automated) vehicle platooning at urban intersections is presented in this paper. In the proposed approach, the signal phase lengths and the accelerations of the controlled platoons are optimized to maximize comfort and minimize travel delay within the signal cycle, subject to motion constraints on speeds, accelerations and safe following gaps. The red phases are initially considered as logic constraints, and then recast as several linear constraints to enable efficient solutions. The proposed approach is solved by mixed integer linear programming (MILP) techniques after linearization of the objective function. The generated outputs of the MILP problem are the optimal signal timings and the optimal accelerations of all vehicles. This joint control approach is flexible in incorporating multiple platoons and traffic movements under different traffic demand levels and it does not require prespecified terminal conditions on position and speed at the signal cycle tail. The performance of the proposed control approach is verified by simulation at a standard four-arm intersection under the balanced and unbalanced vehicle arrival rates from different arms, taking the released traffic movement numbers, turning proportions, signal cycle lengths and the controlled vehicle numbers into account. The simulation results demonstrate the platoon performance of the joint controller (such as split, merge, acceleration and deceleration maneuvers) under the optimal signals. Based on the simulation results, the optimal patterns of trajectories and signals are explored, which provide insights into the optimal traffic control actions at intersections in a cooperative vehicle environment. Furthermore, the computational performance of the proposed control approach is analyzed, and the benefits of the proposed approach on the average travel delay, throughput, fuel consumption, and emission are proved by comparing with the two-layer approaches using the car following model, the signal optimization models, and the state-of-the-art approach.","Cooperative vehicles; Signal optimization; Trajectory planning; Urban intersections","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:f287c369-1e1a-4022-bf91-0a6c4c492757","http://resolver.tudelft.nl/uuid:f287c369-1e1a-4022-bf91-0a6c4c492757","Investigation of the hydration properties of cement with EDTA by alternative current impedance spectroscopy","Chi, Lin (University of Shanghai for Science and Technology; Harbin Institute of Technology); Li, Wenda (Harbin Institute of Technology); Li, Z. (TU Delft Materials and Environment); Wang, Zheng (Harbin Institute of Technology); Lu, Shuang (Harbin Institute of Technology); Liu, Qi (Harbin Institute of Technology)","","2022","Alternative current impedance spectroscopy (ACIS) is a promising non-destructive testing method to monitor long-term change and assess the durability of concrete. This study investigates the influences of Ethylene Diamine Tetraacetic Acid (EDTA) on the hydration of hardening cement by ACIS. It is found that EDTA retards the early-age hydration of cement but can facilitate the later age reaction. Pastes with EDTA show comparable or higher compressive strength than Control at 28 d, especially when the dosage is higher than 0.4%. Microstructural characterization results reveal the working mechanism of EDTA originating from its complexing effect on free ions. The resistivity evolution of the pastes detected by ACIS can well reflect the effects of EDTA on the cement hydration in different ages. Proportional relations are identified between the resistivity and other hydration parameters, such as reaction degree, chemical shrinkage, compressive strength. The results of this study indicate a wider prospect of ACIS in monitoring the microstructure evolution and macro-properties of cementitious materials.","Cement hydration; EDTA; Impedance; Microstructure; Non-destructive testing","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0e2facef-0d4f-4a07-bf19-6f9887ffb9cd","http://resolver.tudelft.nl/uuid:0e2facef-0d4f-4a07-bf19-6f9887ffb9cd","Chinese international process safety research: Collaborations, research trends, and intellectual basis","Li, Jie (Chinese Academy of Sciences; Beijing Institute of Technology); Goerlandt, F.M.B. (TU Delft Ship Design, Production and Operations; Dalhousie University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Feng, Changgen (Beijing Institute of Technology); Liu, Yi (China University of Petroleum (East China))","","2022","This article presents a bibliometric analysis and mapping of the Chinese process safety research, focusing on the contributions made in core process safety journals and on the influences of international collaborations and knowledge sources on the developments of this research domain. Collaboration networks, term co-occurrence networks, and co-citation network were analyzed to identify trends, patterns, and the knowledge distribution of the Chinese research on process safety. Work to data has been clustered mainly on safety of chemical processes, fire and explosion, and risk management and accidents. Chinese research contributions are concentrated in only few journals, while the corresponding intellectual base draws on the wider literature focused on understanding and modeling phenomena, and on the broader risk research literature, although to a lesser extent. While various foreign authors are highly cited by Chinese authors, only very few direct collaborations with international scholars are identified. The results are used as a basis for a discussion on future research directions and developments for the community. Increased focus on uncertainty treatment and handling of black swan events, risk evaluation and economic aspects of safety decisions, interorganizational risk management, road and maritime transport of hazardous substances, risk perception and communication, and integrated safety and security assessment, are highlighted as fruitful directions for future scholarship. It is hoped that the insights obtained from this work can facilitate new and consolidated collaborations, as well as further invigorate the Chinese process safety domain, ultimately contributing to improved safety performance of process industries in China and elsewhere.","Bibliometric mapping; Bibliometrics; Chinese process safety research; Process safety; Scientific collaboration; Scientometrics","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:34d947cb-e2ef-4030-9b9e-74f0d5f25458","http://resolver.tudelft.nl/uuid:34d947cb-e2ef-4030-9b9e-74f0d5f25458","An inter-ply friction model for thermoset based fibre metal laminate in a hot-pressing process","Liu, S. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","","2021","Forming process with pre-stacked and uncured thermoset fibre metal laminate offers improved deformability compared to full-cured laminate especially for the production of complex structural components. This work investigated the friction behaviour at the metal-prepreg interface of glass fibre reinforced aluminium laminate through an inter-ply friction test. The influence of sliding velocity, normal force, fibre orientation and resin viscosity coupled with temperature on static and kinetic friction coefficients were studied. The kinetic friction behavior in the transition region between mixed and hydrodynamic lubrication, showed a good agreement with the Stribeck-curve theory. While for the static friction, a modified Coulomb friction model was found to fit the experimental results. These models were translated into a phenomenological inter-ply friction model which was incorporated into Abaqus/Explicit as a user-defined friction subroutine for verification. The findings contribute to the development of the forming process with fibre metal laminates.","Hot-pressing; Inter-ply friction; Laminated composites; Resin viscosity","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:2063cfc2-0449-4685-994c-aa6bc0c1dd8e","http://resolver.tudelft.nl/uuid:2063cfc2-0449-4685-994c-aa6bc0c1dd8e","Gamma Radiation Induced Contraction of Alkyne Modified Polymer Hydrogels","Breve, T. (TU Delft ChemE/Advanced Soft Matter); Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2021","Gamma radiation triggered secondary crosslinking of dextran hydrogels leads to macroscopic hydrogel contraction. The authors use stable polymer hydrogels, prepared through azide-alkyne crosslinking, containing surplus alkyne groups. γ-irradiation of these gels leads to more alkyne crosslinking, enabling controlled increase of crosslink density, which in turn leads to an increase of hydrogel stiffness and macroscopic hydrogel contraction. Gel contraction scales linearly with the applied radiation dose. The same mechanism is applied to achieve γ-radiation triggered release of the small molecule cargo, akin to wringing out a sponge. γ-irradiation of touching hydrogel objects leads to gel fusion and the formation of a self-supporting gel connection, demonstrating the reactivity of the excess alkyne groups. They envision applications in gel gluing and the construction of complex gel architectures, as well as in responsive materials for controlled release.","controlled release; gamma radiation; hydrogel contraction; hydrogel fusion","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:88ef1429-ddc4-437e-a3ae-dc9de0e2a7c2","http://resolver.tudelft.nl/uuid:88ef1429-ddc4-437e-a3ae-dc9de0e2a7c2","Deep learning–based nondestructive evaluation of reinforcement bars using ground-penetrating radar and electromagnetic induction data","Li, Xiaofeng (China University of Geosciences, Wuhan); Liu, Hai (Guangzhou University); Zhou, Feng (China University of Geosciences, Wuhan); Chen, Zhongchang (China University of Geosciences, Wuhan); Giannakis, Iraklis (University of Aberdeen); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2021","This paper proposes a nondestructive evaluation method based on deep learning using combined ground-penetrating radar (GPR) and electromagnetic induction (EMI) data for autonomic and accurate estimation of the cover thickness and diameter of reinforcement bars. A real-time object detection algorithm—You Only Look Once–version 3 (YOLO v3)—is adopted to automatically identify the reinforcement bar reflected signals from radargrams, with which the range of the cover thickness is roughly predicted. Subsequently, EMI data, accompanied with the cover thickness range, are imported to a one-dimensional convolutional neural network (1D CNN), pretrained by calibrated EMI and GPR data, to simultaneously estimate the cover thickness and reinforcement bar diameter. Testing with the on-site GPR data shows that YOLO v3 is superior to Single Shot Multibox Detector method in GPR hyperbolic signal identification. Testing of 1D CNN with the EMI and GPR data collected in an in-house sand pit experiment shows that the estimation accuracy of the cover thickness and reinforcement bar diameter is, respectively, 96.8% and 90.3% with a permissible error of 1 mm. Further, an experiment with concrete specimens demonstrates that among the 22 estimated values (including the reinforcement bar diameter and cover thickness), there are 17 values accurately estimated, while the inaccurately estimated values have an error up to 2 mm. The experimental results show that the proposed method can autonomically evaluate the reinforcement bar diameter and cover thickness with a high accuracy.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-26","","","Applied Geophysics and Petrophysics","","",""
"uuid:443096b5-9c11-43a6-bff5-b96464ee5ab1","http://resolver.tudelft.nl/uuid:443096b5-9c11-43a6-bff5-b96464ee5ab1","Dissolution Dynamics and Accumulation of Ag Nanoparticles in a Microcosm Consisting of a Soil-Lettuce-Rhizosphere Bacterial Community","Wu, Juan (Universiteit Leiden); Zhai, Y. (Universiteit Leiden); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Science); Bosker, Thijs (Universiteit Leiden); Vijver, Martina G. (Universiteit Leiden); Peijnenburg, Willie J.G.M. (Universiteit Leiden; Rijksinstituut voor Volksgezondheid en Milieu (RIVM))","","2021","Assessment of chronic impact of metallic nanoparticles (NPs) in soil ecosystems is a necessity for ensuring safe and sustainable application. NPs affect plants and their associated microbial life, while the plants and their associated microbiota affect the NPs' fate. Here, we measured the available Ag pool (determined as diethylenetriaminepentaacetic acid-extractable Ag) in AgNP-amended sandy loam soil (1, 10, and 50 mg Ag per kg of soil) over a period of 63 d with and without lettuce. The associated impacts on soil pH, Ag accumulation in lettuce, and the responses of the rhizosphere bacterial community were determined. We found that the addition of AgNPs significantly increased the soil pH from 7.70 to 7.87 after a short-term (7 d) incubation. Noteworthily, the extractability of Ag in AgNP-amended soil was concentration-dependent and changed over time because of their continuous dissolution and uptake by plants. Ag uptake and upward translocation in lettuce positively correlated with the extractable Ag content in soil. Furthermore, a long-term (63 d) exposure to 50 mg/kg of AgNPs altered the structure and composition of the rhizosphere bacterial community potentially by regulation of bacterial groups associated with element (e.g., N and S) cycling and stress tolerance. In conclusion, our results demonstrated that the dynamic dissolution of AgNPs in sandy loam soil plays an important role in influencing the overall Ag bioavailability of the NPs in plants. The enhanced effects of AgNPs on the alterations in the rhizosphere bacterial community highlight that the long time-resolved dynamics of NP exposure should be taken into consideration for accurate ecological risk assessment of NPs in the soil ecosystem.","agrochemical; bioavailability; plant; rhizosphere soil bacteria; silver nanoparticles","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6b4139a6-60a8-4d03-9e4a-706a2f3f2ed8","http://resolver.tudelft.nl/uuid:6b4139a6-60a8-4d03-9e4a-706a2f3f2ed8","3D printed submicron patterns orchestrate the response of macrophages","Nouri Goushki, M. (TU Delft Biomaterials & Tissue Biomechanics); Isaakidou, A. (TU Delft Biomaterials & Tissue Biomechanics); Eijkel, B.I.M. (TU Delft Biomaterials & Tissue Biomechanics); Minneboo, M.B. (TU Delft Biomaterials & Tissue Biomechanics); Liu, Q. (TU Delft ChemE/Product and Process Engineering); Boukany, P. (TU Delft ChemE/Product and Process Engineering); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2021","The surface topography of engineered extracellular matrices is one of the most important physical cues regulating the phenotypic polarization of macrophages. However, not much is known about the ways through which submicron (i.e., 100-1000 nm) topographies modulate the polarization of macrophages. In the context of bone tissue regeneration, it is well established that this range of topographies stimulates the osteogenic differentiation of stem cells. Since the immune response affects the bone tissue regeneration process, the immunomodulatory consequences of submicron patterns should be studied prior to their clinical application. Here, we 3D printed submicron pillars (using two-photon polymerization technique) with different heights and interspacings to perform the first ever systematic study of such effects. Among the studied patterns, the highest degree of elongation was observed for the cells cultured on those with the tallest and densest pillars. After 3 days of culture with inflammatory stimuli (LPS/IFN-γ), sparsely decorated surfaces inhibited the expression of the pro-inflammatory cellular marker CCR7 as compared to day 1 and to the other patterns. Furthermore, sufficiently tall pillars polarized the M1 macrophages towards a pro-healing (M2) phenotype, as suggested by the expression of CD206 within the first 3 days. As some of the studied patterns are known to be osteogenic, the osteoimmunomodulatory capacity of the patterns should be further studied to optimize their bone tissue regeneration performance.","","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:e0a241ab-06e8-4c14-b937-4c98c48893ed","http://resolver.tudelft.nl/uuid:e0a241ab-06e8-4c14-b937-4c98c48893ed","Improved parameterization of snow albedo in Noah coupled with Weather Research and Forecasting: Applicability to snow estimates for the Tibetan Plateau","Liu, Lian (Chinese Academy of Sciences; Land-Atmosphere Interaction and Its Climatic Effects Group); Ma, Yaoming (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth Sciences; University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Su, Rongmingzhu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yao, Nan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ma, Weiqiang (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth Sciences; University of Chinese Academy of Sciences)","","2021","Snow albedo is important to the land surface energy balance and to the water cycle. During snowfall and subsequent snowmelt, snow albedo is usually parameterized as functions of snow-related variables in land surface models. However, the default snow albedo scheme in the widely used Noah land surface model shows evident shortcomings in land-atmosphere interaction estimates during snow events on the Tibetan Plateau. Here, we demonstrate that our improved snow albedo scheme performs well after including snow depth as an additional factor. By coupling the Weather Research and Forecasting (WRF) and Noah models, this study comprehensively evaluates the performance of the improved snow albedo scheme in simulating eight snow events on the Tibetan Plateau. The modeling results are compared with WRF run with the default Noah scheme and in situ observations. The improved snow albedo scheme significantly outperforms the default Noah scheme in relation to air temperature, albedo and sensible heat flux estimates by alleviating cold bias estimates, albedo overestimates and sensible heat flux underestimates, respectively. This in turn contributes to more accurate reproductions of snow event evolution. The averaged root mean square error (RMSE) relative reductions (and relative increase in correlation coefficients) for air temperature, albedo, sensible heat flux and snow depth reach 27% (5%), 32% (69%), 13% (17%) and 21% (108%), respectively. These results demonstrate the strong potential of our improved snow albedo parameterization scheme for snow event simulations on the Tibetan Plateau. Our study provides a theoretical reference for researchers committed to further improving the snow albedo parameterization scheme.","","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:b051afbc-2c6a-4270-be2e-513f0cb14991","http://resolver.tudelft.nl/uuid:b051afbc-2c6a-4270-be2e-513f0cb14991","Dynamic wind turbine wake reconstruction: A Koopman-linear flow estimator","Chen, Z. (TU Delft Team Jan-Willem van Wingerden; North China Electric Power University); Lin, Zhongwei (North China Electric Power University); Zhai, Xiaoya (University of Science and Technology of China); Liu, Jizhen (North China Electric Power University)","","2022","A challenging topic arising in dynamic wind turbine wake is modeling, especially the low-order approximation. The central problem is the fact that it has high-dimensional and nonlinear wake characteristics. In this paper, a Koopman-linear flow estimator is designed according to the Koopman operator theory. Different from the conventional flow reconstruction with the linear stochastic estimation method, a dynamic state-space model with physical states is constructed. The wake dynamics are approximated using a limited number of measurable physical parameters by the dynamic part; then, the full wake flow is reconstructed from the low-order states by the estimation part. The flow estimator is designed into three different forms following Extended Dynamic Mode Decomposition (EDMD) method. Each form has its unique advantages. Precisely, probe sensors are placed in the studied space and provide direct information of the wake, and a few in-directly physical parameters are also included. Nonlinear integer programming is further adopted using a heuristic optimization algorithm, by which the sensor configurations are optimized. Comparisons with the standard Dynamic Mode Decomposition (DMD)-based wake model are adopted in time domain and frequency domain to verify the effectiveness of the proposed flow estimators. The results show acceptable accuracy in typical modeling cases and maintain good estimation accuracy when the measurement noises are involved. Finally, the proposed Koopman-linear flow estimator is compared with related stochastic estimation methods, in which the connections of the proposed estimator with stochastic ones are also discussed.","Extended dynamic mode decomposition; Flow reconstruction; Koopman operator; Wake effect; Wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Jan-Willem van Wingerden","","",""
"uuid:02389430-ffdb-4979-8337-74f2519124b1","http://resolver.tudelft.nl/uuid:02389430-ffdb-4979-8337-74f2519124b1","Improved catalyst formulations for the conversion of glycerol to bio-based aromatics","He, Songbo (Rijksuniversiteit Groningen); Kramer, Thomas Sjouke (Rijksuniversiteit Groningen); Klein, Frederike Gerda Hiltje (Rijksuniversiteit Groningen); Chandel, Anshu (Rijksuniversiteit Groningen); Tegudeer, Zhuorigebatu (Rijksuniversiteit Groningen); Heeres, Andre (Hanze Hogeschool Groningen); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Heeres, Hero Jan (Rijksuniversiteit Groningen)","","2022","The catalytic conversion of glycerol to aromatics (GTA, e.g., benzene, toluene, and xylenes, BTX) over a shaped H-ZSM-5/Al2O3 (60/40 wt%) catalyst was investigated in a continuous fixed-bed reactor to study the addition of the Al2O3 binder in the catalyst formulation on catalyst performance. The experiments were performed under N2 at 550 °C, a WHSV of glycerol (pure) of 1 h−1, and atmospheric pressure. The spent H-ZSM-5/Al2O3 catalysts were reused after an oxidative regeneration at 680 °C and in total 5 reaction-regeneration cycles were performed. Catalyst characterization studies show that the addition of the Al2O3 binder does not affect the surface area and crystallinity of the formulation, but increases the total pore volume (mesopores in particular) and total acidity (Lewis acidity in particular). The H-ZSM-5/Al2O3 (60/40 wt%) catalyst shows a considerably prolonged catalyst life-time (8.5 vs. 6.5 h for H-ZSM-5), resulting in a significant increase in the total BTX productivity (710 vs. 556 mg g−1 H-ZSM-5). Besides, the addition of the Al2O3 binder retards irreversible deactivation. For instance, after 3 regenerations, catalyst performance is comparable to the fresh one. However, after 4 regenerations, some irreversible catalyst deactivation occurs, associated with a reduction in total pore volume, crystallinity, and acidity (Brønsted acidity in particular), and meso-porosity of the Al2O3 binder. This study shows that both the stability and reusability of H-ZSM-5-based catalysts for GTA are remarkably enhanced when using a suitable binder.","Binder; Bio-based chemicals; BTX; Catalytic pyrolysis; ZSM-5","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:3ba5d1d8-8d3f-46d9-800d-be016e7cbfd7","http://resolver.tudelft.nl/uuid:3ba5d1d8-8d3f-46d9-800d-be016e7cbfd7","Microbial community assembly and metabolic function in top layers of slow sand filters for drinking water production","Chen, L. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Zhai, Y. (TU Delft BT/Environmental Biotechnology; University of Twente; Oasen); van der Mark, Ed (Dunea); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Meer, Walter (University of Twente; Oasen); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University)","","2021","Slow sand filters (SSFs) are widely applied to treat potable water; the removal of contaminants (e.g., particles, organic matter, and microorganism) occurs primarily in the top layer. However, the development of the microbial community and its metabolic function is still poorly understood. In the present study, we analyzed the microbial quantity and community of the influents sampled from the effluent of the last step (rapid sand filtration) and of the top layers of SSFs (Schmutzdecke, 0–2 cm, 4–6 cm, 8–10 cm) sampled near terminal head loss when the Schmutzdecke (SCM) was most developed in two full-scale drinking water treatment plants (DWTPs). The two DWTPs use the same artificially recharged groundwater source. The biomass in the filter, quantified by flow cytometric intact cell counts (ICC) and adenosine triphosphate (ATP), decreased rapidly along the depth till 8–10 cm (>1 log TCC; >75% ATP); the decrease was most pronounced from the SCM to the surface sand layer (0–2 cm), after which the biomass stabilized quickly at lower depths (2–10 cm). Remarkably, beta diversity showed that SSFs layers of the same depth in two DWTPs with distinctive filter age and plant location clustered together, which indicated their insignificant effects in shaping microbial communities in SSFs. The alpha diversity indices followed the trend of the biomass, suggesting more active and diverse communities in SCM layer. PICRUSt-based function prediction revealed significant over-representation of metabolism and degradation of complex organic matters (e.g., butanoate, propanoate, xenobiotic, D-Alanine, chloroalkene, and bisphenol) in SCM layer, the functional importance of which was confirmed by the co-occurrence patterns of the dominant taxa and metabolic functions. Using an island biogeography model, we found that microbial communities in SSFs were strongly assembled by selection (68 OTUs, 50.0% sequences), rather than by simple accumulation of the microbial communities in the influents (120 OTUs, 44.8% sequences). Our findings enhance the understanding of microbial community assembly and of metabolic function in the top layers of SSFs, and constitute a valuable contribution to optimizing the design and operation of biofilters in full-scale DWTPs.","Microbial community assembly; Neutral community model; Predicted metabolic function; Schmutzdecke; Slow sand filters; Top layers","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:03087d00-59e0-42b2-8ff3-32047feb5c89","http://resolver.tudelft.nl/uuid:03087d00-59e0-42b2-8ff3-32047feb5c89","Thermal aging behaviors of the waste tire rubber used in bitumen modification","Wang, H. (TU Delft Pavement Engineering; The Hong Kong Polytechnic University); Liu, X. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Zhang, H. (TU Delft Materials and Environment; Shandong University); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Leng, Zhen (The Hong Kong Polytechnic University)","","2021","Considering the application scenarios of rubber granules from waste tires in the bitumen modification process (wet or dry process), both aerobic and anaerobic aging of rubber may occur. The current study aims to investigate the thermal aging behavior of waste tire rubber samples using nanoindentation and environment scanning electron microscopy (ESEM) tests. Both aerobic and anaerobic aging tests with different durations were conducted on rubber samples. The complex moduli of aged rubber samples were measured by nanoindentation tests. The surface morphology and elemental composition of aged samples were obtained by ESEM tests together with the energy dispersive X-ray analysis. Results have shown that for both aerobic and anaerobic aging, the equilibrium modulus derived from the complex modulus curve first increases and then decreases with aging time. However, the time needed for the aerobically aged sample to reach the maximum equilibrium modulus is shorter than the anaerobic case. Aging results in crack propagation and an increase of sulfur content on the rubber surface until it reaches the peak. The degree of crosslinking reflected by sulfur content for anaerobic aging is higher than aerobic aging. The morphological change and elemental change of rubber correlate well with the change of mechanical properties. The aging of rubber from the waste truck tire at 180°C can generally be separated into two stages: crosslinking dominant stage and chain scission dominant stage.","EDX; ESEM; nanoindentation; thermal aging; viscoelasticity; Waste tire rubber","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:51e37e75-97d2-423a-ac92-03d8c2f22cab","http://resolver.tudelft.nl/uuid:51e37e75-97d2-423a-ac92-03d8c2f22cab","Positive energy districts: Identifying challenges and interdependencies","Krangsås, Savis Gohari (Norwegian University of Science and Technology (NTNU)); Steemers, Koen (University of Cambridge); Konstantinou, T. (TU Delft Building Product Innovation); Soutullo, Silvia (CIEMAT); Liu, Mingming (DCU); Giancola, Emanuela (CIEMAT); Prebreza, Bahri (University of Pristina); Ashrafian, Touraj (Özyeğin University); Murauskaitė, Lina (Lithuanian Energy Institute); Maas, Nienke (TNO)","","2021","Positive Energy Districts (PED) are areas within cities that generate more renewable energy than they consume, contributing to cities’ energy system transformation toward carbon neutrality. Since PED is a novel concept, the implementation is very challenging. Within the European Cooperation in Science and Technology (COST) Action, which offers an open space for collaboration among scientists across Europe (and beyond), this paper asks what the needs for supporting the implementation of PEDs are. To answer this, it draws on Delphi process (expert reviews) as the main method alongside the literature review and also uses surveys as supplementary methods to identify the main challenges for developing PEDs. Initial findings reveal seven interacting topics that later were ranked as highest to the lowest as the following: governance, incentive, social, process, market, technology and context. These are interrelated and interdependent, implying that none can be considered in isolation of the others and cannot be left out in order to ensure the successful development of PEDs. The resources that are needed to address these challenges are a common need for systematic understanding of the processes behind them, as well as cross-disciplinary models and protocols to manage the complexity of developing PEDs. The results can be the basis for devising the conceptual framework on the development of new PED guides and tools.","Challenges; Collaboration; Cost action; Governance; Market; Needs; Participation; Positive energy district; Tools","en","journal article","","","","","","","","","","","Building Product Innovation","","",""
"uuid:e8aec5c1-a8fc-489d-877b-af1204a8f785","http://resolver.tudelft.nl/uuid:e8aec5c1-a8fc-489d-877b-af1204a8f785","An efficient nd-point data structure for querying flood risk","Liu, H. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Mao, B. (Changjiang River Scientific Research Institute (CRSRI)); Meijers, B.M. (TU Delft GIS Technologie); Thompson, R.","","2021","Governments use flood maps for city planning and disaster management to protect people and assets. Flood risk mapping projects carried out for these purposes generate a huge amount of modelling results. Previously, data submitted are highly condensed products such as typical flood inundation maps and tables for loss analysis. Original modelling results recording critical flood evolution processes are overlooked due to cumbersome management and analysis. This certainly has drawbacks: the ĝ€ static' maps impart few details about the flood; also, the data fails to address new requirements. This significantly confines the use of flood maps. Recent development of point cloud databases provides an opportunity to manage the whole set of modelling results. The databases can efficiently support all kinds of flood risk queries at finer scales. Using a case study from China, this paper demonstrates how a novel nD-PointCloud structure, HistSFC, improves flood risk querying. The result indicates that compared with conventional database solutions, HistSFC holds superior performance and better scalability. Besides, the specific optimizations made on HistSFC can facilitate the process further. All these indicate a promising solution for the next generation of flood maps.","Database; Flood mapping; Hydrology; nD point clouds; Space filling curve","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:576a2614-aa19-4524-b050-f32f632e80e5","http://resolver.tudelft.nl/uuid:576a2614-aa19-4524-b050-f32f632e80e5","Highly Water-Permeable Metal-Organic Framework MOF-303 Membranes for Desalination","Cong, Shenzhen (Tianjin University); Yuan, Ye (Tianjin University); Wang, Jixiao (Tianjin University); Wang, Zhi (Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Liu, X. (TU Delft ChemE/Catalysis Engineering; Tianjin University)","","2021","New membrane materials with excellent water permeability and high ion rejection are needed. Metal-organic frameworks (MOFs) are promising candidates by virtue of their diversity in chemistry and topology. In this work, continuous aluminum MOF-303 membranes were prepared on α-Al2O3 substrates via an in situ hydrothermal synthesis method. The membranes exhibit satisfying rejection of divalent ions (e.g., 93.5% for MgCl2 and 96.0% for Na2SO4) on the basis of a size-sieving and electrostatic-repulsion mechanism and unprecedented permeability (3.0 L·m-2·h-1·bar-1·μm). The water permeability outperforms typical zirconium MOF, zeolite, and commercial polymeric reverse osmosis and nanofiltration membranes. Additionally, the membrane material exhibits good stability and low production costs. These merits recommend MOF-303 as a next-generation membrane material for water softening.","","en","journal article","","","","","","Accepted Author Manuscript","","2022-11-23","","","ChemE/Catalysis Engineering","","",""
"uuid:2e19f216-68e1-4024-b1f3-37d747ed8dfc","http://resolver.tudelft.nl/uuid:2e19f216-68e1-4024-b1f3-37d747ed8dfc","High Stability of Methanol to Aromatic Conversion over Bimetallic Ca,Ga-Modified ZSM-5","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Khramenkova, E. (TU Delft ChemE/Inorganic Systems Engineering); Sireci, Enrico (Student TU Delft); Ouwehand, Lucas T.L.J. (Student TU Delft); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2022","The production of valuable aromatics and the rapid catalyst deactivation due to coking are intimately related in the zeolite-catalyzed aromatization reactions. Here, we demonstrate that these two processes can be decoupled by promoting the Ga/HZSM-5 aromatization catalyst with Ca. The resulting bimetallic catalysts combine high selectivity to light aromatics with extended catalyst lifetime in the methanol-to-aromatics process. Evaluation of the catalytic performance combined with detailed catalyst characterization suggests that the added Ca interacts with the Ga-LAS, with a strong effect on the aromatization processes. A genetic algorithm approach complemented by ab initio thermodynamic analysis is used to elucidate the possible structures of bimetallic extraframework species formed under reaction conditions. The promotion effect of minute amounts of Ca is attributed to the stabilization of the intra-zeolite extraframework gallium oxide clusters with moderated dehydrogenation activity.","bimetallic catalyst; catalyst deactivation; dehydrogenation; global optimization; methanol-to-aromatics","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a11611a0-11e8-454f-ac2a-73d15d6f23a4","http://resolver.tudelft.nl/uuid:a11611a0-11e8-454f-ac2a-73d15d6f23a4","Ship docking and undocking control with adaptive-mutation beetle swarm prediction algorithm","Wang, Le (Wuhan University of Technology); Li, Shijie (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Wu, Qing (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics; Wuhan University of Technology)","","2022","Autonomous docking and undocking control is an important part of intelligent ship motion control. In this study, the adaptive-mutation beetle swarm prediction (AMBS-P) algorithm is used to propose a control approach for autonomous docking and undocking. Firstly, this paper introduces the principle of the AMBS-P algorithm, then the convergence is proved. Secondly, the “Tito-Neri” model ship is introduced as a case study, and the thrust allocation process is described. Finally, the effect of docking and undocking is verified in multiple scenarios starting from different angles. In the verification, first of all, when designing the docking and undocking controllers, the correctness of the algorithm and the practicality of the control are verified by whether there is ship drag or not. Secondly, by analyzing the parameters of the algorithm, the optimal parameters of it are determined and verified in the real environment. Thirdly, compared with typical proportion–integral–derivative (PID) algorithm and nonlinear model predictive control (NMPC) algorithm, the AMBS-P algorithm has better results for autonomous docking and undocking control, no matter in long-distance or short-distance. The research shows that the AMBS-P algorithm has a fast response and good effect for the ship autonomous docking and undocking, and does not rely too much on the system model.","Docking; Intelligent optimization algorithm; Predictive control; Ship; Undocking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:0817df44-5800-4f89-ad86-8b1a9b72b419","http://resolver.tudelft.nl/uuid:0817df44-5800-4f89-ad86-8b1a9b72b419","A Series of Ternary Metal Chloride Superionic Conductors for High-Performance All-Solid-State Lithium Batteries","Liang, Jianwen (University of Western Ontario); van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy); Luo, Jing (University of Western Ontario); Li, Xiaona (University of Western Ontario); Chen, Ning (TU Delft Photovoltaic Materials and Devices; Canadian Ligth Source, Saskatoon); Adair, Keegan R. (University of Western Ontario); Li, Weihan (University of Western Ontario); Li, Junjie (University of Western Ontario); Hu, Yongfeng; Liu, Jue (Oak Ridge National Laboratory); Zhang, Li; Zhao, W. (TU Delft RST/Storage of Electrochemical Energy); Parnell, S.R. (TU Delft RID/TS/Instrumenten groep); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2022","Understanding the relationship between structure, ionic conductivity, and synthesis is the key to the development of superionic conductors. Here, a series of Li3-3xM1+xCl6 (−0.14 < x ≤ 0.5, M = Tb, Dy, Ho, Y, Er, Tm) solid electrolytes with orthorhombic and trigonal structures are reported. The orthorhombic phase of Li–M–Cl shows an approximately one order of magnitude increase in ionic conductivities when compared to their trigonal phase. Using the Li–Ho–Cl components as an example, their structures, phase transition, ionic conductivity, and electrochemical stability are studied. Molecular dynamics simulations reveal the facile diffusion in the z-direction in the orthorhombic structure, rationalizing the improved ionic conductivities. All-solid-state batteries of NMC811/Li2.73Ho1.09Cl6/In demonstrate excellent electrochemical performance at both 25 and −10 °C. As relevant to the vast number of isostructural halide electrolytes, the present structure control strategy guides the design of halide superionic conductors.","all-solid-state Li batteries; energy storage; halides; solid-state electrolytes; superionic conductors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:92c9b2ed-9d3d-4d52-b043-3e33c63b7bf2","http://resolver.tudelft.nl/uuid:92c9b2ed-9d3d-4d52-b043-3e33c63b7bf2","Improve the long-term property of heat-cured mortars blended with fly ash by internal curing","Liu, C. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Lu (Wuhan University of Technology); Li, Z. (TU Delft Materials and Environment); Nie, Shuai (Wuhan University of Technology); Hu, Chuanlin (Wuhan University of Technology); Wang, Fazhou (Wuhan University of Technology)","","2022","Due to the satisfactory property and high productivity, heat-cured concretes have been widely used in engineering practice. However, heat curing process also brings some drawbacks that are detrimental to the long-term property of this material. To address this issue, lightweight fine aggregate (LWFA) was employed to provide internal curing (IC) for a heat-cured mortar (HCM) blended with fly ash (FA). The influences of LWFA on the interior relative humidity of HCM and the reaction environment and behavior of FA were measured. It was found that IC of LWFA could mitigate the drop of interior humidity and enhance the reaction degrees of cement and FA. This contributed significantly to the microstructure densification of HCM, higher compressive strength and better resistance to chloride ion. The results indicate that LWFA benefits to enhancing the efficiency of FA in a heat curing system and the combination of LWFA and FA contribute to improving the long-term property of HCM.","fly ash; Heat-cured mortars; Internal curing; Lightweight fine aggregate; Reaction degree; Synergistic effect","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:876aa936-ad3f-479b-a30a-0208e67d9894","http://resolver.tudelft.nl/uuid:876aa936-ad3f-479b-a30a-0208e67d9894","A multi-axis robot-based bioprinting system supporting natural cell function preservation and cardiac tissue fabrication","Zhang, Z. (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Wu, Chenming (Tsinghua University); Dai, C. (TU Delft Materials and Manufacturing); Shi, Qingqing (Chinese Academy of Sciences); Fang, G. (TU Delft Materials and Manufacturing; The University of Manchester); Xie, Dongfang (Chinese Academy of Sciences); Zhao, Xiangjie (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Liu, Yong Jin (Tsinghua University); Wang, C.C. (TU Delft Materials and Manufacturing; The University of Manchester); Wang, Xiu Jie (University of Chinese Academy of Sciences; Chinese Academy of Sciences)","","2022","Despite the recent advances in artificial tissue and organ engineering, how to generate large size viable and functional complex organs still remains as a grand challenge for regenerative medicine. Three-dimensional bioprinting has demonstrated its advantages as one of the major methods in fabricating simple tissues, yet it still faces difficulties to generate vasculatures and preserve cell functions in complex organ production. Here, we overcome the limitations of conventional bioprinting systems by converting a six degree-of-freedom robotic arm into a bioprinter, therefore enables cell printing on 3D complex-shaped vascular scaffolds from all directions. We also developed an oil bath-based cell printing method to better preserve cell natural functions after printing. Together with a self-designed bioreactor and a repeated print-and-culture strategy, our bioprinting system is capable to generate vascularized, contractible, and long-term survived cardiac tissues. Such bioprinting strategy mimics the in vivo organ development process and presents a promising solution for in vitro fabrication of complex organs.","3D bioprinting; Artificial organ engineering; Cardiac tissue fabrication; Print-and-culture; Six degree-of-freedom robot","en","journal article","","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:3e540878-2d5e-4f0a-b028-978cc958f967","http://resolver.tudelft.nl/uuid:3e540878-2d5e-4f0a-b028-978cc958f967","Coupled effects of corrosion damage and sustained loading on the flexural behavior of RC beams strengthened with CFRP anchorage system","Pan, Tanbo (Tongji University); Zheng, Yonglai (Tongji University); Zhou, Yujue (Tongji University; Sanming University); Liu, Yongcheng (Tongji University); Yu, Kunlong (Tongji University); Zhou, Y. (TU Delft Applied Mechanics)","","2022","This paper presents the results of an experimental study on the flexural behavior of carbon fibre reinforced polymer (CFRP) anchorage system strengthened reinforced concrete (RC) beams under the coupled effects of corrosion damage and sustained loading. The test beams were subjected to combined accelerated corrosion in 5% NaCl solution and sustained loads for 25, 50 and 100 days at 0% and 50% load levels of the virgin beam ultimate load capacity. The failure modes, load carrying capacity, deflection, ductility and strain response of the beams were investigated in detail. The results indicated that CFRP anchorage systems enhanced the yield and ultimate load of the corrosion-damaged beams. The use of CFRP anchorage system restored the ultimate load of corroded beams between 87.6% and 104.8% and the yield load between 81.9% and 92.7% with respect to those of the virgin beam. In contrast, the ductility and energy absorption index suffered a decline. CFRP-strengthened beams showed a reduction of 4.5%–28.9% for the ductility index compared with their counterparts without CFRP anchorage system. Sustained loads resulted in more considerable reductions in load-bearing capacity, greater loss of rebars mass, wider width of corrosion cracks, indicating a significant coupling effect between sustained loading and corrosion damage. Three typical failure modes of the CFRP-strengthened beams were observed and explained in the paper, thus revealing the failure mechanism of CFRP-strengthened beams. In the engineering practice of CFRP anchorage system, the coupled effects of corrosion damage and sustained loading on the strengthened systems should be taken into accountant comprehensively.","CFRP anchorage system; Corrosion; Flexural behavior; Reinforced concrete; Strengthening; Sustained loading","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Mechanics","","",""
"uuid:28c8de03-f7a8-44b6-9df3-4d71b0e25572","http://resolver.tudelft.nl/uuid:28c8de03-f7a8-44b6-9df3-4d71b0e25572","Improved Parameterization of Snow Albedo in WRF + Noah: Methodology Based on a Severe Snow Event on the Tibetan Plateau","Liu, Lian (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Ma, Yaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Lanzhou University; National Observation and Research Station for Qomolongma Special Atmospheric Processes and Environmental Changes); Ma, Weiqiang (Chinese Academy of Sciences; National Observation and Research Station for Qomolongma Special Atmospheric Processes and Environmental Changes)","","2022","Snowfall and the subsequent evolution of the snowpack have a large effect on the surface energy balance and water cycle of the Tibetan Plateau (TP). The effects of snow cover can be represented by the WRF coupled with a land surface scheme. The widely used Noah scheme is computationally efficient, but its poor representation of albedo needs considerable improvement. In this study, an improved albedo scheme is developed using a satellite-retrieved albedo that takes snow depth and age into account. Numerical experiments were then conducted to simulate a severe snow event in March 2017. The performance of the coupled WRF/Noah model, which implemented the improved albedo scheme, is compared against the model’s performance using the default Noah albedo scheme and against the coupled WRF/CLM that applied CLM albedo scheme. When the improved albedo scheme is implemented, the albedo overestimation in the southeastern TP is reduced, reducing the RMSE of the air temperature by 0.7°C. The improved albedo scheme also attains the highest correlation between the satellite-derived and the model-estimated albedo, which provides for a realistic representation of both the snow water equivalent (SWE) spatial distribution in the heavy snowbelt (SWE > 6 mm) and the maximum SWE in the eastern TP. The underestimated albedo in the coupled WRF/CLM leads to underestimating the regional maximum SWE and a consequent failure to estimate SWE in the heavy snowbelt accurately. Our study demonstrates the feasibility of improving the Noah albedo scheme and provides a theoretical reference for researchers aiming to improve albedo schemes further.","albedo scheme; MODIS; severe snowfall; SWE; Tibetan Plateau; WRF","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Optical and Laser Remote Sensing","","",""
"uuid:1fceea8c-9745-4137-a0e7-ed9775748976","http://resolver.tudelft.nl/uuid:1fceea8c-9745-4137-a0e7-ed9775748976","Making Waves: A sea change in treating wastewater – Why thermodynamics supports resource recovery and recycling","Hao, Xiaodi (Beijing University of Civil Engineering & Architecture); Wu, Daoqi (Beijing University of Civil Engineering & Architecture); Li, Ji (Beijing University of Civil Engineering & Architecture); Liu, Ranbin (Beijing University of Civil Engineering & Architecture); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology; Beijing University of Civil Engineering & Architecture)","","2022","Entropy is a concept defined by the second law of thermodynamics. Applying this concept to the world we live in, entropy production must be minimized and negentropy (negative entropy production) should be accelerated, in order to produce a healthy and stable ecological system. The present wastewater treatment, however, contributes to entropy production. This means that conventional wastewater treatment, without recovery of resource and energy, will gradually but inevitably contribute to a deteriorating ecological balance. When the self-cleaning ability of the natural ecological system is limited, the need to develop sustainable wastewater treatment in order to delay entropy production and accelerate negentropy becomes urgent. Resource and energy recovery from wastewater should be the first priority, as they can contribute significantly towards minimizing entropy production and accelerating negentropy. Sustainable wastewater treatment must focus on recovering recyclable high value-added organic chemicals from wastewater and/or excess sludge to minimize entropy production caused by methane (CH4, once combusted, is converted into CO2 - an even higher substance in entropy) via anaerobic digestion. Instead of CH4, thermal energy present in the effluent can be utilized for heating/cooling buildings and also for drying excess sludge towards incineration to recover more energy. Overall, this can lead to a carbon-neutral operation and even creating a “carbon sink” could be possible for wastewater treatment.","Conventional wastewater treatment (CWWT); Entropy production; Natural entropy cycle; Negentropy; Resource and energy recovery; Sustainable wastewater treatment (SWWT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Environmental Biotechnology","","",""
"uuid:ebc15730-f7bb-4240-89f0-7e3beb00022d","http://resolver.tudelft.nl/uuid:ebc15730-f7bb-4240-89f0-7e3beb00022d","Identification and Quantification of Nanoplastics in Surface Water and Groundwater by Pyrolysis Gas Chromatography-Mass Spectrometry","Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Jiao, Meng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2021","Nanoplastics (NPs) are currently considered an environmental pollutant of concern, but the actual extent of NP pollution in environmental water bodies remains unclear and there is not enough quantitative data to conduct proper risk assessments. In this study, a pretreatment method combining ultrafiltration (UF, 100 kDa) with hydrogen peroxide digestion and subsequent detection with pyrolysis gas chromatography-mass spectrometry (Py-GC/MS) was developed and used to identify and quantify six selected NPs in surface water (SW) and groundwater (GW), including poly(vinylchloride) (PVC), poly(methyl methacrylate) (PMMA), polypropylene (PP), polystyrene (PS), polyethylene (PE), and poly(ethylene terephthalate) (PET). The results show that the proposed method could detect NPs in environmental water samples. Nearly all selected NPs could be detected in the surface water at all locations, while PVC, PMMA, PS, and PET NPs were frequently below the detection limit in the groundwater. PP (32.9-69.9%) and PE (21.3-44.3%) NPs were the dominant components in both surface water and groundwater, although there were significant differences in the pollution levels attributed to the filtration efficiency of riverbank, with total mass concentrations of 0.283-0.793 μg/L (SW) and 0.021-0.203 μg/L (GW). Overall, this study quantified the NPs in complex aquatic environments for the first time, filling in gaps in our knowledge about NP pollution levels and providing a useful methodology and important reference data for future research.","groundwater; nanoplastics; Py-GC/MS; quantification; surface water","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-10","","","Sanitary Engineering","","",""
"uuid:19698a71-0d7f-40e8-900e-51247c455e7b","http://resolver.tudelft.nl/uuid:19698a71-0d7f-40e8-900e-51247c455e7b","Thioanisole ester based logic gate cascade to control ROS-triggered micellar degradation","Piergentili, I. (TU Delft ChemE/Advanced Soft Matter); Bouwmans, Pepijn R. (Student TU Delft); Reinalda, L. (TU Delft ChemE/Advanced Soft Matter); Lewis, R.W. (TU Delft ChemE/Advanced Soft Matter); Klemm, Benjamin (Student TU Delft); Liu, H. (TU Delft RST/Applied Radiation & Isotopes); de Kruijff, R.M. (TU Delft RST/Applied Radiation & Isotopes); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2022","In certain tumor and diseased tissues, reactive oxygen species (ROS), such as H2O2, are produced in higher concentrations than in healthy cells. Drug delivery and release systems that respond selectively to the presence of ROS, while maintaining their stability in “healthy” biological conditions, have great potential as on-site therapeutics. This study presents polymer micelles with 4-(methylthio)phenyl ester functionalities as a ROS-responsive reactivity switch. Oxidation of the thioether moieties triggers ester hydrolysis, exposing a hydrophylic carboxylate and leading to micellar disassembly. At 37 °C, the micelles fall apart on a timescale of days in the presence of 2 mM H2O2 and within hours at higher concentrations of H2O2 (60-600 mM). In the same time frame, the nanocarriers show no hydrolysis in oxidant-free physiological or mildly acidic conditions. This logic gate cascade behavior represents a step forward to realize drug delivery materials capable of selective response to a biomarker input.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:a9176dcb-6d5d-4969-b93d-79169a3195cc","http://resolver.tudelft.nl/uuid:a9176dcb-6d5d-4969-b93d-79169a3195cc","A Novel Disturbance Observer Design for a Larger Class of Nonlinear Strict-Feedback Systems via Improved DSC Technique","Zhang, Wenqian (Air Force Engineering University China); Dong, Wenhan (Air Force Engineering University China); Dong, Shuangyu (SMZ Telecom Pty Ltd.); Lv, Maolong (TU Delft Team Bart De Schutter; Air Force Engineering University China); Liu, Zongcheng (Air Force Engineering University China)","","2019","A novel scheme for disturbance observer is designed for an extended class of strict-feedback nonlinear systems with possibly unbounded, non-smooth, and state-independent compounded disturbance. To overcome these problems in disturbance observer design, the typical slide mode differentiators are improved by introducing hyperbolic tangent function to make the signals smooth, and then the improved slide mode differentiators are constructively used to estimate the errors of variables in the presence of disturbances. The unbounded, non-smooth or state-independent disturbances are therefore able to be eliminated by using the estimated variable errors. Thus, the bounded or differentiable conditions for disturbance observer design are removed. Furthermore, the convergence of the new disturbance observer is rigorously proved based on Lyapunov stability theorem, and the tracking error can be arbitrarily small. Finally, the simulation results are given to validate the feasibility and superiority of the proposed approach.","Disturbance observer; dynamic surface control; sliding mode differentiator","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:8d3bd003-db49-426d-9832-5cb78fcdb8ed","http://resolver.tudelft.nl/uuid:8d3bd003-db49-426d-9832-5cb78fcdb8ed","Quantifying oxygen diffusion in bitumen films using molecular dynamics simulations","Gao, Y. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); Zhang, Chao (Harbin Institute of Technology); Liu, X. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering)","","2022","Bitumen in asphalt pavements reacts slowly with atmospheric oxygen, resulting in oxidative ageing. This oxidative reaction is strongly dependent on the physical diffusion of the oxygen into the bitumen. This study aims to use molecular dynamics (MD) simulation to investigate the oxygen diffusion into the bitumen film and analyse the effects of anti-ageing compounds (AACs) on the oxygen diffusion. The MD diffusion simulations using a Polymer Consistent Force Field (PCFF) were conducted on a bitumen-air bi-layer model at different temperatures. Fick's second law was used to calculate the diffusion coefficient of the oxygen in the bitumen film. It is found that the oxygen diffusion coefficients ranged from 6.67 × 10−10 to 7.45 × 10−11 m2/s for the unmodified and AAC-modified bitumens at the simulating temperatures of 25, 50 and 100 °C. Irganox acid and DLTDP (Dilauryl thiodipropionate):furfural showed two different anti-aging mechanisms, i.e., reducing the oxygen physical diffusion and controlling the chemical oxidative reaction. Reducing the oxygen diffusivity by constructing a network in the bitumen to retard oxygen diffusion and increase the transport path is an efficient way to slow down the bitumen aging without the antioxidant consumption. This work proposed a MD-based computational approach, contributing to 1) determination of the oxygen diffusion coefficient of the existing bitumen that is extremely challenging for the experimental measurement and 2) instruction of developing new antioxidant.","Anti-ageing compounds; Bitumen; Molecular dynamics (MD); Oxygen; Physical diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Pavement Engineering","","",""
"uuid:1307dc99-0c01-4a43-b182-500ec9367435","http://resolver.tudelft.nl/uuid:1307dc99-0c01-4a43-b182-500ec9367435","Assessment of ballast layer under multiple field conditions in China","Guo, Y. (TU Delft Railway Engineering); Wang, Shilei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Yang, Fei (China Academy of Railway Sciences); Liu, Guixian (China Academy of Railway Sciences); Qiang, Weile (China Academy of Railway Sciences); Wang, Yan (China Academy of Railway Sciences)","","2022","Ballast layer condition should be more regularly and accurately inspected to ensure safe train operation; however, traditional inspection methods cannot sufficiently fulfil this task. This paper presents a method of ground penetrating radar (GPR) application to reflect ballast layer fouling levels under diverse field conditions (annual gross passing load, cleaning and renewal year, fouling composition and transportation type). The results show that the GPR-based inspection method can assess the ballast layer fouling level with a 1–7% difference from the traditional sieving results. Fouling composition (especially metal materials) has a great effect on the GPR signals, thus affecting the inspection accuracy of ballast layer fouling level. Developing diverse GPR-based fouling indicators (by distinguishing different GPR signal features) can improve the GPR inspection applicability to the diverse field conditions.","Ballast fouling; GPR; Ground penetrating radar; Railway ballast; Track geometry; Track inspection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:e18aaf5e-a7a9-43f3-8f9c-a010e99e9a24","http://resolver.tudelft.nl/uuid:e18aaf5e-a7a9-43f3-8f9c-a010e99e9a24","Receding horizon cooperative platoon trajectory planning on corridors with dynamic traffic signal","Liu, M. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning)","","2020","In this paper, a trajectory control approach using model predictive control is proposed for cooperative (automated) vehicles. This control approach optimizes accelerations of the controlled connected and automated vehicle (CAV) platoon along a corridor with signalized intersections. The objectives of the proposed approach are to maximize the throughput first and optimize comfort, travel delay, and fuel consumption simultaneously after that. The throughput is determined according to the maximal number of CAVs that can pass the intersection during the green phase. Safety is included by penalizing smaller gaps between CAVs in the running cost. The red phase is taken into account as a virtual vehicle at the stop-line during the red time, thus the safe gap penalty with the virtual vehicle causes the first-stopping vehicle to decelerate or even stop facing the red phase. The acceleration and speed are constrained within the upper and lower bounds. The proposed approach is flexible in dealing with platoon merging, splitting, stopping, and queue-discharging characteristics at signalized intersections. Finally, the proposed control approach is verified by simulation under a baseline scenario and four scenarios, which consider signal settings and the anticipation of the red phase. The simulation results demonstrate the benefits of the proposed control approach on fuel savings, compared with the state-of-art approach which used the virtual vehicle term without anticipation. The adjustments of signal parameters in Scenario 3 and Scenario 4 demonstrate the applicability of the control approach under actuated signal control.","","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:45f51a54-c350-416a-84aa-a7a8773f9551","http://resolver.tudelft.nl/uuid:45f51a54-c350-416a-84aa-a7a8773f9551","Role of sp2 carbon in non-enzymatic electrochemical sensing of glucose using boron-doped diamond electrodes","Liu, Z. (TU Delft Micro and Nano Engineering); Frota Sartori, A. (TU Delft Micro and Nano Engineering); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2021","Boron-doped diamond (BDD) is of increasing interest for applications in electrochemical sensing. It is well known that the sp2 carbon content in BDD influences its electrochemical properties as electrode material. In this work, evidence is provided that the surface sp2 carbon content plays a crucial role in the electrochemical sensitivity of BDD towards glucose. Single-crystal BDD, freestanding polycrystalline BDD and glassy carbon (sp2 carbon reference material) were examined by voltammetry. Neither single-crystal BDD, which is free of sp2 carbon, nor pure sp2 glassy carbon could detect glucose in the range of 0.2–1.0 V. On the other hand, glucose oxidation was observed on polycrystalline BDD, and with increasing intensity with increase of sp2 carbon content. Thus, an optimum amount of (B-doped) sp2 carbon in the BDD electrode is needed for best sensing performance. Understanding this, and being able to control the composition of BDD, are not only important to glucose detection but to any electrochemical sensing application involving BDD.","Boron-doped diamond (BDD); Glucose detection; Non-enzymatic; Single-crystal BDD; Sp carbon","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:e5dfffdd-166c-4cc0-afd4-1c9a76e3c935","http://resolver.tudelft.nl/uuid:e5dfffdd-166c-4cc0-afd4-1c9a76e3c935","Analysis and Mitigation of Biases in Greenland Ice Sheet Mass Balance Trend Estimates From GRACE Mascon Products","Ran, Jiangjun (Southern University of Science and Technology); Ditmar, P.G. (TU Delft Physical and Space Geodesy; TU Delft Geoscience and Remote Sensing); Liu, Lin (Chinese University of Hong Kong); Xiao, Yun (Xi’an Research Institute of Surveying and Mapping); Klees, R. (TU Delft Physical and Space Geodesy; TU Delft Geoscience and Remote Sensing); Tang, Xueyuan (Polar Research Institute of China, Shanghai)","","2021","Mascon products derived from Gravity Recovery and Climate Experiment satellite gravimetry data are widely used to study the Greenland ice sheet mass balance. However, the products released by different research groups—JPL, CSR, and GSFC—show noticeable discrepancies. To understand them, we compare those mascon products with mascon solutions computed in-house using a varying regularization parameter. We show that the observed discrepancies are likely dominated by differences in the applied regularization. Furthermore, we present a numerical study aimed at an in-depth analysis of regularization-driven biases in the solutions. We demonstrate the ability of our simulations to reproduce 60%–80% of biases observed in real data, which proves that our simulations are sufficiently realistic. After that, we demonstrate that the quality of mascon-based estimates can be increased by a proper modification of the applied regularization: no correlation between mascons is assumed when they belong to different drainage systems. Using both simulations and real data analysis, we show that the improved regularization mitigates signal leakage between drainage systems by 11%–56%. Finally, we validate various mascon solutions over the SW drainage system, using trends from (i) the GOCO-06S model and (ii) the Input-Output Method as control data. In general, the in-house computed trend estimates are consistent with the trends from CSR and JPL solutions and the trends from the control data.","GRACE; mascon; mass balance; regularization; satellite gravimetry","en","journal article","","","","","","","","2022-01-02","","Geoscience and Remote Sensing","Physical and Space Geodesy","","",""
"uuid:cdeb396c-838a-4369-b921-fb626a2c9dc5","http://resolver.tudelft.nl/uuid:cdeb396c-838a-4369-b921-fb626a2c9dc5","Simulation of Poyang Lake water levels and outflow under historical extreme hydrological scenarios","Yu, M. (TU Delft Water Resources; Hohai University; Nanjing Hydraulic Research Institute); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; Southeast University; IHE Delft Institute for Water Education); Wood, Paul (Loughborough University); Wei, Li (Hydrology Monitoring Center of Jiangxi Province, Nanchang); Wang, Guoqing (Nanjing Hydraulic Research Institute); Zhang, Jianyun (Nanjing Hydraulic Research Institute); Li, Qiongfang (Hohai University)","","2022","Due to an intensification of anthropogenic activities and climate change in recent decades, the hydrological connections and relationships between rivers and lakes have been significantly modified globally. Poyang Lake is one of the largest freshwater lakes globally and is one of the few that remain naturally connected to the Yangtze River. To investigate the full hydrological conditions (extreme high and low discharge) of Poyang Lake outflow under current bathymetric conditions, a large-scale 1D- and 2D-coupled high-resolution hydrodynamic model of the Poyang Lake basin–Yangtze River system was developed. We simulated the outflow and water levels of Poyang Lake under nine different extreme hydrological scenarios with high precision and computational efficiency. We propose (1) a novel partition calibration method to characterize the roughness coefficient of large water bodies in complicated geographical terrain both for wet and dry seasons; (2) a new method for setting initial conditions for hydrodynamic simulation of large water bodies subject to strong hydrological regulation. Results indicated that (1) maximum outflow and water levels will reach 37,200 m3/s and 22.28 m when Poyang Lake basin floodwater coincides with flooding on the Yangtze River; (2) precipitation over the lake has increased outflow but this has had very limited influence on its changing hydrological pattern; (3) the effect of hydrological conditions within the system differs for both the lake outflow and water level. The research provides important reference conditions for the application of the InfoWorks ICM model in future applications and studies of large river–lake systems.","flood and drought; hydrodynamic model; outflow; Poyang Lake; rainfall; Yangtze River","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:348a49e4-4ce2-4584-9e72-72ca4d06b018","http://resolver.tudelft.nl/uuid:348a49e4-4ce2-4584-9e72-72ca4d06b018","环氧沥青固化过程中的粘度特性","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering)","","2022","In this study, a series of viscosity tests were performed to investigate various conditions, i.e. temperature, shear rate and dilution level, on the curing behavior of epoxy bitumen. The results show that curing rate of epoxy bitumen increased with temperature; high shear rate would interfere the formation of crosslinks in epoxy bitumen, resulting in a negative effect on curing rate; diluting epoxy bitumen by a base bitumen was an effective way to control the curing rate and reduce the material cost. Considering the workability and durability of paving materials, it is recommended that dilution level of epoxy bitumen is 25%, mixing rate is 20 r/min, curing temperature is 130 ℃, and the pavement construction should be finished within 5 hours.","Curing; Epoxy bitumen; Rheology","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:50740f0d-a229-4bb2-b030-e2924e8aa142","http://resolver.tudelft.nl/uuid:50740f0d-a229-4bb2-b030-e2924e8aa142","High-Frequency Surface Dynamics at an Electroactive Polymer Producing Underwater Soundwaves","Visschers, Fabian L.L. (Eindhoven University of Technology); Massaad Mouawad, J.M. (TU Delft ImPhys/Medical Imaging); van Neer, P.L.M.J. (TU Delft ImPhys/Medical Imaging; TU Delft BUS/TNO STAFF; TNO); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Liu, Danqing (Eindhoven University of Technology; South China Normal University); Broer, Dirk J. (Eindhoven University of Technology; South China Normal University)","","2022","Coatings with dynamic surface structures are appealing to many applications like haptics and soft robotics. Restrictively, the speed of the surface dynamics in these coatings is often limited to frequencies below 1 kHz, which makes them unsuitable for applications like acoustics and communication optics. This work describes a method to create high-frequency surface dynamics controlled by alternating electric fields on a substrate-contact-modulated coating that consists of an elastic poly(dimethyl siloxane) network supported by SU-8 microstructures. The principle is based on the global application of Maxwell stress that is locally resisted by the supporting SU-8 microstructures. In-between the microstructures the elastic material is stretched, causing a large deformation of the surface topography, which is supported by the authors’ finite element method models. By applying a high-frequency alternating field, they discovered resonance effects at frequencies up to 230 kHz, where the surface of the coating vibrates at high speeds and large amplitudes. At these high frequencies, the coatings can produce and detect ultrasound waves underwater, indicating their potential for ultrasound transducers in the future.","AC electric fields; acoustics; dynamic surfaces; Maxwell stresses; PDMS; SU-8","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:f444ea66-1c23-47fb-9df9-7473982a0090","http://resolver.tudelft.nl/uuid:f444ea66-1c23-47fb-9df9-7473982a0090","Bulk and surface transformations of Ga2O3 nanoparticle catalysts for propane dehydrogenation induced by a H2 treatment","Castro-Fernández, Pedro (ETH Zürich); Mance, Deni (ETH Zürich); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Abdala, Paula M. (ETH Zürich); Willinger, Elena (ETH Zürich); Rossinelli, Aurelio A. (ETH Zürich); Serykh, Alexander I. (Russian Academy of Sciences); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Copéret, Christophe (ETH Zürich); Fedorov, Alexey (ETH Zürich); Müller, Christoph R. (ETH Zürich)","","2022","Three γ/β-Ga2O3 nanoparticle catalysts that differ in the relative ratio of γ-Ga2O3 to β-Ga2O3 were prepared to evaluate the effect of H2 treatment (500 °C, 2 h) on the coordination environment of bulk and surface Ga sites, Lewis acidity and catalytic activity in propane dehydrogenation (PDH). Independent of the H2 treatment, the initial PDH activity of the γ/β-Ga2O3 catalysts increases with the fraction of the β-Ga2O3 phase. This is explained by the presence of weak Lewis acid sites (LAS) in β-Ga2O3 while such sites are absent in γ-Ga2O3. Treatment with H2 increases the catalytic activity of all three γ/β-Ga2O3 catalysts but for different reasons. For catalysts with higher fractions of β-Ga2O3, H2 treatment increases further the relative abundance of weak LAS, likely by generating coordinatively unsaturated Ga sites (such as tricoordinated Ga sites nearby oxygen vacancies). In contrast, H2 treatment of a catalyst containing a predominant fraction of γ-Ga2O3 phase induces disorder in the sub-surface structure of the nanoparticle, that is, it forms gallium and oxygen vacancies in the bulk and favors migration of gallium, and likely also of oxygen, to the surface. This induces a surface reconstruction that notably increases the fraction of strong LAS (and proportionally decreases the fraction of medium LAS), while creating no weak LAS in γ-Ga2O3-H2. Therefore, the increase in the catalytic activity of H2-treated γ-Ga2O3 is explained by the higher density of surface Ga sites in γ-Ga2O3-H2 relative to calcined γ-Ga2O3. H2-treated catalysts that contain a higher relative amount of weak LAS also feature a higher relative abundance of gallium hydride species associated with a low frequency FTIR band at ca. 1931–1939 cm−1, that is, weak LAS likely give weakly-bound hydrides in β-Ga2O3. Our results highlight that weak LAS in unsupported Ga2O3 catalysts are more active in PDH than mild or strong LAS.","Gallium oxide; Lewis acidity; Oxygen vacancies; Propane dehydrogenation; Surface reconstruction","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:278cb58f-dca6-49a7-9f98-7e5c01e8178b","http://resolver.tudelft.nl/uuid:278cb58f-dca6-49a7-9f98-7e5c01e8178b","Modelling and mechanical design of a flexible tube-guided SMA actuator","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2022","Shape memory alloy (SMA) wires are excellent candidates for wearable actuators since they are thin, low weight and have a high actuation force. The main drawbacks are that the wire should be kept straight and needs to be relatively long to enable a large enough actuation stroke. Embedding the SMA wire in a flexible tube largely enhances its applicability since then the counter forces are transferred by the tube material and the tube can be rolled up or attached to flexible surfaces or clothing layers. The performance of such tube-guided SMA actuators is, however, more complicated since it not only depends on the SMA behaviour but also on the tube materials and the actuator construction. In this research, a simple end-state model for a tube-guided SMA actuator system is proposed. We measure and model both the SMA and tube material properties, including tube creep effects, and derive an approximate prediction for the actuator stroke. Validation experiments showed that the predicted stroke during the second heating and cooling experiments agreed well with the measurements and that the average deviation is 9.6%, even though the deviation is much larger (27.3%) for the maximum applied force.","Phenomenological model; Soft robotics; Tube-guided SMA actuators; Wearable actuators","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:d3e01b10-b16b-430e-97d3-29c384ce3116","http://resolver.tudelft.nl/uuid:d3e01b10-b16b-430e-97d3-29c384ce3116","Impact of cyclic strain accumulation on the tilting behaviour of monopiles in sand: An assessment of the Miner's rule based on SANISAND-MS 3D FE modelling","Liu, Haoyuan (Norwegian Geotechnical Institute); Pisano, F. (TU Delft Geo-engineering); Jostad, Hans Petter (Norwegian Geotechnical Institute); Sivasithamparam, Nallathamby (Norwegian Geotechnical Institute)","","2022","Offshore monopiles accumulate permanent tilt under long-lasting cyclic environmental loads. Accurate prediction of monopile tilt is key to assessing their serviceability, and requires a fundamental understanding of loading history effects. While both experimental and numerical studies are shedding light on this matter, this work uses step-by-step implicit 3D FE modelling to investigate loading history effects in the response to cyclic lateral loading of monopiles in sand and to identify links between local soil behaviour and relevant features of global pile behaviour. For this purpose, the recently developed SANISAND-MS model is adopted to achieve a reliable simulation of sand's cyclic ratcheting. In particular, the validity of an up-scaled Miner's rule for monopile tilting under multi-amplitude cyclic loading is assessed based on the results of 3D FE parametric analyses, with emphasis on the role played by the engineering idealisation of random environmental loading. The validity of such a rule has been numerically investigated both in terms of local soil element response and global foundation behaviour — for the particular case of a large-diameter monopile. In respect, the effect of the loading history idealisation is presented, and it is concluded that Miner's rule does not always rigorously apply to all the cases considered herein. The translation of irregular loading histories into a regular version with loading packages sorted in ascending amplitude order is shown to be a reasonable approach, at least when the possibility of cyclic pore pressure build-up is disregarded.","Accumulated rotation; Cyclic accumulation; Finite element; Loading history; Monopile","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:ee504601-79bb-48ee-97bc-bb5d54374695","http://resolver.tudelft.nl/uuid:ee504601-79bb-48ee-97bc-bb5d54374695","Ammonium-assimilating microbiome: A halophilic biosystem rationally optimized by carbon to nitrogen ratios with stable nitrogen conversion and microbial structure","Zhang, Mengru (Shandong University); Han, Fei (Shandong University); Liu, Zhe (Shandong University); Han, Yufei (Shandong University); Li, L. (TU Delft Sanitary Engineering); Zhou, Weizhi (Shandong University)","","2022","The contradiction between theoretical metabolism of ammonium assimilation and experiential understanding of conventional biosystems makes the rational optimization of the ammonium-assimilating microbiome through carbon to nitrogen (C/N) ratios perplexing. The effect of different C/N ratios on ammonium-assimilating biosystems was investigated in saline wastewater treatment. C/N ratios significantly hindered the nutrient removal efficiency, but ammonium-assimilating biosystems maintained functional stability in nitrogen conversions and microbial communities. With sufficient biomass, higher than 86% ammonium and 73% phosphorus were removed when C/N ratios were higher than 25. Ammonium assimilation dominated the nitrogen metabolism in all biosystems even under relatively low C/N ratios, evidenced by the extremely low abundances of nitrification functional genes. Different C/N ratios did not significantly change the bacterial community structure of ammonium-assimilating biosystems. It is anticipated that the ammonium-assimilating biosystem with advantages of clear metabolic pathway and easy optimization can be applied to nutrient removal and recovery in saline environments.","Ammonium assimilation; Ammonium-assimilating biosystems; Bacterial community; C/N ratios; Saline wastewater treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:2a20368f-c8a6-4839-8cd1-0b87a7ce6c65","http://resolver.tudelft.nl/uuid:2a20368f-c8a6-4839-8cd1-0b87a7ce6c65","A two-dimensional ordinary state-based peridynamic model for surface fatigue crack propagation in railheads","Ma, Xiaochuan (East China Jiaotong University); Wang, L. (TU Delft Railway Engineering); Xu, Jinhui (East China Jiaotong University); Feng, Qingsong (East China Jiaotong University); Liu, Linya (East China Jiaotong University); Chen, Huapeng (East China Jiaotong University)","","2022","Based on ordinary state-based peridynamic theory, a 2D peridynamic model has been established to investigate fatigue crack propagation in railheads. The proposed model is verified in terms of rail deformation under a quasi-static load and the ductile material-related fatigue failure model. Good agreements have been achieved between a finite element model and the experimental results. With the proposed model, the effects of the initial crack angle, initial crack length and wheel-rail friction coefficient on crack propagation in railheads are studied. This research provides a new method for studying crack propagation in railheads.","Crack propagation; Fatigue; Peridynamic model; Railway; Wheel-rail contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-08-28","","","Railway Engineering","","",""
"uuid:ec8a95ae-66a7-4271-be99-3dbe8a0804a8","http://resolver.tudelft.nl/uuid:ec8a95ae-66a7-4271-be99-3dbe8a0804a8","A Programmable Multifunctional 3D Cancer Cell Invasion Micro Platform","Liu, Q. (TU Delft ChemE/Product and Process Engineering; Guangzhou Laboratory); Muralidharan, A. (TU Delft BN/Stan Brouns Lab); Saateh, A. (TU Delft ChemE/Product and Process Engineering); Ding, Z. (TU Delft Team Kevin Rossi); ten Dijke, Peter (Leiden University Medical Center); Boukany, P. (TU Delft ChemE/Product and Process Engineering)","","2022","In the research of cancer cell invasion and metastasis, recreation of physiologically relevant and faithful three-dimensional (3D) tumor models that recapitulate spatial architecture, spatiotemporal control of cell communication and signaling pathways, and integration of extracellular cues remains an open challenge. Here, a programmable multifunctional 3D cancer cell invasion microbuckets-hydrogel (Mb-H) platform is developed by integrating various function-variable microbuckets and extracellular matrix (ECM)-like hydrogels. Based on this Mb-H micro platform, the aggregation of multi-cancer cells is well controlled to form cancer cell spheroids, and the guiding relationship of single-cell migration and collective cell migration during the epithelial-mesenchymal transition (EMT) of cancer cell invasion are demonstrated. By programming and precisely assembling multiple functions in one system, the Mb-H platform with spatial-temporal controlled release of cytokine transforming growth factor beta (TGF-β) and various functionalized Mb-H platforms with intelligent adjustment of cell-matrix interactions are engineered to coordinate the 3D invasive migration of cancer cell spheroids. This programmable and adaptable 3D cancer cell invasion micro platform takes a new step toward mimicking the dynamically changing (localized) tumor microenvironment and exhibits wide potential applications in cancer research, bio-fabrication, cell signaling, and drug screening.","3D cancer cell invasion; directional cancer cell migration; dynamic TGF-β release; hydrogels; programmable multiple functions","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:eba3927a-a0bd-41e5-aa5f-ecfdd52f5109","http://resolver.tudelft.nl/uuid:eba3927a-a0bd-41e5-aa5f-ecfdd52f5109","A new installation technology of large diameter deeply-buried caissons: Practical application and observed performance","Lai, F. (TU Delft Geo-engineering; Southeast University); Liu, Songyu (Southeast University); Li, Yaoliang (Shanghai Foundation Engineering Group Co.); Sun, Yanxiao (Southeast University)","","2022","The development of installation technologies of open caissons has been lagging behind increasingly complex construction conditions. For such purpose, a new installation technology of large diameter deeply-buried (LDDB) open caissons has been developed and then used for construction of twin LDDB caissons into undrained ground with stiff soils in Zhenjiang, China. To assess the installation effects and filed performance, a monitoring program was presented to document the variations in total jacking forces provided by new shaft driven method, ground water level (GWL) around the caisson shaft, inclination angles of caisson shafts and radial displacements of surrounding soils as well as surface settlements of existing nearby facilities. It is observed that the monitoring data during the installation falls almost entirely within the design criteria, the reported new technology has limited impacts on the induced ground movements, depending on the variation in GWL, interaction between twin caissons and excavation-induced unloading effect. Moreover, the total jacking forces increase approximately in stepwise shape as the installation depth increases; the change law of surface settlements is highly similar to those of GWL, showing their close correlation; the larger inclination angles of caisson shafts are mainly encountered in the earlier installation phase, but well controllable. Further discussion on ground movements caused by various technologies confirms the feasibility of new installation technology. Both the observed and compared results give greater confidence on the use of such the technology in practice.","Construction technology; Field observation; Installation effect; Interaction; Large diameter deeply-buried caisson","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:095cb1cf-424d-41e1-809b-0006454cc24c","http://resolver.tudelft.nl/uuid:095cb1cf-424d-41e1-809b-0006454cc24c","Undrained stability of pit-in-pit braced excavations under hydraulic uplift","Lai, F. (TU Delft Geo-engineering; Southeast University); Chen, Fuquan (Fuzhou University); Liu, Songyu (Southeast University); Keawsawasvong, Suraparb (Thammasat University); Shiau, Jim (University of Southern Queensland)","","2022","Pit-in-pit (PIP) excavations in an aquifer–aquitard system likely undergo catastrophic failures under the hydraulic uplift, the associated undrained stability problem, however, has not been well analyzed in the past. To this end, a hypothetical model of PIP braced excavation in typical soil layers of Shanghai, China is developed using the finite element limit analysis (FELA) tool. The FELA solutions of safety factors (FSs) against hydraulic uplift are verified with the results from the finite element analysis with strength reduction technique (SRFEA) and existing design approaches. Subsequently, FELA is employed to identify the triggering and failure mechanisms of PIP braced excavations subjected to hydraulic uplift. A series of parametric studies considering the various geometric configurations of the PIP excavation, undrained shear strengths of aquitard, and artesian pressures are carried out. The sensitivities of relevant design parameters are further assessed using a multivariate adaptive regression splines (MARS) model that is capable of accurately capturing the nonlinear relationships between a set of input variables and output variables in multi-dimensions. A MARS-based design equation used for predicting FS is finally presented using the artificial dataset from FELA for practical design uses.","Finite element limit analysis; Hydraulic uplift; Multivariate adaptive regression splines; Pit-in-pit excavation; Undrained stability","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:b2353f69-def7-43e7-af85-388564926577","http://resolver.tudelft.nl/uuid:b2353f69-def7-43e7-af85-388564926577","A numerical assessment on the managed aquifer recharge to achieve sustainable groundwater development in Chaobai River area, Beijing, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University); Luo, Weijia (IHE Delft Institute for Water Education); Wang, Feiran (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2022","Intensive groundwater exploitation has depleted groundwater storage and led to a series of geo-environmental problems in Beijing Plain, China. Managed Aquifer Recharge (MAR) has been endorsed to mitigate the groundwater storage depletion and achieve groundwater sustainability. A pilot MAR has been tested in the Chaobai River catchment since 2015. An innovative large-scale MAR consisting of 9 cascade terraced infiltration ponds was proposed and its effectiveness was assessed in this study using an integrated modelling approach. The integrated model coupled the regional and local transient flow and transport processes. The transient regional flow model simulated historical groundwater level declines and storage depletion in the Beijing Plain from 1995 to 2018. The coupled regional and local flow model was used to simulate the pilot MAR test in the Chaobai River from 2015 to 2018. A significant groundwater level increase was observed nearby the pilot MAR since 2015. The transport model results indicate that approximately 40% of the infiltrated water was captured by pumping wells in the No.8 well field. The models were further used to assess the long-term effects of the large-scale MAR from 2020 to 2050. The simulation results show that the groundwater system will reach a new equilibrium state under the implementation of the large-scale MAR scheme. Almost 91% of the abstracted water in the No. 8 well field will come from the MAR infiltration. The proposed large-scale MAR is very effective in restoring the depleted aquifer storage and maintaining the groundwater abstraction in the No.8 well field. However, with the increase of the groundwater level, the infiltration rate of several ponds will decrease. Therefore, it is important to maintain a dynamic balance between artificial recharge and groundwater abstraction in order to achieve a sustainable long-term MAR operation in the region.","Infiltration rate and capacity; Managed aquifer recharge; Restoration of groundwater storage; Simulation models; Sustainability of a large well field","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Water Resources","","",""
"uuid:e955a58a-573f-4247-9639-5cd3e8c6ded1","http://resolver.tudelft.nl/uuid:e955a58a-573f-4247-9639-5cd3e8c6ded1","Gate-Tunable Kinetic Inductance in Proximitized Nanowires","Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Kou, Angela (University of Illinois at Urbana-Champaign); Andersen, C.K. (TU Delft Andersen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Heck, B. (Universiteit Leiden)","","2022","We report the detection of a gate-tunable kinetic inductance in a hybrid InAs/Al nanowire. For this purpose, we embed the nanowire into a quarter-wave coplanar waveguide resonator and measure the resonance frequency of the circuit. We find that the resonance frequency can be changed via the gate voltage that controls the electron density of the proximitized semiconductor and thus the nanowire inductance. Applying Mattis-Bardeen theory, we extract the gate dependence of the normal-state conductivity of the nanowire, as well as its superconducting gap. Our measurements complement existing characterization methods for hybrid nanowires and provide a useful tool for gate-controlled superconducting electronics.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:611aad1d-1e76-40ed-8d00-9c693bdb6c6f","http://resolver.tudelft.nl/uuid:611aad1d-1e76-40ed-8d00-9c693bdb6c6f","Tomographic SAR imaging with large elevation aperture: a P-band small UAV demonstration","Zeng, Tao (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin; Yangtze Delta Region Academy of Beijing Institute of Technology); Liu, Minkun (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin); Wang, Yan (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin; Yangtze Delta Region Academy of Beijing Institute of Technology); Ding, Zegang (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin; Yangtze Delta Region Academy of Beijing Institute of Technology); Li, Linghao (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin); Wang, Zhen (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin); Wei, Yangkai (Beijing Institute of Technology; Beijing Institute of Technology Chongqing Innovation Center, Chongqin); Wang, J. (TU Delft Microwave Sensing, Signals & Systems)","","2022","Elevation resolution is an important indicator in tomographic SAR imaging as it represents the ability to discriminate closed targets in elevation. In general, the elevation resolution is proportional to the length of the elevation aperture. However, as the elevation aperture increases, the geometric consistency of the image will undesirably deteriorate and hence fails the image coregistration approach required by the traditional super-resolution tomographic imaging. In this paper, a new super-resolution tomographic imaging method is proposed to overcome the inconsistency problem caused by the large elevation aperture. The core strategy is to get rid of two-dimensional image coregistration by applying a three-dimensional (3D) back projection like imaging manner: the 3D space is firstly divided into a 3D imaging grid, each of which is individually imaged via compressive sensing for super-resolution. The effectiveness of the proposed approach is evaluated by both computer simulations and real P-band UAV SAR data.","large elevation aperture; P-band; super-resolution; UAV SAR tomography","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:5fd7fca6-048d-42c1-a482-479f6134c4d9","http://resolver.tudelft.nl/uuid:5fd7fca6-048d-42c1-a482-479f6134c4d9","Influence of high content crumb rubber and different preparation methods on properties of asphalt under different aging conditions: Chemical properties, rheological properties, and fatigue performance","Wang, Sheng (Tongji University); Huang, Weidong (Tongji University); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering)","","2022","Asphalt pavements are prone to aging in construction and during service, which can affect the properties of the asphalt. The aging behaviors of high content crumb rubber modified asphalt (HCRMA) were investigated in this paper. The binders were aged in the laboratory and the performances before and after aging were tested using the attenuated total reflection-Fourier transform infrared spectroscopy (ATR-FTIR) test, temperature sweep (TS) test, frequency sweep tests, multiple stress creep recovery (MSCR) test, zero shear viscosity (ZSV) test, bending beam rheometer (BBR) test, and linear amplitude sweep (LAS) test. The FTIR test result shows that as the aging progresses, the crumb rubber (CR) continues to undergo desulphurization and degradation reactions in the HCRMA, with the release of substances such as silica and S-C bonded material from the CR into the bituminous phase. The elasticity of HCRMA decreases and then increases as the degree of aging increases. Besides, the low temperature performance of HCRMA is controlled by the stiffness value, and the low temperature stress relaxation properties of HCRMA in the aging process are better than that of 20% content crumb rubber modified asphalt. Moreover, aging can reduce the integrity and fatigue resistance of HCRMA while increasing the compatibility of HCRMA.","Aging behaviors; ATR-FTIR; Fatigue resistance; High content crumb rubber modified asphalt; Low temperature performance","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a6124a73-1f81-432b-a28b-6babddeef7b9","http://resolver.tudelft.nl/uuid:a6124a73-1f81-432b-a28b-6babddeef7b9","Scattering of harmonic P1 and SV waves by a shallow lined circular tunnel in a poroelastic half-plane","Liu, Qijian (Hunan University); Yue, Cheng (Hunan University); Zhao, M. (TU Delft Dynamics of Structures)","","2022","An analytical solution for the scattering of harmonic P1 and SV waves in a poroelastic half-plane with a shallow lined tunnel is obtained using the plane complex theory in elastodynamics. In light of the wave function expansions, the wave fields of the poroelastic medium and the liner with unknown coefficients are obtained based on Biot's theory and Helmholtz decomposition. Complex-valued expressions of the effective stresses, the fluid stress, and the displacements of the poroelastic medium and the liner are expressed by the complex variable function method and the conformal transformation technique. With the boundary conditions and the continuity of the medium-liner interface, the boundary value problem results in a series of algebraic equations. The unknown coefficients in the infinite set of algebraic equations can be solved numerically by truncating the series number. A parametric study for the incident SV waves is performed to investigate dynamic stress concentrations and fluid stress of the medium and the liner. Numerical results show that the embedment depth of the tunnel, the incident angle of the excitations, and the porosity of the medium have considerable influence on the dynamic responses of the medium and the liner. The shielding effect of the tunnel on the incident SV waves is obvious. For the big embedment depth of the tunnel, the scattered waves contribute little to the displacements and dynamic stress concentration of the medium and the liner. For a high porosity close to the critical value, the response of the medium-liner system to the incident waves is great.","Complex variable method; Conformal mapping; Lined tunnel; Poroelastic half-plane; Wave scattering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dynamics of Structures","","",""
"uuid:e2edcb5e-3f72-46d4-b2f7-a1d01160ed59","http://resolver.tudelft.nl/uuid:e2edcb5e-3f72-46d4-b2f7-a1d01160ed59","An interface-enriched generalized finite element formulation for locking-free coupling of non-conforming discretizations and contact","Liu, D. (TU Delft Applied Mechanics); van den Boom, S.J. (TU Delft Computational Design and Mechanics; TNO); Simone, A. (Università degli Studi di Padova); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2022","We propose an enriched finite element formulation to address the computational modeling of contact problems and the coupling of non-conforming discretizations in the small deformation setting. The displacement field is augmented by enriched terms that are associated with generalized degrees of freedom collocated along non-conforming interfaces or contact surfaces. The enrichment strategy effectively produces an enriched node-to-node discretization that can be used with any constraint enforcement criterion; this is demonstrated with both multi-point constraints and Lagrange multipliers, the latter in a generalized Newton implementation where both primal and Lagrange multiplier fields are updated simultaneously. We show that the node-to-node enrichment ensures continuity of the displacement field—without locking—in mesh coupling problems, and that tractions are transferred accurately at contact interfaces without the need for stabilization. We also show the formulation is stable with respect to the condition number of the stiffness matrix by using a simple Jacobi-like diagonal preconditioner.","Contact; Enriched FEM; IGFEM; Lagrange multipliers; Multiple-point constraints; Non-conforming meshes","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:54056a68-640b-48da-9597-fe84f8d967f0","http://resolver.tudelft.nl/uuid:54056a68-640b-48da-9597-fe84f8d967f0","Lanthanide-doped NaYF4 near-infrared-II nanothermometers for deep tissue temperature sensing","Yuan, Xiangyang (Southwest University, Chongqing); Cui, Endian (Southwest University); Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Jiang, Ying (Southwest University); Yang, Xiaoyan (Southwest University); Tang, Jianfeng; Yang, Lu (Southwest University); Liao, Xiaoling (Southwest University); Zhao, Yanan (Southwest University)","","2022","In this work, different lanthanides (Tm3+, Er3+; Yb3+, Ho3+, Nd3+) were doped into NaYF4 via a high-temperature coprecipitation method, and followed by SiO2 coating to improve the water dispersibility, resulting in NaYF4:Tm3+, Er3+@NaYF4@SiO2 and NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 nanoparticles (NPs). The two NPs both exhibited the temperature-dependent second near-infrared (NIR-II) downshifting luminescence over the physiological range. The luminescence ratio of Tm3+ emission at 1460 nm to Er3+ emission at 1525 nm (Tm3+:3H4 → 3F4; Er3+:4I13/2 → 4I13/2) varies with temperature increase, as well as Yb3+ emission at 980 nm and Ho3+ emission at 1150 nm (Yb3+:2F5/2 → 2F7/2; Ho3+:5I6 → 5I8). The highest relative sensitivity of NaYF4:Tm3+, Er3+@NaYF4@SiO2 and NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 aqueous suspension is 0.36% K−1 (at 298 K) and 0.76% K−1 (at 343 K), respectively. The biological tests prove the good biocompatibility and low toxicity of the water-soluble NPs. In vitro tissue penetration experiments verify a much better penetration ability of the synthesized NaYF4:Tm3+, Er3+@NaYF4@SiO2 compared with NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 NPs. The excellent physiological luminescent thermometry with favor wave penetration depth provides a promising platform in deep tissue temperature measurement, which is very important in vivo biosensing.","Biocompatibility; Energy transfer; Lanthanide-doped; Nanothermometers; Second near-infrared region","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:d0be1bbc-2a43-4b2f-9db8-cd05a1a1e8a3","http://resolver.tudelft.nl/uuid:d0be1bbc-2a43-4b2f-9db8-cd05a1a1e8a3","On the magnetic nanoparticle injection strategy for hyperthermia treatment","Jiang, Qian (The Hong Kong Polytechnic University); Ren, Feng (The Hong Kong Polytechnic University; Northwestern Polytechnical University); Wang, Chenglei (The Hong Kong Polytechnic University); Wang, Zhaokun (The Hong Kong Polytechnic University); Kefayati, Gholamreza (University of Tasmania); Kenjeres, S. (TU Delft ChemE/Transport Phenomena); Vafai, Kambiz (University of California); Liu, Yang (The Hong Kong Polytechnic University); Tang, Hui (The Hong Kong Polytechnic University)","","2022","We developed a dedicated computational framework by coupling the lattice-Boltzmann-method (LBM) modeling and the particle-swarm-optimization (PSO) algorithm to search optimal strategies of magnetic nanoparticle (MNP) injection for hyperthermia-based cancer treatment. Two simplified tumor models were considered: a circular model representing geometrically regular tumors and an elliptic model representing geometrically irregular tumors, both sharing the same area. The temperature distribution in the tumor and its surrounding healthy tissue was predicted by solving the Pennes’ bio-heat transfer equation (PBHTE). Both single- and multi-site injection strategies were explored. The results suggest that the multi-site injection strategies generally work well, while the single-site injection strategy fails even on the simplest circular tumor model. The more the injection sites, the better the performance. In particular, when the number of injection sites reaches eight, all temperature requirements can be nearly 100% satisfied in both tumor models. Whether or not including the minimum dose requirement in the objective function only affects the optimization results by less than 2%. The thermal dose was also assessed by considering both temperature and heat exposure time. It was found that the optimal multi-site injection strategies perform reasonably well for both tumor models. Although the setting is only two dimensional and the optimization is on very simplified tumor models, the framework adopted in this present study works well and can provide useful insights into magnetic hyperthermia treatment.","Lattice Boltzmann method; Magnetic hyperthermia treatment; Magnetic nanoparticle; Particle swarm optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Transport Phenomena","","",""
"uuid:2c18f1f5-0fac-48f5-9e45-0d760e225ab5","http://resolver.tudelft.nl/uuid:2c18f1f5-0fac-48f5-9e45-0d760e225ab5","An integrated approach to the key parameters in methanol-to-olefins reaction catalyzed by MFI/MEL zeolite materials","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering; TU Delft ChemE/Catalysis Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); van Vreeswijk, Sophie H. (Universiteit Utrecht); Yarulina, Irina (BASF SE); Ganapathy, S. (Universiteit Utrecht); Weckhuysen, Bert M. (Universiteit Utrecht); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering; TU Delft ChemE/Algemeen)","","2022","Identification of the catalyst characteristics correlating with the key performance parameters including selectivity and stability is key to the rational catalyst design. Herein we focused on the identification of property-performance relationships in the methanol-to-olefin (MTO) process by studying in detail the catalytic behaviour of MFI, MEL and their respective intergrowth zeolites. The detailed material characterization reveals that both the high production of propylene and butylenes and the large MeOH conversion capacity correlate with the enrichment of lattice Al sites in the channels of the pentasil structure as identified by 27Al MAS NMR and 3-methylpentane cracking results. The lack of correlation between MTO performance and other catalyst characteristics, such as crystal size, presence of external Brønsted acid sites and Al pairing suggests their less pronounced role in defining the propylene selectivity. Our analysis reveals that catalyst deactivation is rather complex and is strongly affected by the enrichment of lattice Al in the intersections, the overall Al-content, and crystal size. The intergrowth of MFI and MEL phases accelerates the catalyst deactivation rate.","Acidity; Al-distribution; Intergrowth MFI/MEL; Methanol-to-olefin conversion; Pentasil; Structure-performance relationship; Zeolite catalysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:f1b54fb6-6bea-4e94-8d4b-f18f342bc206","http://resolver.tudelft.nl/uuid:f1b54fb6-6bea-4e94-8d4b-f18f342bc206","Improving Li-ion interfacial transport in hybrid solid electrolytes","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); van Eck, Ernst R.H. (Radboud Universiteit Nijmegen); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2022","The development of commercial solid-state batteries has to date been hindered by the individual limitations of inorganic and organic solid electrolytes, motivating hybrid concepts. However, the room-temperature conductivity of hybrid solid electrolytes is still insufficient to support the required battery performance. A key challenge is to assess the Li-ion transport over the inorganic and organic interfaces and relate this to surface chemistry. Here we study the interphase structure and the Li-ion transport across the interface of hybrid solid electrolytes using solid-state nuclear magnetic resonance spectroscopy. In a hybrid solid polyethylene oxide polymer–inorganic electrolyte, we introduce two representative types of ionic liquid that have different miscibilities with the polymer. The poorly miscible ionic liquid wets the polymer–inorganic interface and increases the local polarizability. This lowers the diffusional barrier, resulting in an overall room-temperature conductivity of 2.47 × 10−4 S cm−1. A critical current density of 0.25 mA cm−2 versus a Li-metal anode shows improved stability, allowing cycling of a LiFePO4–Li-metal solid-state cell at room temperature with a Coulombic efficiency of 99.9%. Tailoring the local interface environment between the inorganic and organic solid electrolyte components in hybrid solid electrolytes seems to be a viable route towards designing highly conducting hybrid solid electrolytes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:c5597a1e-37a0-485a-ae6c-b1e3ef8cd458","http://resolver.tudelft.nl/uuid:c5597a1e-37a0-485a-ae6c-b1e3ef8cd458","An inter-city energy migration framework for regional energy balance through daily commuting fuel-cell vehicles","He, Yingdong (Hunan University; University of California); Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Liu, Jia (Guangzhou University; The Hong Kong Polytechnic University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","Spatiotemporal energy interaction and sharing are promising solutions to penetrate renewable energy, enhance grid power stability, and improve regional energy flexibility. However, the current literature is restrained in a small-scale neighborhood level, without considering inter-city energy migration through spatiotemporal complementarity between renewable-abundant regions (like suburb or countryside areas) and demand-shortage regions (like city centers). In this study, the energy interaction boundary is extended from a neighborhood scale to an inter-city scale, to maximize the renewable energy penetration, demand coverage, and reduce regional energy imbalance. This study firstly proposes a holistic framework on inter-city transportation-based energy migration, consisting of a residential community with rooftop photovoltaic systems and electrical batteries, an office building, hydrogen vehicles (HVs), a hydrogen (H2) station, and local power grids, for the energy transmission between building groups in spatially different regions through the daily commuting of HVs. Optimal grid-regulation strategies are thereafter proposed and adopted to stabilize the grid power and reduce energy costs. Parametric analysis on energy trading strategies and prices has been conducted, to improve the participation motivations of different stakeholders. Results indicate that, compared to the reference case with isolated buildings and vehicles, the transportation-based energy migration framework covers 23.2 % of the office energy demand and elevates the community's renewable self-use ratio from 72.7 % to 98.6 %. Meanwhile, the maximum grid-export power in the renewable-abundant region (suburb residential community) and the annual grid-import power in the demand-shortage region (city-center office) are reduced by up to 86.9 % (from 155.7 to 20.4 kW) and 29.4 % (from 49.0 to 34.6 kW), respectively. Moreover, even considering the fuel cell degradation cost of HVs, the transportation-based energy migration framework reduces the operating costs of the office building and HVs (the H2 cost and the fuel cell degradation cost) by 16.4 % (from $52791.3 to $44154.7) and 1.7 % (from $27172.5 to $26707.4), respectively. Afterward, compared to the reference case, the peak-shaving and load-shaping grid-regulation strategies can decrease the peak grid-export power of the community by about 71.6 % (from 155.7 to 44.2 kW), and the maximum grid-import power of the office by 23.7 % (from 49.0 to 37.4 kW), respectively. Furthermore, the transportation-based energy migration framework is economically feasible, only when the renewable export price for H2 production is 0.07 $/kWh, the onsite-renewable-generated H2 lower than 6.5 $/kg for the HV owners, and the vehicle-to-building electricity lower than 0.3 $/kWh for the office building. This study provides a novel inter-city energy migration framework with hydrogen networks to enhance district energy sharing, improve regional energy balance and reduce carbon emission, together with frontier guidelines on energy trading prices to promote participation motivations from different stakeholders.","Distributed hydrogen infrastructure; Energy trading; Hydrogen economy; Innovative Grid-regulation strategy; Spatiotemporal energy sharing network; Transportation-based Inter-city energy migration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-31","","","Design & Construction Management","","",""
"uuid:8f5b7ddd-5383-4370-9145-102332ee69c4","http://resolver.tudelft.nl/uuid:8f5b7ddd-5383-4370-9145-102332ee69c4","Singlet-Doublet Transitions of a Quantum Dot Josephson Junction Detected in a Transmon Circuit","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab); Splitthoff, L.J. (TU Delft QuTech Advanced Research Centre; TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft QuTech Advanced Research Centre; TU Delft Andersen Lab; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft); van Heck, B. (Universiteit Leiden)","","2022","We realize a hybrid superconductor-semiconductor transmon device in which the Josephson effect is controlled by a gate-defined quantum dot in an InAs-Al nanowire. Microwave spectroscopy of the transition spectrum of the transmon allows us to probe the ground-state parity of the quantum dot as a function of the gate voltages, the external magnetic flux, and the magnetic field applied parallel to the nanowire. The measured parity phase diagram is in agreement with that predicted by a single-impurity Anderson model with superconducting leads. Through continuous-time monitoring of the circuit, we furthermore resolve the quasiparticle dynamics of the quantum dot Josephson junction across the phase boundaries. Our results can facilitate the realization of semiconductor-based 0-π qubits and Andreev qubits.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:e600c367-e45a-4409-88c5-de59f8819a16","http://resolver.tudelft.nl/uuid:e600c367-e45a-4409-88c5-de59f8819a16","Enabling Co-Innovation for a Successful Digital Transformation in Wind Energy Using a New Digital Ecosystem and a Fault Detection Case Study","Barber, Sarah (Eastern Switzerland University of Applied Sciences); Lima, Luiz Andre Moyses (Voltalia); Sakagami, Yoshiaki (Federal Institute of Santa Catarina); Quick, Julian (University of Colorado); Latiffianti, Effi (Texas A and M University; Institut Teknologi Sepuluh Nopember); Liu, Y. (Electric Power Research Institute (EPRI) Europe); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); Letzgus, Simon (Technical University of Berlin); Zhang, Xujie (Zhejiang Sci-Tech University); Hammer, Florian (Eastern Switzerland University of Applied Sciences)","","2022","In the next decade, further digitalisation of the entire wind energy project lifecycle is expected to be a major driver for reducing project costs and risks. In this paper, a literature review on the challenges related to implementation of digitalisation in the wind energy industry is first carried out, showing that there is a strong need for new solutions that enable co-innovation within and between organisations. Therefore, a new collaboration method based on a digital ecosystem is developed and demonstrated. The method is centred around specific “challenges”, which are defined by “challenge providers” within a topical “space” and made available to participants via a digital platform. The data required in order to solve a particular “challenge” are provided by the “challenge providers” under the confidentiality conditions they specify. The method is demonstrated via a case study, the EDP Wind Turbine Fault Detection Challenge. Six submitted solutions using diverse approaches are evaluated. Two of the solutions perform significantly better than EDP’s existing solution in terms of Total Prediction Costs (saving up to €120,000). The digital ecosystem is found to be a promising solution for enabling co-innovation in wind energy in general, providing a number of tangible benefits for both challenge and solution providers.","co-innovation; collaboration; digitalisation; fault detection; machine learning; wind energy","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:ccdf1b3d-2aff-4acf-8074-2b80084b8efe","http://resolver.tudelft.nl/uuid:ccdf1b3d-2aff-4acf-8074-2b80084b8efe","An object-oriented geometric engine design for discontinuities in unfitted/immersed/enriched finite element methods","Zhang, J. (TU Delft Computational Design and Mechanics); Zhebel, E.V. (TU Delft Computational Design and Mechanics; EZNumeric); van den Boom, S.J. (TU Delft Computational Design and Mechanics; TNO); Liu, D. (TU Delft Applied Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2022","In this work, an object-oriented geometric engine is proposed to solve problems with discontinuities, for instance, material interfaces and cracks, by means of unfitted, immersed, or enriched finite element methods (FEMs). Both explicit and implicit representations, such as geometric entities and level sets, are introduced to describe configurations of discontinuities. The geometric engine is designed in an object-oriented way and consists of several modules. For efficiency, a (Formula presented.) -d tree data structure that partitions the background mesh is constructed for detecting cut elements whose neighbors are found by means of a dual graph structure. Moreover, the implementation for creating enriched nodes, integration elements, and physical groups is described in detail, and the corresponding pseudo-code is also provided. The complexity and efficiency of the geometric engine are investigated by solving 2-D and 3-D discontinuous models. The capability of the geometric engine is demonstrated on several numerical examples. Topology optimization and problems with intersecting discontinuities are handled with enriched FEMs, where enriched discretizations obtained from the geometric engine are used for the analysis. Furthermore, polycrystalline structures that overlap with an unfitted mesh are considered, where integration elements are created so they align with grain boundaries. Another example shows that the Stanford bunny, which is discretized by a surface mesh with triangular elements, can be fully immersed into a 3-D background mesh. Finally, we share a list of main findings and conclude that the proposed geometric engine is general, robust, and efficient.","discontinuities; enriched finite element methods; geometric engine; level set; mesh generator","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:83312bef-f1fc-4660-990e-c8632742ee2a","http://resolver.tudelft.nl/uuid:83312bef-f1fc-4660-990e-c8632742ee2a","Examining industrial air pollution embodied in trade: implications of a hypothetical China-UK FTA","Zhang, Yuquan W. (Shanghai Jiao Tong University); Geng, Yong (Shanghai Jiao Tong University; Tongji University); Zhang, Bin (Ministry of Ecology and Environment); Yang, Shaohua (Shanghai Jiao Tong University); Izikowitz, David V. (Shanghai Jiao Tong University); Yin, Haitao; Wu, F. (TU Delft Energie and Industrie; ETH Zürich); Yu, Haishan (Shanghai Jiao Tong University); Liu, Huiwen (Shanghai Jiao Tong University); Zhou, Weiduo (Shanghai Academy of Social Sciences)","","2022","Very few developed economies have a full free trade agreement (FTA) with China. This study employs one GTAP model and builds an extended environmental multi-region input–output model to investigate a hypothetical China-UK FTA, concerning embodied industrial emissions of SO2, PM2.5, NOX, and NH3. The economic sectors are also classified based on their embodied pollution intensity and trade advantage index under various FTA scenarios. Results show that the UK’s GDP and welfare and China’s welfare will increase, along with changes in their trade structures. Overall, this FTA brings about larger net impacts on embodied emissions of SO2 than on PM2.5, NOX and NH3, and both countries are net importers of the latter three pollutants. Key sectors such as non-metallic mineral products, chemical products, and agriculture are inclined to become less competitive and less polluting under the FTA. The inclusion of agri-food sectors exhibits slight counteracting effects in general. The findings are of policy importance as they provide insights into how best to target key sectors, seeking a balance between trade development and environmental protection.","Embodied pollution; Environmental MRIO; FTA; GTAP; Trade competitiveness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:2b161ba2-3f05-4d33-9418-6a41668344ef","http://resolver.tudelft.nl/uuid:2b161ba2-3f05-4d33-9418-6a41668344ef","Fractal characteristics and damage evaluation of corroded beams under four-point bending tests based on acoustic emission techniques","Zheng, Yonglai (Tongji University); Wen, Yuan (Tongji University); Pan, Tanbo (Tongji University); Liu, Yongcheng (Tongji University); Zhou, Yujue (Tongji University; Sanming University); Li, Ruizhi (Tongji University); Zhou, Y. (TU Delft Concrete Structures)","","2022","This study investigated the relationship between the acoustic emission (AE) signals parameter sequence fractal characteristics and the damage evolution information of corroded reinforced concrete (RC) beams under four-point bending. Strength deterioration behavior and AE data can be obtained by coupling the four-point bending test and the AE monitoring. The results show that AE ringing counts of corroded and uncorroded beams had prominent fractal characteristics. The fractal dimension values of corroded RC beams all showed a fluctuating rise to a peak and then a sharp drop before the failure. The damage index corresponding to the peak point decreases with the increase of corrosion degree. Fractal dimension peak point could be used as an early warning point for corroded RC beams' failure. Moreover, the AE fractal dimension analysis can effectively reflect the pattern of crack development, which have an important value for evaluating the process of corroded RC beams rupture.","Acoustic emission; Concrete fracture monitoring; Damage assessment; Fractal Characteristics; Steel corrosion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:7311fb79-4215-43be-9c36-0d3e4aebfba9","http://resolver.tudelft.nl/uuid:7311fb79-4215-43be-9c36-0d3e4aebfba9","Railway ballast layer inspection with different GPR antennas and frequencies","Liu, Guixian (China Academy of Railway Sciences); Peng, Zhan (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Wang, Shilei (China Academy of Railway Sciences); Li, Yaonan (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2022","Ground penetrating radar (GPR) is a popular technology for inspecting railway ballast layer, mainly on the ballast fouling level. However, different GPR antennas with different frequencies are suitable for different inspection emphasis and diverse railway lines (weather and sub-structure). In addition, the full-scale track model (with subgrade) for experimental tests was not seen in earlier studies. For further application of GPR in China, the GPR inspections (with 400 MHz, 900 MHz and 2 GHz antennas) were performed on a 30 m long full-scale track and three railway lines (different weather and sub-structure). Results show that ballast layer inspection should be performed mainly with the 2 GHz antenna and supplemented by the 400 MHz and 900 MHz antennas. The weather has great influence on the results of GPR inspection. This study is helpful for supplementing the guidance of ballast layer inspection with GPR.","Ballast fouling; GPR; Ground penetrating radar; Railway ballast; Track geometry; Track inspection","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e002271a-9def-4a08-b0e2-b870bd6f5ae8","http://resolver.tudelft.nl/uuid:e002271a-9def-4a08-b0e2-b870bd6f5ae8","Adaptive neural sliding mode control for heterogeneous ship formation keeping considering uncertain dynamics and disturbances","You, Xu (Wuhan University of Technology); Yan, Xinping (Wuhan University of Technology); Liu, Jialun (Wuhan University of Technology); Li, Shijie (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2022","This paper investigates the formation keeping problem of heterogeneous ships with underactuated inputs, uncertain dynamics, and environmental disturbances. The control objective is to make the heterogeneous followers keep the desired formation while tracking a leader. To solve the problem effectively, a novel virtual leader–follower formation scheme considering the ship heterogeneity is proposed by utilizing the backstepping method, adaptive neural network, and adaptive control law. The stability of the formation control system is proved based on Lyapunov's direct method where all tracking errors are guaranteed to be uniformly ultimately bounded. Finally, simulations and comparisons are conducted to verify the effectiveness of the proposed control law.","Adaptive control; Formation control; Heterogeneous dynamics; Heterogeneous formation; Neural networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport Engineering and Logistics","","",""
"uuid:dfbc01d2-7279-4b9e-a3e9-54d840ee1511","http://resolver.tudelft.nl/uuid:dfbc01d2-7279-4b9e-a3e9-54d840ee1511","Fresh and hardened properties of alkali-activated slag concrete: The effect of fly ash as a supplementary precursor","Sun, Yubo (Universiteit Gent); Liu, Zhiyuan (Universiteit Gent); Ghorbani, Saeid (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2022","The present study explores the possibility of replacing blast furnace slag (BFS) with coal fly ash (FA) to produce alkali-activated material (AAM) concrete with hybrid precursors. With an increased FA replacement ratio, the reaction kinetics, fresh and hardened properties of AAM mixtures have been investigated. The retardation effect on the reaction kinetics with an increased FA content has been observed, which not only extended the induction period along with the heat flow evolution but also reduced the cumulative heat release up to 24 h. Spherical FA particles can provide a ball-bearing effect to improve the workability of the hybrid AAM mixtures, while FA also slows down the deterioration of fresh properties since they are less reactive compared to BFS particles. Regarding the strength development, FA results in the reduction at all curing ages in the mixtures with a low silicate modulus (Ms0.25). Similarly, reduction in 1-day compressive strength has been detected in high silicate modulus mixtures (Ms0.5) with FA replacement, while the mixture with 10% FA exhibits the highest compressive strength among Ms0.5 concretes at later curing ages. Bigger capillary pores have been detected in AAM mixtures with an increase in FA content. However, AAM with 10% FA shows the lowest porosity in Ms0.5 mixtures, which is in agreement with the compressive strength results.","Alkali-activated material concrete; Blast furnace slag; Coal fly ash; Rheology; Strength development","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0fe1f741-00cc-4cf1-a5b8-4842ccdd2599","http://resolver.tudelft.nl/uuid:0fe1f741-00cc-4cf1-a5b8-4842ccdd2599","A theoretical study of atmospheric pollutant NO2 on as-doped monolayer WS2 based on DFT method","Hou, Shuhan (Southern University of Science and Technology); Wang, Zhaokun (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology; Harbin Institute of Technology); Jiang, Jing (Fudan University); Gao, Chenshan (Southern University of Science and Technology); Liu, Yufei (Chongqing University); Tang, Xiaosheng (Chongqing University of Posts and Telecommunications); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2022","For the relevant properties of pristine and doped (Si, P, Se, Te, As) monolayer WS2 before and after the adsorption of CO, CO2, N2, NO, NO2 and O2, density functional theory (DFT) calculations are made. Calculation results reveal that the monolayer WS2 doped with P and As atoms can be substrate materials for NO and NO2 gas sensors. However, after the subsequent CDD and ELF calculations, it is found that P-doped monolayer WS2 adsorbs NO and NO2 in a chemical way, while As-doped monolayer WS2 adsorbs NO and NO2 in a physical way. Also, the charge transfer between As-doped monolayer WS2 and NO is relatively small and not easily detected. Besides, As-doped monolayer WS2 system exhibits greater differences in optical properties (the imaginary part of reflectivity and dielectric function) before and after the adsorption of NO2 gas than before and after adsorption of NO gas. These differences in optical properties assist sensor devices in making gas adsorption-related judgments. Through the analysis of the recovery time, DOS and PDOS, As-doped monolayer WS2 is also verified to be a promising NO2 sensing material, whose recovery time is calculated to be as short as 0.169 ms at 300 K.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:35ca42db-5298-4141-b093-19a0877608c1","http://resolver.tudelft.nl/uuid:35ca42db-5298-4141-b093-19a0877608c1","Operational risk allocation in urban rail transit public–private partnership projects","Xiang, Pengcheng (Chongqing University); Zhang, Qianman (Chongqing University); Jiang, Qiuyan (Chongqing University); Liu, Z. (TU Delft Integral Design & Management)","","2022","Operation risk (OR) has affected sustainable development of urban rail transit (URT) public–private partnership (PPP) projects in China. Balanced distribution of operational risks among stakeholders (the public, government, and private companies) involved in URT PPP projects can maximize overall profit. Quantitative analysis of operational risk allocation of urban rail transit PPP project is the key to achieve balance. Few existing studies have quantitatively analyzed operation risk allocation (ORA) in URT PPP project. The objective of this research is to construct a quantitative risk allocation model among three participants by using cooperative game theory. The risk allocation model was modified based on the consideration of four factors affecting the allocation of operational risks: controllability, risk loss, affordability, and handling cost. A case was used as an empirical example, and possible problems were illustrated. The result shows it is necessary and feasible to let the public bear part of the operational risk of the project by raising ticket prices. The results reveal that the public will play an important role in balancing risk allocation. This study shows that an ORA model can suggest how to make the risk allocation process more reasonable, fair, and stable. Meanwhile, the quantitative approach proposed can also be used by stakeholders in achieving fairness and stability of the partnership.","game theory; operation risk; public–private partnership; risk allocation; urban rail transit","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:a6fec65d-b5ff-4a56-adca-431b4af37adb","http://resolver.tudelft.nl/uuid:a6fec65d-b5ff-4a56-adca-431b4af37adb","DEM-based stress transmission in asphalt mixture skeleton filling system","Xing, Chao (Harbin Institute of Technology); Liu, Bo (Harbin Institute of Technology); Sun, Zhiqi (Shijiazhuang Tiedao University); Tan, Yiqiu (Harbin Institute of Technology); Liu, X. (TU Delft Pavement Engineering); Zhou, Changhong (Guilin University of Electronic Technology)","","2022","Asphalt mixture is a skeleton filling system consisting of aggregate, asphalt, and mineral powder. High performance asphalt mixture design is directly affected by internal stress transmission of skeleton filling system. In this paper, the discrete element method based on digital image was employed to study the stress transmission. By analyzing the effect of skeleton structure on the contact force between aggregate and mortar, it is concluded that the skeleton aggregate in the asphalt mixture is the main stress transmission medium. The lower the degree of disruption, the higher the contact force of skeleton aggregate. At the same time, the variation coefficient of contact force of asphalt mortar with low filling coefficient is larger and the localization phenomenon is more serious. By analyzing the effect of mortar properties on the contact force between aggregate and mortar, it is concluded that the lower the modulus of asphalt mortar, the higher the degree of localization of contact force and the more likely to have local damage.","Asphalt mixtures; Contact force transmission; DEM; Mesostructure; Skeleton filling system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:e03a0445-68f2-4bb1-8ab5-1a6a9d692480","http://resolver.tudelft.nl/uuid:e03a0445-68f2-4bb1-8ab5-1a6a9d692480","Design and assessments on a hybrid pin fin-metal foam structure towards enhancing melting heat transfer: An experimental study","Liu, Gang (Xi’an Jiaotong University); Du, Zhao (Xi’an Jiaotong University); Xiao, Tian (Xi’an Jiaotong University); Guo, Junfei (Xi’an Jiaotong University); Lu, Liu (Xi’an Jiaotong University); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy)","","2022","Solar energy, as a kind of renewable energy, offers a large reserve to be harvested at a reasonably low cost for engineering applications. To decouple the temporal and spatial relevance of the continuous energy supply of solar energy, latent heat thermal energy storage can deal with this problem at different temperatures. Aiming to improve energy efficiency, a novel hybrid metal foam-pin fin structure is designed and assessed. Upon conducting measurements on a well-designed experimental bench, the phase change processes of paraffin that is filled in fins, metal foam, and a combination of both (hybrid structure) are evaluated. During the experiments, the transient melting interface is snapshotted and temperature development is documented under five different heat source temperatures of 61 °C, 63 °C, 65 °C, 68 °C, and 70 °C. In the foreground of the novel hybrid structure, each segment of the hybrid is also justified and discussed. Results indicate that the hybrid structure augments marked heat transfer. Compared to pure PCM, complete melting time decreases by 63.4% and simultaneously the temperature response rate increases by 143.9% as implementing the hybrid. Attempts to design hybrid structure find a solution to assess and operate thermal storage applications for solar engineering.","Experimental measurement; Fin-copper foam composite; Phase change heat storage; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-03","","Process and Energy","","","",""
"uuid:160d588c-686d-4a4f-b71a-9145f06b3ef0","http://resolver.tudelft.nl/uuid:160d588c-686d-4a4f-b71a-9145f06b3ef0","Effects of High Gamma Doses on the Structural Stability of Metal-Organic Frameworks","Ma, C. (TU Delft RST/Applied Radiation & Isotopes); Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Wolterbeek, H.T. (TU Delft RST/Applied Radiation & Isotopes); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Serra Crespo, P. (TU Delft RST/Applied Radiation & Isotopes)","","2022","Four different MOFs were exposed to γrays by a cobalt-60 source reaching a maximum dose of 5 MGy. The results showed that the MIL-100 (Cr) and MIL-100 (Fe) did not exhibit obvious structural damage, suggesting their excellent radiation stability. MIL-101 (Cr) showed good radiation stability up to 4 MGy, but its structure started degrading with increasing radiation dose. Furthermore, the results showed that the structure of AlFu MOFs started to decompose at a gamma dose of 1 MGy, exhibiting a much lower tolerance to γradiation. At this radiation energy, the dominant interaction of the gamma-ray with MOFs is the Compton effect and the radiation stability of MOFs can be improved by prolific aromatic linkers, high linker connectivity, and good crystallinity. The results of this study indicate that MIL-100 and MIL-101 MOFs have a good potential to be employed in nuclear applications, where relatively high radiation doses play a role, for example, nuclear waste treatment and radionuclides production.","","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:150085fa-18cd-4e2b-ad0a-202312530a83","http://resolver.tudelft.nl/uuid:150085fa-18cd-4e2b-ad0a-202312530a83","Conductive Co-based metal organic framework nanostructures for excellent potassium- and lithium-ion storage: Kinetics and mechanism studies","Mao, Pengcheng (Northeastern University); Fan, Huilin (Northeastern University); Liu, Chang (Northeastern University); Lan, Gongxu (Northeastern University); Huang, Wei (Hunan Agricultural University); Li, Zhipeng (University of Science and Technology Beijing); Amin Hassan, H.M. (TU Delft EKL Equipment; Zagazig University); Zheng, Runguo (Northeastern University); Wang, Zhiyuan (Northeastern University); Sun, Hongyu (Northeastern University); Liu, Yanguo (Northeastern University)","","2022","Thanks to the low cost and earth's abundant potassium resources, potassium ion batteries (PIBs) have attracted much interest as alternative energy storage devices. However, there is still a great challenge to develop suitable anode materials for PIBs with high specific capacity, fast charge/discharge and stable ion storage. Nowadays, conductive metal-organic frameworks (c-MOFs) with excellent physicochemical properties are employed for different electrochemical applications, but the study of their potassium storage performance remains unknown, and the detailed potassium storage mechanism needs to be explored. Herein, nanostructured Co3(HHTP)2 c-MOF (Co-CAT MOF, HHTP: 2,3,6,7,10,11-hexahydroxytriphenylene) is synthesized by a liquid-phase method and evaluated as the anode for PIBs. The active sites and open pathways in the conductive Co-CAT MOF promote ion diffusion and electron transfer, exhibiting high reversible specific capacity (332 mA h g−1 at 0.1 A g−1), excellent long-cycle stability (230 mA h g−1 at the current density of 1.0 A g−1 after 700 cycles) and outstanding rate performance (165 mA h g−1 at 4.0 A g−1), which is superior to the typical PIB anodes. Combined with different ex situ characterization techniques, the potassium storage mechanism based on 8-electron transfer is revealed. Furthermore, Co-CAT MOF exhibits excellent Li-ion storage performance. In the half-cell, the Co-CAT MOF electrode displays a high reversible capacity of 800 mA h g−1 at 200 mA g−1. In addition, the Co-CAT//LiCoO2 full cell cycles for 100 cycles at 200 mA h g−1. It is believed that Co-CAT MOF is a promising electrode material for potassium/lithium storage, and the proposed ion storage mechanism can be used to discover other MOF-based electrodes for energy storage.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","EKL Equipment","","",""
"uuid:1977ade0-f41e-4c8f-a22c-b77f96a94d55","http://resolver.tudelft.nl/uuid:1977ade0-f41e-4c8f-a22c-b77f96a94d55","Research on Design and Performance of Self-Compacting Cement Emulsified Bitumen Mixture (CEBM)","Yi, Jinming (Wuhan Institute of Technology; Poly Changda Overseas Engineering Co.); Feng, Jianlin (Wuhan Institute of Technology); Li, Yuanyuan (Wuhan Institute of Technology); Bai, Tao (Wuhan Institute of Technology); Chen, Anqi (Wuhan University of Technology); Gao, Y. (TU Delft Pavement Engineering); Wu, Fan (Wuhan Institute of Technology); Wu, Shaopeng (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Li, Chuangmin (Changsha University of Science and Technology)","","2022","To meet the needs of the road industry for maintenance operations, a new cement emulsified bitumen mixture (CEBM) with early-strength, self-compacting, and room-temperature construction characteristics was designed. The strength formation mechanism of CEBM was revealed with a scanning electron microscope (SEM) and the surface free energy (SFE) theory. The mechanical properties and road performance of the CEBM were investigated extensively. The results show that before the demulsification of emulsified bitumen, the SFE of the bitumen–aggregate–water three-phase system was reduced due to the replacement of the bitumen–aggregate interface with water. The adhesion work between the emulsified bitumen and the aggregate is negative, which means the adhesion between the emulsified bitumen and the aggregate will not occur spontaneously due to the existence of water. The liquid emulsified bitumen improves the workability of the mixture and ensures that the mixture can be evenly mixed and self-compacted. After demulsification, the work of adhesion between the residual bitumen and the aggregate is positive, which means residual bitumen and aggregate can bond spontaneously. In addition, the hydration products of cement and aggregate form a skeleton, and the emulsified bitumen film wraps and bonds the cement and aggregate together, creating strength. The emulsified bitumen, cement content, and curing conditions have significant effects on the stability of CEBM. The recommended dosage of emulsified bitumen and cement is 8% and 8–10%, respectively. This material integrates the hardening effect of cement and the viscoelastic performance of bitumen and has good workability, mechanical properties, and road performance. Therefore, the CEBM is technically feasible for application to bitumen pavement.","bitumen/cement composite mixture; early-strength; mixture performance; self-compacted; strength formation mechanism","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:46c38efe-1426-4c3c-b873-6179f618789d","http://resolver.tudelft.nl/uuid:46c38efe-1426-4c3c-b873-6179f618789d","Earth pressure in narrow cohesive-fictional soils behind retaining walls rotated about the top: An analytical approach","Yang, Dayu (Southeast University); Lai, F. (TU Delft Geo-engineering; Southeast University); Liu, Songyu (Southeast University)","","2022","There is currently a lack of an available design approach to estimate the earth pressure in narrow backfills behind retaining walls rotated about the top (RT). The considerations of some significant factors, primarily load transfer mechanisms (soil arching effect and horizontal shear stress in soils), failure mechanisms (shape and number of slip surfaces) and soil cohesion are often neglected for brevity in routine design. Such simplifications may lead to significant deviations from reality. This paper first uses the finite element limit analysis (FELA) technique to identify the underlying failure mechanisms and load transfer mechanisms. The results observed in FELA models indicate that active rotation of walls about the top develops one curved slip surface, which can be approximated by the log-spiral function. Under the soil arching effect, the upper intermediate passive zone with major principal stress rotation trajectory and the lower active zone with minor one can be defined. The arched differential element method (ADEM) is then introduced to formulate the earth pressure calculation. The results from newly published tests, existing analytical approaches, and FELA are compared to validate the accuracy of the proposed approach in both purely-frictional and cohesive-frictional soils. Parametric studies are further conducted to thoroughly understand the earth pressure problems, considering the effects of sensitive design variables (e.g. aspect ratio, soil strength parameters, and wall-soil interface friction angle). The analytical approach presented here would be a great extension to the design guidelines for the retaining structures with narrow backfills.","Arched differential element method; Earth pressure; Finite element limit analysis; Narrow soil; Soil arching effect","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:a8ddc0e5-f9e2-45ed-9e1d-c696e9cda5b4","http://resolver.tudelft.nl/uuid:a8ddc0e5-f9e2-45ed-9e1d-c696e9cda5b4","Efficient Radiolabeling of Block Copolymer Micelles through Radiometal Salt Precipitation for Theranostic Applications","Liu, H. (TU Delft RST/Applied Radiation & Isotopes); de Kruijff, R.M. (TU Delft RST/Applied Radiation & Isotopes); Laan, A.C. (TU Delft RST/Technici Pool); Beekman, F.J. (TU Delft RST/Biomedical Imaging; MILabs B.V.; University Medical Center Utrecht); van den Heuvel, E.J. (TU Delft RST/Technici Pool); Ramakers, R.M. (TU Delft RST/Technici Pool; MILabs B.V.; University Medical Center Utrecht); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2022","A variety of polymer micelles are designed for the delivery of chemotherapeutic drugs to tumors. Although the promise of these nanocarriers is very high, in the clinic the effectivity is rather limited. Determining the in vivo fate of the micelles can greatly help to improve this treatment. Here, a simple and fast chelator-free method for radiolabeling of polymer micelles composed of different block copolymers is presented, which can allow evaluating the behavior of the nanocarriers in vivo using noninvasive nuclear imaging techniques (e.g., single photon computed tomography, SPECT). The radiolabeling method consists of adding the radioisotope ions, i.e., 111In(III), resulting in a high radiolabeling efficiencies up to 90%. The results suggest that the radiolabeling efficiency depends on two important factors: the properties of the hydrophobic block in the block copolymer composing the micelle core, and the speciation of the radiometal salts. The formation of metal hydroxides and their precipitation in the core of the micelles appears to be a key factor for high stability. Moreover, the method can be applied to radiolabel the micelles in the presence of chemotherapeutic drugs. Finally, a SPECT study shows that the radiolabeled samples are stable in vivo without any evident loss of 111In(III).","biodistribution; block copolymer; hydrophobicity; radiolabeling; SPECT imaging","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:69e57fff-6f7e-40e2-abd9-13e50c76657b","http://resolver.tudelft.nl/uuid:69e57fff-6f7e-40e2-abd9-13e50c76657b","Direct and continuous conversion of flue gas CO2 into green fuels using dual function materials in a circulating fluidized bed system","Kosaka, Fumihiko (National Institute of Advanced Industrial Science and Technology (AIST)); Sasayama, Tomone (National Institute of Advanced Industrial Science and Technology (AIST)); Liu, Yanyong (National Institute of Advanced Industrial Science and Technology (AIST)); Chen, S. (National Institute of Advanced Industrial Science and Technology (AIST)); Mochizuki, Takehisa (National Institute of Advanced Industrial Science and Technology (AIST)); Matsuoka, Koichi (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Kuramoto, Koji (National Institute of Advanced Industrial Science and Technology (AIST))","","2022","Carbon capture and utilization (CCU) technologies, such as CO2 methanation, generally require energy-intensive CO2 capture and separation processes prior to catalytic CO2 conversion. In contrast, integrated CO2 capture and reduction (CCR) technologies that use dual function materials (DFM) can directly convert low-concentration CO2 in flue gas or atmosphere into high-concentration CH4 or CO. In this study, we demonstrate a circulating fluidized bed (CFB) approach to enable continuous operation of CCR. In the CFB approach, the DFM (Na/Ni/Al2O3) circulates between two bubbling fluidized beds to enable steady-state cyclic operation of (1) selective capture of CO2 in flue gas/air and (2) hydrogenation of the captured CO2. We succeeded in the continuous synthesis of CH4 with high CO2 capture efficiency (>88 %) and high H2 conversion (>85 %) yielding mainly CH4 (selectivity > 99 %) as the product at high concentration (>20 % CH4) using 2 % CO2/N2 as the model flue gas.","CO capture; CO hydrogenation; CO utilization; Dual function material; Fluidized bed; Methanation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Catalysis Engineering","","",""
"uuid:8041b3aa-80b3-4a03-8bac-9bd4dbb71d1a","http://resolver.tudelft.nl/uuid:8041b3aa-80b3-4a03-8bac-9bd4dbb71d1a","Temperature-sensing performance of polymer-derived SiAlCN ceramics up to 1000 °C","Shao, Pengfei (Zhengzhou University); Ma, C. (TU Delft RST/Applied Radiation & Isotopes; Zhengzhou University); Han, Daoyang (Zhengzhou University); Liu, Kun (Zhengzhou University); Li, Mingliang (Zhengzhou University); Liang, Yi (Zhengzhou University); Yao, Meng (Zhengzhou University); Wang, Hailong (Zhengzhou University); Zhang, Rui (Zhengzhou University; Luoyang Institute of Science and Technology, Henan); Shao, Gang (Zhengzhou University)","","2022","Temperature sensors that can operate in high-temperature and harsh environments are highly desired. However, this is a great challenge for sensing materials to operate under extreme working conditions because of oxidation and/or corrosion at high temperature. In this study, polymer-derived SiAlCN ceramics were prepared as sensing materials to overcome the abovementioned issues. A SiAlCN ceramic temperature sensor was designed and fabricated, and it performed excellent temperature-sensing properties with high accuracy, high stability, and high repeatability up to 1000 °C. Compared with traditional thermocouples, the SiAlCN ceramic sensor exhibited a faster response rate (a shorter response time). These results showed that SiAlCN ceramic is a promising sensor material for temperature measurement in high-temperature and harsh environments.","Harsh environment; High-temperature sensor; Negative temperature coefficient; Polymer-derived SiAlCN ceramics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Applied Radiation & Isotopes","","",""
"uuid:c650e123-84b2-4607-8403-e0c7c1cbfaea","http://resolver.tudelft.nl/uuid:c650e123-84b2-4607-8403-e0c7c1cbfaea","Lightweight and Accurate DNN-Based Anomaly Detection at Edge","Zhang, Qinglong (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Xin, Gaofeng (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2022","Deep neural networks (DNNs) have been showing significant success in various anomaly detection applications such as smart surveillance and industrial quality control. It is increasingly important to detect anomalies directly on edge devices, because of high responsiveness requirements and tight latency constraints. The accuracy of DNN-based solutions rely on large model capacity and thus long training and inference time, making them inapplicable on resource strenuous edge devices. It is hence imperative to scale DNN model sizes in correspondence to the run-time system requirements, i.e., meeting deadlines with minimal accuracy losses, which are highly dependent on the platforms and real-time system status. Existing scaling techniques either take long training time to pre-generate scaling options or disturb the unsteady training process of anomaly detection DNNs, lacking the adaptability to heterogeneous edge systems and incurring low inference accuracies. In this article, we present LightDNN to scale DNN models for anomaly detection applications at edge, featuring high detection accuracies with lightweight training and inference time. To this end, LightDNN quickly extracts and compresses blocks in a DNN, and provides large scaling space (e.g., 1 million options) by dynamically combining these compressed blocks online. At run-time, LightDNN predicts the DNN's inference latency according to the monitored system status, and optimizes the combination of blocks to maximize its accuracy under deadline constraints. We implement and extensively evaluate LightDNN on both CPU and GPU edge platforms using 8 popular anomaly detection workloads. Comparative experiments with state-of-the-art methods show that our approach provides 145.8 to 0.56 trillion times more scaling options without increasing training and inference overheads, thus achieving as much as 15.05% increase in accuracy under the same deadlines.","Anomaly detection; DNN; edge inference; model scaling; predictable latency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:328950ee-bc4e-4af0-bd54-5f58ebfc89eb","http://resolver.tudelft.nl/uuid:328950ee-bc4e-4af0-bd54-5f58ebfc89eb","Prediction of River Pollution Under the Rainfall-Runoff Impact by Artificial Neural Network: A Case Study of Shiyan River, Shenzhen, China","Tian, Zhan (Southern University of Science and Technology; Pengcheng Laboratory, Shenzhen); Yu, Ziwei (Southern University of Science and Technology); Li, Yifan (Southern University of Science and Technology); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Liu, Junguo (Southern University of Science and Technology); Luo, Hongyan (Shenzhen National Climate Observatory of Meteorological Bureau of Shenzhen Municipality); Tang, Yingdong (PowerChina Huadong Engineering Corporation Limited, Hangzhou)","","2022","Climate change and rapid urbanization have made it difficult to predict the risk of pollution in cities under different types of rainfall. In this study, a data-driven approach to quantify the effects of rainfall characteristics on river pollution was proposed and applied in a case study of Shiyan River, Shenzhen, China. The results indicate that the most important factor affecting river pollution is the dry period followed by average rainfall intensity, maximum rainfall in 10 min, total amount of rainfall, and initial runoff intensity. In addition, an artificial neural network model was developed to predict the event mean concentration (EMC) of COD in the river based on the correlations between rainfall characteristics and EMC. Compared to under light rain (< 10 mm/day), the predicted EMC was five times lower under heavy rain (25–49.9 mm/day) and two times lower under moderate rain (10–24.9 mm/day). By converting the EMC to chemical oxygen demand in the river, the pollution load under non-point-source runoff was estimated to be 497.6 t/year (with an accuracy of 95.98%) in Shiyan River under typical rainfall characteristics. The results of this study can be used to guide urban rainwater utilization and engineering design in Shenzhen. The findings also provide insights for predicting the risk of rainfall-runoff pollution and developing related policies in other cities.","ANN; EMC; integrated learning methods; rainfall characteristics; rainfall-runoff pollution","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:8e8320a4-495c-474a-9282-71e524ee28da","http://resolver.tudelft.nl/uuid:8e8320a4-495c-474a-9282-71e524ee28da","Charge Carrier Dynamics in Co-evaporated MAPbI3with a Gradient in Composition","Zhao, J. (TU Delft ChemE/Opto-electronic Materials); Li, Jia (Nanyang Technological University); Liu, X. (TU Delft ChemE/O&O groep); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Bruno, Annalisa (Nanyang Technological University); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2022","Co-evaporation of metal halide perovskites by thermal evaporation is an attractive method since it does not require harmful solvents and enables precise control of the film thickness. Furthermore, the ability to manipulate the Fermi level allows the formation of a graded homojunction, providing interesting opportunities to improve the charge carrier collection efficiency. However, little is known about how these properties affect the charge carrier dynamics. In this work, the structural and optoelectronic properties of co-evaporated MAPbI3 films varying in thickness (100, 400, and 750 nm) with a gradient in composition are analyzed. The X-ray diffraction patterns show that excess PbI2 is only present in the thick layers. From X-ray photoelectron spectroscopy depth analysis, the I/Pb atomic ratio indicates methylammonium iodide deficiencies that become more prominent with thicker films, resulting in differently n-doped regions across the thick MAPbI3 films. We suggest that due to these differently n-doped regimes, an internal electric field is formed. Side-selective time-resolved microwave photo conductivity measurements show an elongation of the charge carrier lifetimes on increasing thickness. These observations can be explained by the fact that excess carriers separate under the influence of the electric field, preventing rapid decay in the thick films.","charge carrier dynamics; co-evaporation; metal halide perovskites; physical vapor deposition; time-resolved microwave conductivity","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:c6891119-1a86-4b9f-840d-b26a7c6a938c","http://resolver.tudelft.nl/uuid:c6891119-1a86-4b9f-840d-b26a7c6a938c","Evaluation of photocatalytic micro-surfacing mixture: road performance, vehicle exhaust gas degradation capacity and environmental impacts","Zhang, Zhao (Chang'an University); Liu, Kai (Chang'an University); Chong, Dan (Shanghai University); Niu, D. (TU Delft Pavement Engineering; Chang'an University); Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Niu, Yanhui (Chang'an University); Jing, R. (TU Delft Pavement Engineering)","","2022","To purify severe air pollution in traffic-intensive urban areas and tunnels, an innovative type of photocatalytic micro-surfacing mixture (PMM) was designed, which was enhanced by polypropylene (PP) fiber and nano-TiO2. In this work, the road performance of sixteen PMMs with the different contents of PP and nano-TiO2 were evaluated by wet-track abrasion test, wheel rutting deformation test and low-temperature splitting test. The vehicle exhaust (VE) gas degradation capacity of sixteen PMMs was characterized under ultraviolet (UV) light and visible light conditions. The life cycle assessment (LCA) methodology was applied to evaluate the environmental impact of PMM. The results showed that the road performances of PMM were improved with the increase of the PP fibers amount. The VE gas degradation capacity was significantly enhanced with the increase of nano-TiO2 amount. PMM with 0.2 wt% PP fibers and 60 wt% replacement of mineral filler with nano-TiO2 was a viable alternative to improve photocatalytic degradation of VE in pavement engineering. In addition, the modified micro-surfacing mixture facilitates a significant reduction in energy consumption and greenhouse gas emissions.","Micro-surfacing mixture; nano-TiO; Photocatalytic degradation; PP fibers; Road performance; Vehicle exhaust","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:fed3ae91-c8c4-4c85-9875-adf960002d02","http://resolver.tudelft.nl/uuid:fed3ae91-c8c4-4c85-9875-adf960002d02","Early systems change necessary for catalyzing long-term sustainability in a post-2030 agenda","Moallemi, Enayat A. (Deakin University, School of Life and Environmental Sciences); Eker, Sibel (Radboud Universiteit Nijmegen; International Institute for Applied Systems Analysis, Laxenburg); Gao, Lei (Oceans and Atmosphere); Hadjikakou, Michalis (Deakin University, School of Life and Environmental Sciences); Liu, Qi (International Institute for Applied Systems Analysis, Laxenburg; Sichuan University); Kwakkel, J.H. (TU Delft Policy Analysis); Reed, Patrick M. (Cornell University); Obersteiner, Michael (University of Oxford); Guo, Zhaoxia (Sichuan University); Bryan, Brett A. (Deakin University, School of Life and Environmental Sciences)","","2022","Progress to date toward the Sustainable Development Goals (SDGs) has fallen short of expectations and is unlikely to fully meet 2030 targets. Past assessments have mostly focused on short- and medium-term evaluations, thus limiting the ability to explore the longer-term effects of systemic interactions with time lags and delay. Here we undertake global systems modeling with a longer-term view than previous assessments in order to explore the drivers of sustainability progress and how they could play out by 2030, 2050, and 2100 under different development pathways and quantitative targets. We find that early planning for systems change to shift from business as usual to more sustainable pathways is important for accelerating progress toward increasingly ambitious targets by 2030, 2050, and 2100. These findings indicate the importance of adopting longer-term timeframes and pathways to ensure that the necessary pre-conditions are in place for sustainability beyond the current 2030 Agenda.","global change; integrated assessment; model; scenario; SDG; sustainability","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d17ad39d-edda-491b-829c-4182fc64e729","http://resolver.tudelft.nl/uuid:d17ad39d-edda-491b-829c-4182fc64e729","Precipitation Trends Analysis Using Gridded Dynamic Sampling Zones: Case Study Yangtze Delta Megalopolis","Liu, Xiaolong (Southeast University; IHE Delft Institute for Water Education); Fu, Dafang (Southeast University); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Yu, Meixiu (Hohai University); Kumar, Alagarasan Jagadeesh (Jiangsu University)","","2022","As a result of the fast growth of remote sensing and data assimilation technology, many global land use land cover (LULC) and climate reanalysis data sets have been used to advance our understanding of climate and environmental change. This paper investigates the precipitation variations of the Yangtze Delta Megalopolis by using precipitation reanalysis data under conditions of dynamic urban sprawl. Compared with current precipitation characteristic analyses, which are often based on a limited number of ground rainfall stations, the approach followed in this study comprises a grid-based statistical method using large sets of samples with a uniform distribution and a same representative grid area. This novel approach of dynamic sampling is applied in this study to overcome the temporal and spatial inconsistency of stationary sampling. This approach allows to examine the impact of urbanization on regional precipitation characteristics. The Yangtze Delta Megalopolis (YDM) region, one of the most developed regions in China, was selected as a case study to evaluate the impact of urbanization on subsequent precipitation features. The results reveal that the annual total precipitation (TP) and the maximum daily precipitation (MDP) in both urban and non-urban areas of the YDM region generally have increased during the past 30 years. Hence, the region has become increasingly humid. Extrema of annual MDP and TP show obvious spatial characteristics, in which most maxima are located in the southern part of YDM while minima are more concentrated in the northern part. This newly developed approach has potentials for application in studies where underlying surface features exhibit rapid alterations. The findings of this case study provide relevant information for planning and design of regional water resources management, flood risk management, and planning of the urban drainage system of the YDM region.","climate change; land use change; precipitation; statistical dynamic sampling; trend analysis; urbanization; Yangtze Delta megalopolis","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:ccf8e2f8-9e8a-4370-903c-20b7c7cacc29","http://resolver.tudelft.nl/uuid:ccf8e2f8-9e8a-4370-903c-20b7c7cacc29","Thermal deformation and stress of alkali-activated slag concrete under semi-adiabatic condition: Experiments and simulations","Li, Z. (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Liu, C. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); van Breugel, K. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2022","This study investigates the deformation of free and stress of restrained alkali-activated slag concrete (AASC), respectively, under semi-adiabatic condition. The concrete shows first thermal expansion, which is compensated soon by autogenous shrinkage. The subsequent cooling down of the concrete aggravates shrinkage and development of tensile stress, which eventually results in early cracking of the concrete. The results show that semi-adiabatic condition is severer for AASC than isothermal condition in view of cracking tendency. The evolutions of coefficient of thermal expansion (CTE) and elastic modulus are measured by elaborated experimental methods. Simulating the deformation of AASC by summing thermal and autogenous deformations appears feasible. With the consideration of relaxation, the stress evolution in restrained AASC can be predicted pretty well by the model used in this paper. This study provides insights into the thermal deformation and cracking tendency of AASC in practical circumstances.","Alkali-activated concrete; Autogenous deformation; Cracking; Semi-adiabatic condition; Thermal deformation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:1854657d-07a8-44eb-a991-876370b0d456","http://resolver.tudelft.nl/uuid:1854657d-07a8-44eb-a991-876370b0d456","Effect of High Content of Waste Tire Rubber and Sulfur on the Aging Behavior of Bitumen","Wang, Sheng (Tongji University); Huang, Weidong (Tongji University); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Yi (The Hong Kong Polytechnic University)","","2022","High content rubber modified bitumen (HCRMB) prepared from the high content of waste tire rubber and bitumen has good performance while allowing greater use of the waste tires. However, HCRMB is subject to aging during use, which can affect its performance. The purpose of this paper was to investigate the effect of high content of waste tire rubber and sulfur on the aging behavior of bitumen. The properties of all bitumen were tested using rolling thin film oven aging (RTFOT) test, pressure aging vessel (PAV) test, frequency sweep tests, temperature sweep (TS) test, multiple stress creep recovery (MSCR) test, and attenuated total reflection-Fourier transform infrared spectroscopy (ATR-FTIR) test. Test results show that the addition of sulfur to HCRMB leads to an improvement in the elasticity of HCRMB. The elasticity of HCRMB with different amounts of sulfur increases with aging. In addition, the increase in the amount of sulfur can improve the RTFOT aging resistance and the PAV aging resistance of HCRMB. Sulfur cannot reduce the degree of oxidation of HCRMB after aging, but can inhibit the degree of desulfurization of HCRMB. Furthermore, the aging process of HCRMB with different amounts of sulfur is dominated by the degradation of polybutadiene.","aging resistance; ATR-FTIR; frequency sweep tests; high content rubber modified bitumen; sulfur","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:68c0cc39-bbcb-4bff-a336-e45bbb53fa39","http://resolver.tudelft.nl/uuid:68c0cc39-bbcb-4bff-a336-e45bbb53fa39","Periodic structures for melting enhancement: observation of critical cell size and localized melting","Zhao, Chunrong (University of Queensland); Opolot, Michael (University of Queensland); Liu, Ming (University of South Australia); Wang, Ji (University of South Australia); Bruno, Frank (University of South Australia); Mancin, Simone (Università degli Studi di Padova); Hooman, K. (TU Delft Process and Energy)","","2022","The use of metallic periodic structures was considered for melting rate enhancement of a phase change material (PCM) contained in a rectangular enclosure isothermally heated from the side. The critical (optimized) cell size, or pore size, of a periodic structure with fixed porosity, realising the shortest melting time by maximizing the convection and conduction heat transfer rate into the PCM, was studied. Furthermore, the effects of material properties (copper, aluminium, nickel, and stainless steel), enclosure length, wall-melting temperature difference and porosity were numerically investigated. It was observed that increasing porosity and/or reducing thermal conductivity enlarged the critical cell size (i.e. the optimal cell size that minimizes the melting time). The critical PPIs (pores per inch) of copper and aluminium periodic structures for all studied porosities were 10; for nickel, the critical values were 10 PPIs for porosity values of 0.75, 0.8 and 0.85 while it reduces to 5 PPI for the highest porosity considered here being 0.95. Interestingly, showing a different trend, the critical PPI of stainless-steel structures was 5 for the lowest porosity (0.75) and reduced to 3 for higher porosities. The results clearly demonstrated localised melting which was observed in all periodic structures except for the 10 PPI stainless-steel case. Scattered melting islands are observed as opposed to a moving interface when ϕ=(dp/L)αligament/αPCM>1. For such cases, localized melting occurs and the PCM is melted at the ligaments away from the heated wall before the melt front reaches those ligaments.","Critical cell size; PCM melting; Periodic structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-20","","Process and Energy","","","",""
"uuid:058effb1-f074-44f9-830e-d71a6f745a39","http://resolver.tudelft.nl/uuid:058effb1-f074-44f9-830e-d71a6f745a39","A Quantitative Framework for Resilience Assessment of Complex Engineered Systems under Uncertainty","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2022","Complex engineered systems with various components and dynamic behaviors are connerstones to develop resilient cities and societies. These systems are robust but also vulnerable to adverse events and inevitably suffer performance degradation. An immediate question would be, “how can we manage and improve the resilience of a complex engineered system?”. This study proposes a quantitative framework to assess the resilience of complex engineered systems. The proposed framework focuses on figuring out the impact of functionality implementation on the capability of complex engineered systems to anticipate, absorb, adapt to, and restore from disruptive events. It is composed of three parts, including functionality analysis, performance evaluation, and resilience measure. Firstly, various functions are analyzed at the system level, where a functional tree is employed to investigate the relationship between functions. Then the actual performance of the system is evaluated while uncertain implementation of system functionality is considered. Finally, system resilience is measured from the perspectives of anticipation, absorption, adaptation, and restoration. Anticipation, absorption, adaptation, and restoration are critical capacities of complex engineered systems to ensure normal operation in the event of disruptions. The proposed framework provides a general approach for resilience assessment of complex engineered systems, which figures out functionality implementation and system performance under uncertainty.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c0475610-13be-4bbc-bbbe-e5e9d562b5c4","http://resolver.tudelft.nl/uuid:c0475610-13be-4bbc-bbbe-e5e9d562b5c4","Numerical simulation of precipitation kinetics in multicomponent alloys","Xu, K. (University of Science and Technology of China); Liu, J. D. (Chinese Academy of Sciences); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Xu, W. (Northeastern University); Li, J. G. (Chinese Academy of Sciences)","","2022","A universal numerical model based on the particle size distribution (PSD) approach has been developed for the simulation of precipitation kinetics in multicomponent alloys during isothermal ageing. Nucleation was implemented utilizing the classical nucleation theory (CNT). Growth and coarsening were modeled by a single growth kinetics equation, which is constructed based on the interfacial diffusion flux balance and the capillarity effect. Only partial off-diagonal terms in the diffusion matrix (diffusion of individual components in the matrix) were taken into account in the calculations to minimize the computational cost while coupling with CALPHAD to extract thermodynamics equilibrium around the interface. A new feature of the model is the incorporation of a more realistic spatial site distribution via a Voronoi construction in the characteristic cell, for the purpose of modifying the diffusion distance. Computational predictions of the precipitate dimensions and the precipitation kinetics were compared with the atom probe tomography (APT) measurements on ternary Ni-Al-Cr alloys isothermally aged at 873 K. It is found that the temporal evolution of the dimensions and composition of the precipitates is well captured, as is the dependence on changes in the alloy composition. The new modification with Voronoi construction demonstrates that the overall precipitation kinetics depends on the density and the spatial site distribution of precipitates. The ability to handle sophisticated alloy chemistries by quantitative equations, the compositional sensitivity of microstructural characteristics emerging from the simulation results, and the ability to visualize the spatial distribution of precipitates make the work very promising for multicomponent alloy design and optimization.","Multicomponent; Precipitation kinetics; PSD; Thermodynamics; Voronoi construction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-28","","","Novel Aerospace Materials","","",""
"uuid:c7570bac-189a-4f32-aa61-506306657e5b","http://resolver.tudelft.nl/uuid:c7570bac-189a-4f32-aa61-506306657e5b","Detection and reconstruction of static vehicle-related ground occlusions in point clouds from mobile laser scanning","Liu, Zhenyu (Student TU Delft); van Oosterom, P.J.M. (TU Delft GIS Technologie); Balado Frías, J. (TU Delft GIS Technologie; Universidade de Vigo); Swart, Arjen (Cyclomedia Technology B.V.); Beers, Bart (Cyclomedia Technology B.V.)","","2022","Vehicle-related ground occlusion is a common problem in MLS data. This study aims to design a detection and reconstruction method of static vehicle-related ground occlusion for MLS data. Ground extraction and vehicle segmentation are performed on the input point cloud data in advance. Then an α-shape boundary based on the prior vehicle geometry is designed to split non-ground empty area and ground occlusions. The occlusion is detected and matched with its corresponding vehicle using the relative position between them. This relative position relation and the height difference are used to detect the curb direction as the local road direction. Finally, the occlusions are reconstructed using two different methods: (1) a cell-based linear interpolation and (2) a point-based mathematical morphology. The methodology is tested by original scanned data and multi-temporal evaluation data captured from a residential area in Delft, the Netherlands with vehicle-mounted LiDAR sensors. The result shows that all occlusions cause by vehicles are successfully detected and the curb (road) direction is correctly extracted in most of the occluded areas. Both reconstructed results can visually integrate the original scanned data and recover the curb structure. The reconstruction errors of the linear interpolation method are 0.045 m in the z-axis direction and 0.051 m in total and the reconstruction errors of mathematical morphology are 0.048 m in the z-axis direction and 0.052 m in total.","Ground occlusion; Mobile laser scanning; Occlusion detection; Occlusion reconstruction; Point cloud; Vehicle-related occlusion","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:aa73479d-5a55-48bb-9b00-7fe59170ee97","http://resolver.tudelft.nl/uuid:aa73479d-5a55-48bb-9b00-7fe59170ee97","Optimizing the topological properties of semiconductor-ferromagnet-superconductor heterostructures","Liu, Chun Xiao (External organisation); Wimmer, M.T. (TU Delft QN/Wimmer Group; Kavli institute of nanoscience Delft)","","2022","We study the electronic properties of a planar semiconductor-superconductor heterostructure, in which a thin ferromagnetic insulator layer lies in between and acts as a spin filtering barrier. We find that in such a system one can simultaneously enhance the strengths of all the three important induced physical quantities, i.e., Rashba spin-orbit coupling, exchange coupling, and superconducting pairing potential, for the hybrid mode by external gating. Our results show the specific advantage of this stacked device geometry compared to conventional devices. We further discuss how to optimize geometrical parameters for the heterostructure and complement our numerical simulations with analytic calculations.","","en","journal article","","","","","","","","","","","QN/Wimmer Group","","",""
"uuid:3903b9a3-f858-481d-abfb-68c427e577a8","http://resolver.tudelft.nl/uuid:3903b9a3-f858-481d-abfb-68c427e577a8","Capturing and tracing the spatiotemporal variations of planktonic and particle-associated bacteria in an unchlorinated drinking water distribution system","Chen, L. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Li, Xuan (Student TU Delft); van der Meer, Walter (University of Twente; Oasen); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences)","","2022","The aperiodic changes in the quantity and community of planktonic and particle-associated bacteria have hampered the understanding and management of microbiological water quality in drinking water distribution systems. In this study, online sampling was combined with the microbial fingerprint-based SourceTracker2 to capture and trace the spatiotemporal variations in planktonic and particle-associated bacteria in an unchlorinated distribution system. The results showed that spatially, the particle load significantly increased, while in contrast, the quantity of particle-associated bacteria decreased sharply from the treatment plant to the distribution network. Similar to the trend of particle-associated bacterial diversity, the number of observed OTUs first slightly decreased from the treatment plant to the transportation network and then sharply increased from the transportation network to the distribution network. The SourceTracker2 results revealed that the contribution of particle-associated bacteria from the treatment plant decreased along the distribution distance. The spatial results indicate the dominant role of sedimentation of particles from the treatment plant, while the observed increases in particles and the associated bacteria mainly originated from the distribution network, which were confirmed directly by the increased contributions of loose deposits and biofilm. Temporally, the daily peaks of particle-associated bacterial quantity, observed OTU number, and contributions of loose deposits and biofilms were captured during water demand peaks (e.g., 18–21 h). The temporal results reveal clear linkages between the distribution system harboring bacteria (e.g., within loose deposits and biofilms) and the planktonic and particle-associated bacteria flowing through the distribution system, which are dynamically connected and interact. This study highlights that the spatiotemporal variations in planktonic and particle-associated bacteria are valuable and unneglectable for the widely on-going sampling campaigns required by water quality regulations and/or drinking water microbiological studies.","Drinking water distribution system (DWDS); Online monitoring sampling system (OMSS); Planktonic and particle-associated bacteria; SourceTracker2; Spatiotemporal variations","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4e8cbdf6-af78-42a6-bc80-db38605edc4e","http://resolver.tudelft.nl/uuid:4e8cbdf6-af78-42a6-bc80-db38605edc4e","Toward Carbon-Neutral Water Systems: Insights from Global Cities","Lam, Ka Leung (Duke Kunshan University); Liu, Gang (Chinese Academy of Sciences); Motelica-Wagenaar, Anne Marieke (Waternet); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2022","Many cities have pledged to achieve carbon neutrality. The urban water industry can also contribute its share to a carbon-neutral future. Using a multi-city time-series analysis approach, this study aims to assess the progress and lessons learned from the greenhouse gas (GHG) emissions management of urban water systems in four global cities: Amsterdam, Melbourne, New York City, and Tokyo. These cities are advanced in setting GHG emissions reduction targets and reporting GHG emissions in their water industries. All four cities have reduced the GHG emissions in their water industries, compared with those from more than a decade ago (i.e., the latest three-year moving averages are 13%–32% lower), although the emissions have “rebounded” multiple times over the years. The emissions reductions were mainly due to various engineering opportunities such as solar and mini-hydro power generation, biogas valorization, sludge digestion and incineration optimization, and aeration system optimization. These cities have recognized the many challenges in reaching carbon-neutrality goals, which include fluctuating water demand and rainfall, more carbon-intensive flood-prevention and water-supply strategies, meeting new air and water quality standards, and revising GHG emissions accounting methods. This study has also shown that it is difficult for the water industry to achieve carbon neutrality on its own. A collaborative approach with other sectors is needed when aiming toward the city’s carbon-neutrality goal. Such an approach involves expanding the usual system boundary of the water industry to externally tap into both engineering and non-engineering opportunities.","Carbon neutrality; Cities; Climate change mitigation; Greenhouse gas emissions; Urban water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:10b85d45-d3dd-4ec1-b9d2-3f4d37663c7a","http://resolver.tudelft.nl/uuid:10b85d45-d3dd-4ec1-b9d2-3f4d37663c7a","O3HSC: Outsourced Online/Offline Hybrid Signcryption for Wireless Body Area Networks","Liu, Suhui (Southeast University); Chen, Liquan (Southeast University); Wang, Huaqun (Nanjing University of Posts and Telecommunications); Fu, S. (TU Delft Cyber Security); Shi, Lin (Southeast University)","","2022","Wireless body area networks (WBAN) enable ubiquitous monitoring of patients, which can change the future of healthcare services overwhelmingly. As the collected data of patients usually contain sensitive information, how to collect, transfer, store and share data securely and properly has become a concerning issue. Attribute-based encryption (ABE) can achieve data confidentiality and fine-grained access control simultaneously. Identity-based ring signature (IBRS) allows patients to prove their identity without leaking any extra (private) information. However, the heavy computational burden of ABE and IBRS is intolerable for most power-limited mobile devices, which account for a large proportion of WBAN devices. This paper combines the attribute-based online/offline encryption (ABOOE) and IBRS to achieve an outsourced online/offline hybrid signcryption ( O3 HSC) scheme. As far as we know, this scheme is the first signcryption scheme that adopts IBRS and satisfies online/offline signcryption simultaneously. O3 HSC divides the key generation and signcryption into offline and online phases to increase the throughput of the central authority and save the power resources of mobile devices, respectively. Besides, outsourced decryption and public signature verification are also realized. O3 HSC achieves security under CCA and CMIA, and the performance analysis shows that O3 HSC is a lightweight and applicable scheme for WBAN.","Attribute-based online/offline encryption; identity-based ring signature; outsourced decryption; wireless body area network","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:e7a619bb-33ab-4295-8239-889fbf1880ca","http://resolver.tudelft.nl/uuid:e7a619bb-33ab-4295-8239-889fbf1880ca","Numerical analyses of energy balance and installation mechanisms of large-diameter tapered monopiles by impact driving","Chen, Fuquan (Fuzhou University); Liu, Liyang (Fuzhou University); Lai, F. (TU Delft Geo-engineering; Southeast University); Gavin, Kenneth (TU Delft Geo-engineering); Flynn, Kevin N. (Brazil Piling & Foundations); Li, Yida (Fuzhou University)","","2022","Large-diameter monopiles are widely used as the foundation to support offshore wind turbines (OWTs) in shallow coastal waters. The benefits of small-to-medium diameter tapered piles have been reported in the past. The potential use of large-diameter tapered monopiles installed by impact driving to support OWTs is thus presented, and then comparatively assessed by numerical analyses in terms of energy balance and installation mechanisms. A three-dimensional large deformation finite element (3D-LDFE) model of monopiles driven in clay was developed using a Coupled Eulerian-Lagrangian (CEL) approach. An advanced user-defined hypoplasticity clay (HC) model was employed to model undrained kaolin clay, featuring nonlinear behavior from small strain to large strain. The force-time curve defined by the operating data of a state-of-the-art hammer in the offshore industry was inputted to explicitly model impact driving. Better agreement between the measured and the simulated results was observed to validate the accuracy of the numerical model. The numerical results obtained give greater confidence to the future use of large diameter tapered monopiles for OWTs.","Drivability performance; Energy balance; Finite-element modeling; Impact driving; Installation mechanism; Offshore wind turbines; Piles & piling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-09","","","Geo-engineering","","",""
"uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","http://resolver.tudelft.nl/uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","Strain-engineered S-HfSe2 monolayer as a promising gas sensor for detecting NH3: A first-principles study","Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Li, Junfeng (Southern University of Science and Technology); Shao, Ziyuan (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Southern University of Science and Technology); Cui, Hongyuan (Chongqing University); Tang, Xiaosheng (Chongqing University of Posts and Telecommunications); Liu, Yufei (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology; Chongqing University)","","2022","The development of high-performance gas sensing materials is one of the development trends of new gas sensor technology. In this work, in order to predict the gas-sensitive characteristics of HfSe2 and its potential as a gas-sensitive material, the interactions of nonmetallic element (O, S, Te) doped HfSe2 monolayer and small molecules (NH3 and O3) have been studied by first-principles based on density functional theory. The results show that the adsorption of NH3 and O3 on pristine HfSe2 monolayer is weak, and the adsorption strength can be significantly improved by doping O. And O-HfSe2 is chemical adsorption to O3 with large adsorption energy and transfer charge, and the band gap of O[sbnd]HfSe2 disappears after adsorbing O3, indicating that the adsorption of O3 has a significant effect on the electrical properties of the substrate. These mean that O3 is difficult to recover from the substrate surface, thus preventing O-HfSe2 from developing into a sensitive material for O3 detection. After doping S, the charge transfers and adsorption strength to NH3 are the largest, but it is still small. So, the strain effect on the S-HfSe2/NH3 adsorption system is also studied. The results indicate that the adsorption strength of S-HfSe2 to NH3 can be enhanced by stretching S-HfSe2 along x-axis. After absorbing NH3, the conductivity of x-axis strained S-HfSe2 changes, which suggest its sensitivity. And the predicted recovery times of S-HfSe2 surfaces with εx=4%, 6% and 8% are 0.027 s, 1.153 s and 102.467 s, respectively, which suggests that the S-HfSe2 monolayer has the potential to be developed as a sensitive material for NH3 detection. These adsorption mechanism studies can also serve as a theoretical foundation for the experimental design of gas-sensing materials.","Adsorption; Doped HfSe; First-principles; NH; Strain engineering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:9e207934-09de-4df4-afc1-41259d1dc810","http://resolver.tudelft.nl/uuid:9e207934-09de-4df4-afc1-41259d1dc810","Finite element modeling and analysis of ultrasonic bonding process of thick aluminum wires for power electronic packaging","Tang, Jiuyang (Fudan University); Li, Liangtao (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University)","","2022","Ultrasonic wedge bonding of aluminum (Al) wires is a widely applied interconnect technology for power electronic packaging. The joint quality of the wedge bonding is mainly affected by the process parameters and material properties. Inappropriate process parameters will lead to failure modes such as chip surface pit, metal layer peeling off, wire cracking, non-sticking to the pad, etc., which limits the long-term stability of power devices. In order to reach the desired reliability, the design of experiment (DoE) is generally deployed which is costly in terms of time and related materials. Therefore, simulation-assisted analysis is in demand to rapidly narrow down the process windows. In this paper, an ultrasonic bonding model involving thick Al wires (300 μm) was established based on the Finite Element Method (FEM), to optimize process parameters effectively with reduced time and cost. The model was designed in ANSYS utilizing the transient structural mechanics module with various stresses and ultrasonic power, to simulate the relative deformation of the bonded wires and the displacement against the substrate. The result was then verified by ultrasonic wedge bonding samples with 9 sets of process parameters. The stress distributions were simulated and analyzed with the failure modes of tensile strength tests, while the deformation of wires under various process parameters was measured and compared with shear strength tests. Further, the relationship between the failure modes of the joint and the deformation was then analyzed by Response Surface Method (RSM), and the regression equation of the wire deformation and related process parameters was established and fitted with the actual sample's data. Such analysis not only found the optimum range of the deformation of thick Al ultrasonic wire bonds but also quickly provided a range of optimized processes for Al thick wires applying ultrasonic wedge bonding techniques.","Finite element analysis; Numerical analysis; Power electronic packaging; Thick aluminum wire; Ultrasonic wedge bonding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:de9d4c70-a621-4bb3-be1f-0c0134170156","http://resolver.tudelft.nl/uuid:de9d4c70-a621-4bb3-be1f-0c0134170156","Direct discerning reaction pathways in methanol-to-hydrocarbons by transient operation – FASPA","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2023","Monitoring complex catalytic pathways under industrially-relevant conditions is one of the key challenges in catalysis chemistry and technology. Herewith we describe a direct technique called ‘fast scanning-pulse analysis’ (FASPA) that allows the direct characterization and detailed kinetic analysis of intimately interweaved catalytic pathways. The power and potential of the FASPA approach are demonstrated with an industrially relevant methanol-to-hydrocarbons (MTH) process over H-ZSM-5 zeolite. This reaction proceeds via a hydrocarbon pool (HCP) mechanism producing olefins and aromatics. The HCP is built-up upon exposure to methanol during the induction period, followed by a transition regime to a quasi steady-state MTH operation. This FASPA technique allows (sub-)second resolution of the full temporal products response upon a methanol pulse providing direct and quantitative insights into the MTH reactions. Globally, two consecutive pathways can be discerned: a very fast primary product formation in the presence of methanol in a narrow active MTH reaction zone, followed by a slower formation of light aromatics, which is closely related to the decomposition and release of HCP species and secondary reactions in absence of methanol in the downstream part of the catalyst bed. The time delay between the appearance of inert tracer and primary products represents the time needed to build-up the HCP in the induction period, where methane is observed prior to other products. The primary products (alkanes, olefins, and light aromatics) are nearly instantaneously formed from the pulsed methanol. These results demonstrate the highly dynamic character of the HCP in the MTH process over H-ZSM-5.","Aromatization; FAst Scanning-Pulse Analysis; Methanol-to-hydrocarbons; Stimulus-response analysis; Transient operation","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:47f611c5-ecc0-4b6e-a1ea-81a074112728","http://resolver.tudelft.nl/uuid:47f611c5-ecc0-4b6e-a1ea-81a074112728","Organizing and visualizing point clouds with continuous levels of detail","van Oosterom, P.J.M. (TU Delft GIS Technologie); van Oosterom, S.J.M. (TU Delft Control & Simulation); Liu, Haicheng (Alibaba Group); Thompson, R.J. (TU Delft GIS Technologie); Meijers, B.M. (TU Delft GIS Technologie); Verbree, E. (TU Delft GIS Technologie)","","2022","Point clouds contain high detail and high accuracy geometry representation of the scanned Earth surface parts. To manage the huge amount of data, the point clouds are traditionally organized on location and map-scale; e.g. in an octree structure, where top-levels of the tree contain few points suitable for small scale overviews and lower levels of the tree contain more points suitable for large scale detailed views. The drawback of this solution is that it is based on discrete levels, causing visual artifacts in the form of data density shocks when creating the commonly used perspective views. This paper presents a method based on an optimized distribution of points over continuous levels, avoiding the visualization shocks. The traditional distribution ratio's of data amounts over discrete levels of raster or vector data is considered the reference. How to convert this to point clouds with continuous levels (still benefiting from the proven advantages of the data distribution in discrete levels for efficient access at a wide range of scales)? In our solution, for each point a cLoD (continuous Level of Detail) value is computed and added as dimension to the point. A SFC (Space Filling Curve)-based nD data clustering technique can be used to organize the points, so that they can be efficiently queried. It should be noted that also other multi-dimensional indexing and clustering techniques could be applied to realize continuous levels based on the cLoD value. Besides the mathematical foundation of the approach also several implementations are described, varying from a 3D web-browser based solution to an augmented reality point cloud app in a mobile phone. The cLoD enables interactive real-time visualization using perspective views without data density shocks, while supporting continuous zoom-in/out and progressive data streaming between server and client. The described cLoD based approach is generic and supports different types of point clouds: from airborne, terrestrial, mobile and indoor laser scanning, but also from dense matching optical imagery or multi-beam echo soundings.","Continuous level of detail (cLoD); nD point clouds; Perspective view selection; Space Filling Curve (SFC)","en","journal article","","","","","","","","","","","GIS Technologie","","",""
"uuid:2954bd13-2224-47f3-841a-fa55d9397bb0","http://resolver.tudelft.nl/uuid:2954bd13-2224-47f3-841a-fa55d9397bb0","Mechanisms of Andreev reflection in quantum Hall graphene","Rigotti Manesco, A.L. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Flór, Ian Matthias (Kavli institute of nanoscience Delft; Student TU Delft); Liu, C. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft)","","2022","We simulate a hybrid superconductor-graphene device in the quantum Hall regime to identify the origin of downstream resistance oscillations in a recent experiment [Zhao et al. Nature Physics 16, (2020)]. In addition to the previously studied Mach-Zehnder interference between the valley-polarized edge states, we consider disorder-induced scattering, and the appearance of the counter-propagating states generated by the interface density mismatch. Comparing our results with the experiment, we conclude that the observed oscillations are induced by the interfacial disorder, and that lattice-matched superconductors are necessary to observe the alternative ballistic effects.","","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:12b0ef6d-ff54-4501-8df7-291a31125cc7","http://resolver.tudelft.nl/uuid:12b0ef6d-ff54-4501-8df7-291a31125cc7","Battle of the Leakage Detection and Isolation Methods","Vrachimis, Stelios G. (University of Cyprus); Eliades, Demetrios G. (University of Cyprus); Taormina, R. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Ostfeld, Avi (Technion); Liu, Shuming (Tsinghua University); Kyriakou, Marios (University of Cyprus); Pavlou, Pavlos (University of Cyprus); Qiu, Mengning (Technion); Polycarpou, Marios M. (University of Cyprus)","","2022","A key challenge in designing algorithms for leakage detection and isolation in drinking water distribution systems is the performance evaluation and comparison between methodologies using benchmarks. For this purpose, the Battle of the Leakage Detection and Isolation Methods (BattLeDIM) competition was organized in 2020 with the aim to objectively compare the performance of methods for the detection and localization of leakage events, relying on supervisory control and data acquisition (SCADA) measurements of flow and pressure sensors installed within a virtual water distribution system. Several teams from academia and the industry submitted their solutions using various techniques including time series analysis, statistical methods, machine learning, mathematical programming, met-heuristics, and engineering judgment, and were evaluated using realistic economic criteria. This paper summarizes the results of the competition and conducts an analysis of the different leakage detection and isolation methods used by the teams. The competition results highlight the need for further development of methods for leakage detection and isolation, and also the need to develop additional open benchmark problems for this purpose.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:76fb06db-5cf1-41de-b804-ec689ec424df","http://resolver.tudelft.nl/uuid:76fb06db-5cf1-41de-b804-ec689ec424df","Self-healing and corrosion-sensing coatings based on pH-sensitive MOF-capped microcontainers for intelligent corrosion control","Liu, Tong (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Zhang, Rongjun (University of Science and Technology Beijing); Wang, Jinke (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Keil, Patrick (BASF SE); Mol, J.M.C. (TU Delft Team Arjan Mol); Li, Xiaogang (University of Science and Technology Beijing)","","2023","Organic coatings are one of the most used and versatile technologies to mitigate corrosion of metals. However, organic coatings are susceptible to defects and damages that may not be easily detected. If not repaired timely, these defects may develop into major coating failures due to corrosion occurring in the damaged region, thereby limiting the lifetime of the to be protected structure. Thus, the development of smart coatings that can accurately identify corrosion location and reliably recover the damage autonomously is of particular interest. Herein, we reported a robust, corrosion-sensing and self-healing coating which incorporated pH-sensitive ZIF-8-capped CaCO3 microcontainers containing the healing agent tung oil (TO) and the corrosion indicator/inhibitor 1,10-phenanthrolin-5-amine (APhen). The spontaneous leakage of incorporated TO and APhen was restrained, and the release initiated when local pH variation occurred. The corrosion protection performance of the coatings implanted with different contents of smart microcontainers were evaluated. The intact epoxy coating containing 7.5 wt% of the microcontainers exhibited the best protection performance with low water absorption (0.65 wt%), low O2 permeability (0.21 × 10–15 cm3 cm cm−2 s−1 Pa−1), and a high storage modulus (3.0 GPa). Electrochemical impedance spectroscopy (EIS) measurements in 3.5 wt% NaCl solution demonstrated superior durability of the composite coating after self-healing. The immersion test and neutral salt spray test confirmed the coating can accurately report corrosion sites via coloration.","Corrosion protection; Corrosion-sensing; Organic coating; pH-sensitive; Self-healing coatings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-18","","","Team Arjan Mol","","",""
"uuid:493ca68f-c62d-49b8-940c-80e7aa6a8885","http://resolver.tudelft.nl/uuid:493ca68f-c62d-49b8-940c-80e7aa6a8885","Influence of coarse aggregate settlement induced by vibration on long-term chloride transport in concrete: a numerical study","Cai, Yuxin (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Liu, Qing feng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Meng, Zhaozheng (Shanghai Jiao Tong University; Shanghai Key Laboratory for Digital Maintenance of Buildings and Infrastructure); Chen, Mengzhu (Sun Yat-sen University); Li, Weihua (Sun Yat-sen University); Šavija, B. (TU Delft Materials and Environment)","","2022","High-frequency vibration helps to improve the compactness of concrete, but also causes the settlement of coarse aggregates (CAs) and then affects the durability of hardened concrete. In this paper, a numerical study combining multi-phase CA settlement model and multi-component ionic transport model is performed to understand the influence of vibration-induced settlement on long-term chloride transport in concrete. Through parametric analysis, the influence mechanism of relevant factors on both chloride profile distribution and reinforcement corrosion initiation is discussed in detail. The results indicate that with the increase of vibration time, a decrease of chloride concentration appears in the bottom part of concrete specimen and a significant increase in the top part, because more CAs deposit in the bottom layer. Due to sedimentation, a more obvious fluctuation of chloride concentration along the height direction can be observed in the concrete mixed with a larger density and particle size of CAs. According to the model prediction, the corrosion of the top steel bar initiates 1.03–1.80 years earlier than that of the bottom steel bar under the same parameters. In practical engineering, special attention should be paid on the stability of fresh concrete and vibrating procedures to avoid obvious CA settlement.","CA settlement; Chloride transport; Corrosion initiation; Durability; Numerical model; Vibration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:97f15b9d-8cf1-420c-91ba-4ef115138f68","http://resolver.tudelft.nl/uuid:97f15b9d-8cf1-420c-91ba-4ef115138f68","Reducing near-surface artifacts from the crossline direction by full-waveform inversion of interferometric surface waves","Liu, J. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics)","","2022","Seismic incoherent noise and waves scattered from objects in the crossline directions can cause 2D elastic full-waveform inversion (FWI) to produce artifacts in the resulting 2D models. We develop a complete workflow that can determine subsurface S-wave velocity (VS) models inverted from 2D near-surface seismic data more stably. We make use of a combination of supervirtual interferometry and a matched filter to accurately retrieve dominant surface waves from the field data, whereas the incoherent noise and 3D scattering events are significantly suppressed. The subsurface structures obtained from inverting the retrieved data can be interpreted together with the sections resulting from FWI of the original data to mitigate the potential misinterpretation of artifacts. Our results demonstrate that it is possible to invert 2D near-surface seismic data even when the data quality is lowered by the presence of strong noise and 3D scattered events caused by objects located in the crossline direction.","2D; 3D; full-waveform inversion; interferometry; Love wave","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:344797c6-a7ae-47a5-a4a8-b069f299cc75","http://resolver.tudelft.nl/uuid:344797c6-a7ae-47a5-a4a8-b069f299cc75","Lanthanide-Doped Nanoprobes as Orthogonal NIR-II Fluorescence Channels for In Vivo Information Storage","Wang, Xiaolu (Capital Normal University); Jia, Q. (TU Delft BT/Biocatalysis; Beijing Normal University); Ma, Liyi (Capital Normal University); Zhai, Xuejiao (Capital Normal University); Liu, Yuxin (Capital Normal University; Max-Planck-Inst. F. Kolloid-und G.); Liao, Xianquan (Capital Normal University); Zhou, Jing (Capital Normal University)","","2022","Information storage in vivo will lead to next-generation identification and security authentication. Here, an information storage method was proposed for in vivo application by using a pair of lanthanide-doped nanoprobes (NdNPs and ErNPs) with orthogonal emissions in the second near-infrared window. The information is stored in different fluorescence channels separately, while the selective readout could be realized by simply manipulating excitation wavelengths. The small-animal experiments primarily confirm the applicability of this method in vivo. The binary numbers ″1″ and ″0″ are implanted under the mice's skin, and the corresponding signals ″on″ and ″off″ can be collected by charge-coupled devices under different laser filter combinations. The design of lanthanide-doped probes with the nanoscale features and orthogonal emissions is expected to provide a new strategy for information storage in vivo. The lanthanide materials with excellent down-conversion near-infrared fluorescence performance have shown great application potential in the field of photonics.","information storage; multichannel imaging; orthogonal; rare earth-based nanoparticles; second near-infrared window","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Biocatalysis","","",""
"uuid:0466b548-f92e-4f10-a1a3-c0fa725f47d2","http://resolver.tudelft.nl/uuid:0466b548-f92e-4f10-a1a3-c0fa725f47d2","What Everyone Says: Public Perceptions of the Humanities in the Media","Liu, Alan (University of California); Droge, Abigail (University of California); Kleinman, Scott (California State University Northridge); Thomas, Lindsay (University of Miami); Baciu, D.C. (TU Delft History, Form & Aesthetics); Douglass, Jeremy (University of California)","","2022","Using computational means to understand patterns in how the humanities are men-tioned in U.S. journalism, the WhatEvery1Says project brings into focus challenging problems in the perception of the humanities. This essay reports on the project’s findings and some of the further questions that emerged from them. For example, how does the “humanities crisis” appear among the many crises of our time? Why do the humanities figure so often in connection with concrete, ordinary life yet also seem ab-stract in value? How can more of the substance of humanistic research be communicated as opposed to appearing as just academic business? And why is there so little focus in the media on how underrepresented populations are positioned in relation to the humanities by comparison to science and social, political, or economic issues? The essay concludes by recommending that the humanities reframe their crisis as part of larger human crises requiring multidisciplinary “grand challenge” approaches.","","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:b76f9664-9e49-48e4-b56e-4df2b9f0b67b","http://resolver.tudelft.nl/uuid:b76f9664-9e49-48e4-b56e-4df2b9f0b67b","A new reinforcement learning-based variable speed limit control approach to improve traffic efficiency against freeway jam waves","Han, Yu (Southeast University); Hegyi, A. (TU Delft Transport and Planning); Zhang, Le (Nanjing University of Science and Technology); He, Zhengbing (Beijing University of Technology); Chung, Edward (The Hong Kong Polytechnic University); Liu, Pan (Southeast University)","","2022","Conventional reinforcement learning (RL) models of variable speed limit (VSL) control systems (and traffic control systems in general) cannot be trained in real traffic process because new control actions are usually explored randomly, which may result in high costs (delays) due to exploration and learning. For this reason, existing RL-based VSL control approaches need a traffic simulator for training. However, the performance of those approaches are dependent on the accuracy of the simulators. This paper proposes a new RL-based VSL control approach to overcome the aforementioned problems. The proposed VSL control approach is designed to improve traffic efficiency by using VSLs against freeway jam waves. It applies an iterative training framework, where the optimal control policy is updated by exploring new control actions both online and offline in each iteration. The explored control actions are evaluated in real traffic process, thus it avoids that the RL model learns only from a traffic simulator. The proposed VSL control approach is tested using a macroscopic traffic simulation model to represent real world traffic flow dynamics. By comparing with existing VSL control approaches, the proposed approach is demonstrated to have advantages in the following two aspects: (i) it alleviates the impact of model mismatch, which occurs in both model-based VSL control approaches and existing RL-based VSL control approaches, via replacing knowledge from the models by knowledge from the real process, and (ii) it significantly reduces the exploration and learning costs compared to existing RL-based VSL control approaches.","Data-driven approach; Freeway traffic control; Reinforcement learning; Variable speed limits","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:4f4c387d-7dbe-40e0-af5c-054f05832efd","http://resolver.tudelft.nl/uuid:4f4c387d-7dbe-40e0-af5c-054f05832efd","Role of ammonia-oxidizing microorganisms in the removal of organic micropollutants during simulated riverbank filtration","Zhao, Jian (Tsinghua University); Fang, Shangbiao (Tsinghua University); Liu, G. (TU Delft Water Management; Chinese Academy of Sciences); Qi, Weixiao (Tsinghua University); Bai, Yaohui (Chinese Academy of Sciences); Liu, Huijuan (Tsinghua University); Qu, Jiuhui (Tsinghua University)","","2022","Biodegradation plays an important role in the removal of organic micropollutants (OMPs) during riverbank filtration (RBF) for drinking water production. The ability of ammonia-oxidizing microorganisms (AOM) to remove OMPs has attracted increasing attention. However, the distribution of AOM in RBF and its role in the degradation of OMPs remains unknown. In this study, the behavior of 128 selected OMPs and the distribution of AOM and their roles in the degradation of OMPs in RBF were explored by column and batch experiments simulating the first meter of the riverbank. The results showed that the selected OMPs were effectively removed (82/128 OMPs, >70% removal) primarily by biodegradation and partly by adsorption. Inefficiently removed OMPs tended to have low molecular weights, low log P, and contain secondary amides, secondary sulfonamides, secondary ketimines, and benzyls. In terms of the microbial communities, the relative abundance of AOM increased from 0.1%–0.2% (inlet-sand) to 5.3%–5.9% (outlet-sand), which was dominated by ammonia-oxidizing archaea whose relative abundance increased from 23%–72% (inlet-sand) to 97% (outlet-sand). Comammox accounted for 23%–64% in the inlet-sand and 1% in the outlet-sand. The abundances of AOM amoA genes kept stable in the inlet-sand of control columns, while decreased by 78% in the treatment columns, suggesting the inhibition effect of allylthiourea (ATU) on AOM. It is observed that AOM played an important role in the degradation of OMPs, where its inhibition led to the corresponding inhibition of 32 OMPs (5/32 were completely suppressed). In particular, OMPs with low molecular weights and containing primary amides, secondary amides, benzyls, and secondary sulfonamides were more likely to be removed by AOM. This study reveals the vital role of AOM in the removal of OMPs, deepens our understanding of the degradation of OMPs in RBF, and offers valuable insights into the physiochemical properties of OMPs and their AOM co-metabolic potential.","Ammonia-oxidizing microorganisms; Biodegradation; First-meter infiltration; Organic micropollutants; Riverbank filtration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Water Management","","","",""
"uuid:9031353f-aecd-4e6a-95b8-d7810e6bcc84","http://resolver.tudelft.nl/uuid:9031353f-aecd-4e6a-95b8-d7810e6bcc84","Model predictive control and protection of MMC-based MTDC power systems","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Liu, L. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","Meshed offshore grids (MOGs) present a viable option for a reliable bulk power transmission topology. The station-level control of MOGs requires faster dynamics along with multiple objective functions, which is realized by the model predictive control (MPC). This paper provides control, and protection design for the Modular Multilevel Converter (MMC) based multi-terminal DC (MTDC) power system using MPC. MPC is defined using a quadratic cost function, and a dqz rotating frame voltage inputs are represented using Laguerre orthonormal functions. MPC has been applied for the control of both grid forming and grid following converters in a four-terminal MTDC setup, implemented for real-time Electromagnetic Transient (EMT) simulation. By applying numerous time-domain simulations, the advantages of the MPC when dealing with AC and DC side disturbances are investigated. The investigation highlights the MPC's inherent feature of fast response and high damping during- and post-disturbance, which is compared to the traditional PI controller performance. The analysis provides a comprehensive insight into the transient behavior of the MTDC during disturbances.","GTFPGA; MMC; Model predictive control; MTDC; Software-in-the-loop; VARC DC CB; Wind power plant","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:6a7e0774-322a-42f6-af25-73cd221106a0","http://resolver.tudelft.nl/uuid:6a7e0774-322a-42f6-af25-73cd221106a0","Response of hydrogeological processes in a regional groundwater system to environmental changes: A modeling study of Yinchuan Basin, China","Li, J. (Chang'an University); Zhou, Yangxiao (Hebei University of Geosciences; IHE Delft Institute for Water Education); Wang, Wenke (Chang'an University); Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Li, Ying (Geological Bureau of Ningxia Hui Autonomous Region, Yinchuan); Wu, Ping (Institute of Hydrogeology and Environmental Geology of Ningxia, Yinchuan)","","2022","The sustainable development of groundwater resources in arid and semi-arid regions is a challenging task hindered by climate change and human activities. The rational utilization and management of groundwater resources is, therefore, dependent on an understanding of the influences of human and climatic factors on the spatial distribution of groundwater resources and their change over time. The thick Quaternary aquifers in the Yinchuan Basin, China were used herein as an example of how to quantitatively assess spatial and temporal trends in groundwater resources in response to human activities and climate change. A 3D transient groundwater flow model was constructed and used to simulate the evolution and spatial variability of hydrogeological processes from 1990 to 2020. By subsequently applying regime shift detection and correlation analysis to the simulation results, we found that: 1) groundwater storage was continuously depleted over the 30-year period, reaching a cumulative depletion of 1.89×109 m3; 2) human activities were mainly responsible for variations in regional hydrogeological processes for a period of up to 30 years. Climate only affected short-term interannual fluctuations in groundwater storage; 3) human activities (e.g., river water diversion and groundwater abstractions) were the decisive factors causing a continuous reduction of groundwater resources. A policy-driven reduction in water diversion from the Yellow River directly led to a significant drop in groundwater storage, which had a consequent effect on surface water and groundwater interactions and altered agricultural irrigation patterns (crop patterns and irrigation methods); 4) the amount of groundwater recharge from the Yellow River and local lakes increased from 1990 to 2020, whereas the discharge of groundwater to the Yellow River and lakes decreased.","Climate change; Human activities; Numerical groundwater flow model; Quaternary sediments, Yinchuan Basin; Surface water-groundwater interactions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Water Resources","","",""
"uuid:d1d67ddc-687e-4481-a804-ebb01b466c16","http://resolver.tudelft.nl/uuid:d1d67ddc-687e-4481-a804-ebb01b466c16","Clarifying the Relationship between the Lithium Deposition Coverage and Microstructure in Lithium Metal Batteries","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wang, Shuwei (Tsinghua University); Wang, Jianlin (Chinese Academy of Sciences); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Bai, Xuedong (Chinese Academy of Sciences); Li, Baohua (Tsinghua University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2022","Improving the reversibility of lithium metal batteries is one of the challenges in current battery research. This requires better fundamental understanding of the evolution of the lithium deposition morphology, which is very complex due to the various parameters involved in different systems. Here, we clarify the fundamental origins of lithium deposition coverage in achieving highly reversible and compact lithium deposits, providing a comprehensive picture in the relationship between the lithium microstructure and solid electrolyte interphase (SEI) for lithium metal batteries. Systematic variation of the salt concentration offers a framework that brings forward the different aspects that play a role in cycling reversibility. Higher nucleation densities are formed in lower concentration electrolytes, which have the advantage of higher lithium deposition coverage; however, it goes along with the formation of an organic-rich instable SEI which is unfavorable for the reversibility during (dis)charging. On the other hand, the growth of large deposits benefiting from the formation of an inorganic-rich stable SEI is observed in higher concentration electrolytes, but the initial small nucleation density prevents full coverage of the current collector, thus compromising the plated lithium metal density. Taking advantages of the paradox, a nanostructured substrate is rationally applied, which increases the nucleation density realizing a higher deposition coverage and thus more compact plating at intermediate concentration (∼1.0 M) electrolytes, leading to extended reversible cycling of batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:3fdf434c-b508-436a-befa-797a89e741b8","http://resolver.tudelft.nl/uuid:3fdf434c-b508-436a-befa-797a89e741b8","Joint Analysis and Reliability Test of Epoxy-Based Nano Silver Paste Under Different Pressure-Less Sintering Processes","Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd. Shanghai); Liu, Pan (Fudan University)","","2022","Recent years, the sintered silver paste was introduced and further developed for power electronics packaging due to low processing temperature and high working temperature. The pressure-less sintering technology reduces the stress damage caused by the pressure to the chip, improves reliability, and is widely applied in manufacturing. Currently, most existed studies are focused on alcohol-based sintered silver pastes while resins have been demonstrated to improve the bonding properties of solder joints. Hence, the performance and sintering mechanisms with epoxy-based silver paste need to be further explored. In this work, a methodology for multifactor investigation is settled on the epoxy-based silver paste to reveal the relationship between the strength and the different influence factors. We first analyzed the characteristics of commercialized epoxy-based silver paste samples, including silver content, silver particle size, organic composition, sample viscosity, and thermal conductivity. Samples were then prepared for shear tests and microstructure analysis under different pressure-less sintering temperatures, holding time, substrate surface, and chip size. Full factor analysis results were further discussed in detail for correlation. The influence factors were ranked from strong to weak as follows: sintering temperature, substrate surface, chip size, and holding time. Finally, a thermal cycling test was carried out for reliability analysis. Epoxy residues are one of the possible reasons, which result in shear strength decreasing exponentially.","epoxy-based nano silver paste; low-temperature joint technology; power electronic packaging; pressure-less sintering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:6bb98667-4d5e-4084-94a4-6d13bcbc0119","http://resolver.tudelft.nl/uuid:6bb98667-4d5e-4084-94a4-6d13bcbc0119","Heavily boron-doped diamond grown on scalable heteroepitaxial quasi-substrates: A promising single crystal material for electrochemical sensing applications","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Frota Sartori, A. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Schreck, Matthias (Universität Augsburg); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","In this work, three distinct heteroepitaxial single-crystal boron-doped diamond (SC-BDD) electrodes were fabricated and subjected to detailed surface analysis and electrochemical characterization. Specifically, the heteroepitaxy approach allowed to synthesize large-area (1 cm2) and heavily-doped (100)-oriented SC-BDD electrodes. Their single-crystal nature and crystal orientation were confirmed by X-ray diffraction, while scanning electron and atomic force microscopies revealed marked variations in surface morphology resulting from their growth on respective on-axis and off-axis substrates. Further, absence of sp2 impurities along with heavy boron doping (>1021 cm−3) was demonstrated by Raman spectroscopy and Mott-Schottky analysis, respectively. Cyclic voltammetry (CV) in a 0.1 M KNO3 solution revealed wide potential windows (∼3.3 V) and low double-layer capacitance (<4 μF cm−2) of the SC-BDD electrodes. Their highly conductive, ‘metal-like’ nature was confirmed by CV with [Ru(NH3)6]3+/2+ probe manifesting near-reversible redox response with ΔEp approaching 0.059 V. The same probe was used to record scanning electrochemical micrographs, which clearly demonstrated homogeneously distributed electrochemical activity of the heteroepitaxial SC-BDD electrodes. Minor differences in their electrochemical performance, presumably resulting from the somewhat different morphological features, were only unveiled during CV with surface sensitive compounds [Fe(CN)6]3−/4− and dopamine. The latter was also used to show the possibility of applying herein developed heteroepitaxial SC-BDD electrodes for electrochemical sensing, whereas experiments with anthraquinone-2,6-disulfonate revealed their enhanced resistance to fouling. All in all, heteroepitaxial SC-BDD represents a highly attractive electrode material which can, owing to the fabrication strategy, easily overcome size limitation, currently preventing broader use of single crystal diamond electrodes in electrochemical applications.","Electrochemical performance; Heavy boron doping; Heteroepitaxial growth; Large-area electrodes; Single-crystal diamond; Surface analysis","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","http://resolver.tudelft.nl/uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","Understanding the interaction of nucleotides with UVC light: an insight from quantum chemical calculation-based findings","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Short-wave ultraviolet (also called UVC) irradiation is a well-adopted method of viral inactivation due to its ability to damage genetic material. A fundamental problem with the UVC inactivation method is that its mechanism of action on viruses is still unknown at the molecular level. To address this problem, herein we investigate the response mechanism of genome materials to UVC light by means of quantum chemical calculations. The spectral properties of four nucleotides, namely, adenine, cytosine, guanine, and uracil, are mainly focused on. Meanwhile, the transition state and reaction rate constant of uracil molecules are also considered to demonstrate the difficulty level of adjacent nucleotide reaction without and with UVC irradiation. The results show that the peak wavelengths are 248.7 nm, 226.1 nm (252.7 nm), 248.3 nm, and 205.8 nm (249.2 nm) for adenine, cytosine, guanine, and uracil nucleotides, respectively. Besides, the reaction rate constants of uracil molecules are 6.419 × 10−49 s−1 M−1 and 5.436 × 1011 s−1 M−1 for the ground state and excited state, respectively. Their corresponding half-life values are 1.56 × 1048 s and 1.84 × 10−12 s. This directly suggests that the molecular reaction between nucleotides is a photochemical process and the reaction without UVC irradiation almost cannot occur.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","http://resolver.tudelft.nl/uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","Self-assembly of ammonium assimilation microbiomes regulated by COD/N ratio","Han, Fei (Shandong University); Zhang, Mengru (Shandong University); Li, Zhe (Shandong University); Liu, Zhe (School of Environmental Science and Engineering; Shandong University); Han, Yufei (Shandong University); Li, L. (TU Delft Sanitary Engineering); Zhou, Weizhi (Shandong University)","","2023","Marine microorganisms have an inherent advantage in the treatment of saline wastewater due to their halophilic properties. Ammonium assimilation is the most important and common nitrogen conversion pathway in the ocean, which means that it may be a suitable nitrogen removal strategy under high salinity conditions. However, the targeted construction of engineering microbiomes with ammonium assimilation function for nitrogen recovery has not been realized. Here, we constructed four halophilic ammonium assimilation microbiomes from marine microbial community under varying chemical oxygen demand (COD) to nitrogen (COD/N) ratios. The regulation of COD/N ratio on microbial self-assembly was explored at the phenotypic, genetic, and microbial levels. The results of nitrogen balance tests, functional genes abundance and microbial community structure confirmed that the microbiomes regulated by different COD/N ratios all performed obligate ammonium assimilation functions. >93% of ammonium, 90% of TN, 98% of COD, and 82% of phosphorus were simultaneously removed by microbial assimilation under the COD/N ratio of 20. COD/N ratios significantly affected the self-assembly of microbiomes by selectively enriching heterotrophic microorganisms with different preference for organic carbon load. Additionally, the increase of COD/N ratio intensified the competition among species within the microbiome (the proportion of negative connections of microbial network increased from 5.0% to 24.4%), which may enhance the stability of community structure. Taken together, these findings can provide theoretical guidance for the construction and optimization of engineering microbiomes for synergistic nitrogen removal and recovery.","Ammonium assimilation microbiomes; Chemical oxygen demand to nitrogen (COD/N) ratios; Marine microbial community; Microbial network; Self-assembly process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:194d20f8-2f2b-4715-ad5b-47b38cdc8be0","http://resolver.tudelft.nl/uuid:194d20f8-2f2b-4715-ad5b-47b38cdc8be0","Numerical investigation on the Thermal-hydraulic performance of the modified channel supercritical CO2 printed circuit heat exchanger","Wang, J. (TU Delft Energy Technology; Wuhan University of Technology; MOST); Yan, Xin ping (Wuhan University of Technology; MOST); Boersma, B.J. (TU Delft Marine and Transport Technology); Lu, Ming jian (Wuhan University of Technology; MOST); Liu, Xiaohua (Wuhan University of Technology; MOST)","","2023","Printed circuit heat exchangers (PCHE) are designed to improve heat recovery and energy saving in supercritical CO2 (S-CO2) power cycles. In the current study, a modified channel PCHE is proposed based on the regular straight channel and a zigzag channel. The thermal–hydraulic performance of four different types of PCHE is numerically investigated and the methods are verified by both experimental and numerical results. The numerical results are presented for a Reynolds number based on the inlet conditions between 5 000 and 25 000. From the numerical results, the local pressure loss and local heat transfer coefficients are analyzed and discussed. Subsequently, the global Nusselt number and Fanning friction coefficients are discussed. It is found that the inserted straight section contributes to uniform flow, resulted in significant pressure loss reduction with a slight decrease in heat transfer. The modified channel can reduce the Fanning friction coefficient by 33.1%-84.7% while the global Nusselt number reduction is about 3.6%-30.3%. This leads to a maximum performance evaluation criterion (PEC) enhancement of 45.9%.","CFD; Heat transfer; Modified channel; Printed circuit heat exchanger; Supercritical carbon dioxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Marine and Transport Technology","Energy Technology","","",""
"uuid:12832e2e-47b6-4324-b86b-abd4df23b203","http://resolver.tudelft.nl/uuid:12832e2e-47b6-4324-b86b-abd4df23b203","Singlet and triplet Cooper pair splitting in hybrid superconducting nanowires","Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Dvir, T. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mazur, G.P. (TU Delft BUS/Quantum Delft; TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, C. (TU Delft Architecture and the Built Environment; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Loo, N. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); ten Haaf, S.L.D. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bordin, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Gazibegovic, Sasa (Eindhoven University of Technology); Badawy, G.H.A. (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2022","In most naturally occurring superconductors, electrons with opposite spins form Cooper pairs. This includes both conventional s-wave superconductors such as aluminium, as well as high-transition-temperature, d-wave superconductors. Materials with intrinsic p-wave superconductivity, hosting Cooper pairs made of equal-spin electrons, have not been conclusively identified, nor synthesized, despite promising progress1–3. Instead, engineered platforms where s-wave superconductors are brought into contact with magnetic materials have shown convincing signatures of equal-spin pairing4–6. Here we directly measure equal-spin pairing between spin-polarized quantum dots. This pairing is proximity-induced from an s-wave superconductor into a semiconducting nanowire with strong spin–orbit interaction. We demonstrate such pairing by showing that breaking a Cooper pair can result in two electrons with equal spin polarization. Our results demonstrate controllable detection of singlet and triplet pairing between the quantum dots. Achieving such triplet pairing in a sequence of quantum dots will be required for realizing an artificial Kitaev chain7–9.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","Architecture and the Built Environment","","QRD/Kouwenhoven Lab","","",""
"uuid:806ab43b-61bf-4838-bb06-596e5ef71468","http://resolver.tudelft.nl/uuid:806ab43b-61bf-4838-bb06-596e5ef71468","Thickness-Independent Capacitive Performance of Holey Ti3C2Tx Film Prepared through a Mild Oxidation Strategy","Guo, R. (TU Delft RST/Storage of Electrochemical Energy; Shaanxi Normal University); Yuan, Peng (Shaanxi Normal University); Han, Xiying (Shaanxi Normal University); He, Xuexia (Shaanxi Normal University); Lu, Jiangbo (Shaanxi Normal University); Li, Qi (Shaanxi Normal University); Dang, Liqin (Shaanxi Normal University); Sun, Jie (Shaanxi Normal University); Liu, Zonghuai (Shaanxi Normal University); Lei, Zhibin (Shaanxi Normal University)","","2022","The Ti3C2Tx film with metallic conductivity and high pseudo-capacitance holds profound promise in flexible high-rate supercapacitors. However, the restacking of Ti3C2Tx sheets hinders ion access to thick film electrodes. Herein, a mild yet green route has been developed to partially oxidize Ti3C2Tx to TiO2/Ti3C2Tx by introducing O2 molecules during refluxing the Ti3C2Tx suspension. The subsequent etching away of these TiO2 nanoparticles by HF leaves behind numerous in-plane nanopores on the Ti3C2Tx sheets. Electrochemical impedance spectroscopy shows that longer oxidation time of 40 min yields holey Ti3C2Tx (H-Ti3C2Tx) with a much shorter relax time constant of 0.85 s at electrode thickness of 25 µm, which is 89 times smaller than that of the pristineTi3C2Tx film (75.58 s). Meanwhile, H-Ti3C2Tx film with 25 min oxidation exhibits less-dependent capacitive performance in film thickness range of 10–84 µm (1.63–6.41 mg cm−2) and maintains around 60% capacitance as the current density increases from 1 to 50 A g−1. The findings clearly demonstrate that in-plane nanopores not only provide more electrochemically active sites, but also offer numerous pathways for rapid ion impregnation across the thick Ti3C2Tx film. The method reported herein would pave way for fabricating porous MXene materials toward high-rate flexible supercapacitor applications.","capacitive performances; controllable oxidation; holey Ti C T; supercapacitors; thick film electrodes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:c487fe21-f61e-4544-ad12-5fe656e48d2a","http://resolver.tudelft.nl/uuid:c487fe21-f61e-4544-ad12-5fe656e48d2a","Sandwich-like heterostructured nanomaterials immobilized laccase for the degradation of phenolic pollutants and boosted enzyme stability","Li, Mengyu (Zhengzhou University); Bai, Yahan (Zhengzhou University); Zhuang, Wei (Zhengzhou University; Nanjing Tech University); Liu, Jinle (Zhengzhou University); Wang, Zhi (Zhengzhou University); Rao, Yuan (Zhengzhou University); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Ying, Hanjie (Nanjing Tech University); Ouyang, Pingkai (Nanjing Tech University)","","2023","A novel magnetic 2D/2D heterogeneous structure MXene@NiFe-LDH@Fe3O4 was prepared for immobilization of laccase. In this work, two-dimensional MXene nanosheets with abundant surface functional groups were heterogeneously assembled with layered double hydroxide (LDH) by in situ co-precipitation method, and magnetic nanoparticle Fe3O4 with excellent biocompatibility and rapid separation of materials and substrates was introduced subsequently, and then silane coupling agent was coated on the surface of MXene@NiFe-LDH@Fe3O4. The functionalized MXene@NiFe-LDH@Fe3O4 was employed as a carrier to immobilize laccase from Trametes-Versicolor. The enzyme loading of the nanocomposite material is as high as 167.9 mg/g. Compared with free enzymes, the immobilized laccase showed a notable improvement in stability in a wider range of pHs (2.0–8.0), temperatures (25–60 °C), and organic solvent concentration (1–5 M). The reusability study suggested that after 7 cycles of repeated catalysis, the degradation efficiency could reach 55.5% for 2,4-dichlorophenol, 92.1% for bisphenol A and70.9% for pyrocatechol. The results provide a new carrier preparation strategy for the efficient immobilization of laccase.","2D nanomaterials; Heterogeneous assembly; Immobilized laccase; Simulated industrial wastewater","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:17dd0e10-330a-4904-b81b-2820c4805b14","http://resolver.tudelft.nl/uuid:17dd0e10-330a-4904-b81b-2820c4805b14","Tunable Superconducting Coupling of Quantum Dots via Andreev Bound States in Semiconductor-Superconductor Nanowires","Liu, C. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Dvir, T. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2022","Semiconductor quantum dots have proven to be a useful platform for quantum simulation in the solid state. However, implementing a superconducting coupling between quantum dots mediated by a Cooper pair has so far suffered from limited tunability and strong suppression. This has limited applications such as Cooper pair splitting and quantum dot simulation of topological Kitaev chains. In this Letter, we propose how to mediate tunable effective couplings via Andreev bound states in a semiconductor-superconductor nanowire connecting two quantum dots. We show that in this way it is possible to individually control both the coupling mediated by Cooper pairs and by single electrons by changing the properties of the Andreev bound states with easily accessible experimental parameters. In addition, the problem of coupling suppression is greatly mitigated. We also propose how to experimentally extract the coupling strengths from resonant current in a three-terminal junction. Our proposal will enable future experiments that have not been possible so far.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:f071a36d-2137-4339-806b-4ade51ab00e5","http://resolver.tudelft.nl/uuid:f071a36d-2137-4339-806b-4ade51ab00e5","Performance evaluation of ventilative cooling systems for buildings under different control parameters and strategies","Hu, Yan (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Ai, Zhengtao (Hunan University); Zhang, Guoqiang (Hunan University)","","2023","Ventilative cooling is an energy-saving technology to diminish thermal discomfort and overheating risk of buildings, meanwhile achieving high indoor air quality (IAQ). However, there is still no optimal control strategy in practice, which considerably limits its application. This study developed a typical office building model to evaluate the performance of ventilative cooling systems with different control parameters and strategies for five typical cities in different climatic zones of China. Results showed that, when the control parameter was selected as the upper limit of satisfied comfortable zone by 90% of the occupants, the adaptive thermal comfort (ATC) model, which outperformed the other models in terms of outdoor air utilization, was not necessarily optimal in terms of energy efficiency. The outdoor air utilization potential based on the indoor dry-bulb air temperature (Td) and indoor operative temperature (Top) control was similar, but the energy usage varies considerably, especially in the hot climatic zones. When the overheating period controlled based on the thermal comfort models was the same, the energy usage would be underestimated by 16%–38% without considering the effect of radiant temperature. The ATC-based control could have up to 37% of energy-saving compared to thermostatic control, but inappropriately low limits could make it less advantages to achieve energy-saving. The energy-saving potential associated with the PMV and ATC controls showed a completely opposite trend in the different climatic zones. The analysis results indicate that eliminating the drawbacks of the lower limit in the ATC model is an effective way to demonstrate energy-saving effectiveness. The findings of this study will contribute to the effective improvement of the application potential of ventilative cooling in different climatic zones.","Control parameters; Energy saving; Indoor air quality; Thermal comfort; Ventilative cooling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:79c9a98a-1adc-4dba-9c07-128263d512f6","http://resolver.tudelft.nl/uuid:79c9a98a-1adc-4dba-9c07-128263d512f6","Multi-view Contour-constrained Transformer Network for Thin-cap Fibroatheroma Identification","Liu, Sijie (Xi’an Jiaotong University; Erasmus MC); Xin, Jingmin (Xi’an Jiaotong University); Wu, Jiayi (Xi’an Jiaotong University); Deng, Yangyang (Xi’an Jiaotong University); Su, Ruisheng (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Zheng, Nanning (Xi’an Jiaotong University); van Walsum, T. (Erasmus MC)","","2023","Identification and detection of thin-cap fibroatheroma (TCFA) from intravascular optical coherence tomography (IVOCT) images is critical for treatment of coronary heart diseases. Recently, deep learning methods have shown promising successes in TCFA identification. However, most methods usually do not effectively utilize multi-view information or incorporate prior domain knowledge. In this paper, we propose a multi-view contour-constrained transformer network (MVCTN) for TCFA identification in IVOCT images. Inspired by the diagnosis process of cardiologists, we use contour constrained self-attention modules (CCSM) to emphasize features corresponding to salient regions (i.e., vessel walls) in an unsupervised manner and enhance the visual interpretability based on class activation mapping (CAM). Moreover, we exploit transformer modules (TM) to build global-range relations between two views (i.e., polar and Cartesian views) to effectively fuse features at multiple feature scales. Experimental results on a semi-public dataset and an in-house dataset demonstrate that the proposed MVCTN outperforms other single-view and multi-view methods. Lastly, the proposed MVCTN can also provide meaningful visualization for cardiologists via CAM.","IVOCT; Multi-view learning; Plaque identification; TCFA; Transformer","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:df73715a-0d66-43ef-931d-a8777fd53c82","http://resolver.tudelft.nl/uuid:df73715a-0d66-43ef-931d-a8777fd53c82","Discharge performance of a high temperature phase change material with low-cost wire mesh","Opolot, Michael (University of Queensland); Zhao, Chunrong (University of Queensland); Keane, Partrick F. (University of South Australia); Liu, Ming (University of South Australia); Mancin, Simone (Università degli Studi di Padova); Bruno, Frank (University of South Australia); Hooman, K. (TU Delft Process and Energy)","","2023","Thermal energy storage is increasingly needed in a sustainable world because of its potential of capturing waste heat and being incorporated in solar power plants. For power generation, in particular, as turbine technology advances, a demand for higher temperature thermal energy storage materials also grows. For this purpose, latent thermal energy storage fits in well since it uses phase change materials (PCMs) which generally have a higher energy density compared to their sensible heat counterparts. In the present study, a eutectic Na2CO3(41.69%)-(33.1%)KCl-(25.21%)NaCl phase change material (PCM) with a melting temperature of 569 ° C was chosen as the storage material to experimentally assess the performance benefit of using a readily available stainless steel (ss304) wire mesh (as the periodic structure) to enhance heat transfer within the domain. In addition, for discharging, a numerical model was developed and compared with the experimental results. Furthermore, for discharging, a numerical investigation of the influence of the heat transfer fluid (HTF) flow-rate to the rate of heat transfer was performed. Overall, it was experimentally observed that the charging time for the composite case was shortened by about 35%, compared to the pure PCM case. For discharging, in the axial direction, the composite solidification time when compared to the pure PCM case was on average 10% shorter. Regarding the radial discharging performance of the composite, there was only about 5% improvement compared to the pure PCM case, which was expected due to the thermal contact resistance in the radial direction. Discharging experimental results were used to validate a discharging numerical model. Discharging results from the model showed that increasing the flow rate of the heat transfer fluid (HTF) reduced the time for solidification. It was observed that for the HTF flow rate of 5 L/min, 10 L/min, 20 L/min and 30 L/min, the discharge time was shortened by 23%, 30%, 33% and 35%, respectively.","Experimental testing; Heat transfer; Heat transfer enhancement; Numerical modelling; Phase change materials","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-14","","Process and Energy","","","",""
"uuid:9c6406bd-f791-489d-a99f-682013316b4d","http://resolver.tudelft.nl/uuid:9c6406bd-f791-489d-a99f-682013316b4d","Experimental investigation of soil–structure interface behaviour under monotonic and cyclic thermal loading","Guo, Y. (TU Delft Geo-engineering; Southeast University); Golchin, A. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Liu, Songyu (Southeast University); Zhang, Guozhu (Southeast University); Vardon, P.J. (TU Delft Geo-engineering)","","2023","The effect of temperature on the monotonic and cyclic shearing response of a soil–structure interface is of critical importance for the application of thermal-active geo-structures. To investigate this, soils and soil–concrete interfaces were comprehensively tested with a temperature-controlled direct shear device under both fixed temperatures and thermal/mechanical cycles within the range of 2–38 °C. Monotonic and cyclic shearing with various boundary conditions, including constant normal load (CNL), constant normal stiffness (CNS) and constant volume (CV), were conducted to resemble the conditions that thermal-active-geo-structures may experience. The strength properties of the sand, clay, and sand–concrete and clay–concrete interfaces were partially influenced by heating and cooling under all boundary conditions. However, several effects were observed which could affect the performance of thermo-active structures. Heating cycles caused the clay–concrete interface to be overconsolidated, implying a lower excess pore pressure would be generated during shearing. The cyclic CNS tests suggested that the interface strength could degrade due to (thermally induced) cyclic shear displacements, with this effect strongly related to the state of the soil rather than the temperature directly. In these tests, the medium-dense sand–concrete interface degraded to almost zero shear strength after 5 cycles, whereas the clay–concrete interface asymptotically degraded to around 60% of its strength after 10 cycles.","Laboratory test; Shear strength; Soil–structure interaction; Temperature effects","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","http://resolver.tudelft.nl/uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","Speed and heading control-based collision avoidance for a ship towing system","Zhang, Lei (Wuhan University of Technology; School of Navigation); Liu, Wenjie (Wuhan University of Technology); Du, Zhe (TU Delft Transport Engineering and Logistics); Du, Lei (Wuhan University of Technology); Li, Xiaobin (Wuhan University of Technology)","","2023","Collision avoidance is a priority task for ensuring the safety of a maritime transportation system. However, for a ship towing system, which is characterized by multiple vessels and physical connections, the research works about collision avoidance is limited. Thus, this paper proposes a speed and heading control-based conflict resolution of a ship towing system for collision avoidance. Two systems compose the core of the proposed conflict resolution: the risk assessment system and the coordination control system. The risk assessment is to identify the conflict and determine the time of avoiding action by calculating the index of conflict and the available maneuvering margin. The coordination control is based on the model predictive control (MPC) strategy to cooperatively control two tugboats for regulating the position, heading, and speed of the manipulated ship. Simulation experiments show that according to the index of conflict, the time cost, and the fuel consumption, a selected operation of combined heading and speed can be recommended for a ship towing system to provide a safer and more efficient towage manipulation.","Collision avoidance; Conflict resolution; Model predictive control; Ship towing system","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:9fc92de9-2f32-426e-b79b-cf60b1e72790","http://resolver.tudelft.nl/uuid:9fc92de9-2f32-426e-b79b-cf60b1e72790","Mixed-mode fatigue crack propagation simulation by means of Geq and walker models of the structural steel S355","Xin, Haohui (Xi’an Jiaotong University); Liu, Jielin (Xi’an Jiaotong University); Correia, J.A.F.O. (TU Delft Engineering Structures; Xi’an Jiaotong University; University of Porto); Berto, Filippo (Xi’an Jiaotong University; Norwegian University of Science and Technology (NTNU)); Veljkovic, M. (TU Delft Steel & Composite Structures); Qian, Guian (Beijing Institute of Mechanical and Electrical Engineering)","","2023","In this paper, a numerical simulation method of mixed-mode fatigue crack propagation was explored using the extended finite element method (XFEM) and the Virtual Crack Closure Technique (VCCT). Both 2D and 3D numerical models were selected to simulate the fatigue crack propagation of steel specimens. Two coefficients were proposed to calculate the equivalent energy release rate (Geq) for a better simulation of the mixed-mode fatigue crack propagation of S355 steel. The Walker equation and the calculation formula of Geq were realized by a user-defined subroutine. A set of optimal correction coefficients of mode II energy release rate (GII) and mode III energy release rate (GIII) were quantitatively comparing the simulation results and test data. The results will contribute to fatigue crack propagation prediction of steel structures in the civil engineering field.","Equivalent energy release rate (); Extended finite element method (XFEM); Mixed mode fatigue crack propagation; Virtual Crack Closure Technology (VCCT); Walker equation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-09","","Engineering Structures","Steel & Composite Structures","","",""
"uuid:02c36638-04c4-40cc-a904-d75a53acf6d8","http://resolver.tudelft.nl/uuid:02c36638-04c4-40cc-a904-d75a53acf6d8","The change in temporal trend and spatial distribution of CO2 emissions of China's public and commercial buildings","You, Kairui (Chongqing University); Yu, Yanhui (Chongqing University); Cai, Weiguang (Chongqing University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2023","The CO2 emission mitigation of the commercial and public building sector (P&C) is critical for achieving China's carbon peak and carbon neutrality. Analyzing changes in CO2 emissions and their driving factors from temporal and spatial perspective provides insights for developing equitable and effective decarbonization strategies. This study investigated the change in temporal trend and spatial distribution of CO2 emissions of China's P&C during period of 2005–2018 according to the Kaya identify and Gravity Center model. Meanwhile, combined with the Logarithmic Mean Divisia Index, this study proposed a decomposed method to identify the driving factor of the movement of the gravity center. The results showed that: 1) in the temporal dimension, China's P&C has still not achieved its CO2 emissions peak, arriving 820.68 MtCO2. The most positive and negative driving factors were per capita add value of tertiary industry and energy efficiency, respectively; 2) in the spatial dimension, during the 13th Five Year Plan period, the gravity center moved southwestward, and the most positive and negative driving factors were energy consumption unit area and energy efficiency, respectively; Besides, to accelerate the decarbonization of China's P&C, this study reviewed the main decarbonization strategies, divided them into six categories and provided policy implications. In summary, this study provides a completed assessment on CO2 emission changes of China's P&C, facilitating policy-makers to develop more reasonable implementation plans for emission mitigation.","CO emissions; Decarbonization; Gravity center; Logarithmic mean divisia index; Public and commercial building sector","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","http://resolver.tudelft.nl/uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","Behavior of Engineered Cementitious Composites (ECCs) Subjected to Coupled Sustained Flexural Load and Salt Frost","Li, Yonghao (Shandong University); Zhang, Ning (Shandong Hi-Speed Engineering Test CO); Sun, Renjuan (Shandong University); Guan, Yanhua (Shandong University); Liu, Lemin (Shandong Expressway Infrastructure Construction Co); Tian, Changjin (Shandong University); Ling, Yifeng (Shandong University); Zhang, Hongzhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The performance of engineered cementitious composites (ECCs) under coupled salt freezing and loaded conditions is important for its application on the transportation infrastructure. However, in most of the studies, the specimens were generally loaded prior to the freezing. The influence of sustained load was merely considered. To this end, four sustained deflection levels, i.e., 0%, 10%, 30% and 50% of the deflection at the ultimate flexural strength, and three salt concentrations (1%, 3% and 5%) were applied. Prior to the salt frost resistance test, the fluid absorption of ECC specimens under various conditions were measured. The changes in relative dynamic elastic modulus (RDEM) during the freeze–thaw cycles were captured. The depth and the content profile of free chloride were measured after the coupled sustained load and freezing and thawing cycles. It is shown that 3% NaCl solution leads to the largest deterioration in all cases. There is no visible flaking or damage occurring on the surface. The relationships between locally sustained flexural stress and RDEM loss and also locally sustained flexural stress and free chloride penetration depth were proposed and showed satisfactory results. It is concluded that when ECC is subjected to the FTCs under 1% de-ice salt solution, no depassivation of the steel is expected even under a large deflection level. In terms of 3% and 5% salt solution, the thickness of cover should be no less than 20 mm when a deflection level of 0.5 is applied.","chloride penetration depth; engineered cementitious composites (ECCs); free chloride profile; salt frost; sustained flexural load","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","http://resolver.tudelft.nl/uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","Strengthening effects evaluation on fatigue damage of rib to deck joint in orthotropic steel deck","Xin, Haohui (Xi’an Jiaotong University); Liu, Jielin (Xi’an Jiaotong University); Correia, Jose A.F. (Xi’an Jiaotong University; Universidade do Porto); Berto, Filippo (Xi’an Jiaotong University; Norwegian University of Science and Technology (NTNU)); Veljkovic, M. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University)","","2023","Strengthening fatigue damage of orthotropic steel decks (OSDs) needs to comprehensively consider the strengthening effect and the dead weight introduced during the strengthening process, especially for OSDs in super large-span bridges or old renovated bridges, where the dead weight cannot be significantly increased during repair and maintenance. This paper proposed a new CFRP prestressed reinforcement method, that does not significantly increase dead weight while effectively inhibiting fatigue crack growth. The strengthening effects of various strengthening methods on the fatigue crack propagation of rib to deck joint in OSDs were compared. Besides, the strengthening effects of different crack sizes were also analyzed. The results showed that the proposed method is effective in reducing fatigue damage at the rib to deck joint in OSDs.","Extended finite element method; Orthotropic steel decks (OSDs); Prestressed strengthening method; Rib to deck joint","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:bd166f7a-9850-4d41-ac4c-873f62c41c83","http://resolver.tudelft.nl/uuid:bd166f7a-9850-4d41-ac4c-873f62c41c83","In-situ damage mechanism investigation and a prediction model for delamination with fibre bridging in composites","Yao, Liaojun (Harbin Institute of Technology); Liu, J. (Harbin Institute of Technology); Lyu, Zhangming (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Hao, Cui (Northwestern Polytechnical University); Ren, Chuanxi (Chinese Academy of Sciences); Guo, Licheng (Harbin Institute of Technology)","","2023","Carbon-fibre reinforced composites are susceptible to delamination. Fibre bridging is an important shielding mechanism frequently observed in delamination. The presence of these bridging fibres can significantly increase interlaminar resistance, making it critical to represent this phenomenon for delamination characterization in composite laminates. To this end, in-situ SEM examinations were carried out to thoroughly explore damage mechanisms around delamination front as well as in bridging fibres. It was found that micro-cracks initiated at fibre–matrix interface can gradually develop and coalesce into micro-delaminations ahead of the main crack. The accumulation of these micro-delaminations can finally cause macro delamination propagation. The performance of bridging fibres can be summarized as three typical stages, i.e. bending, fibre–matrix peeling and final breakage with crack opening. Subsequently, theoretical discussions on bridging stress distribution were conducted in accordance with these bridging mechanism examinations, contributing to a new traction-separation constitutive to represent fibre bridging performance. A FEA prediction model was finally developed to characterize delamination behavior with fibre bridging. The simulation results can agree well with the experimental data in the entire delamination, demonstrating its effectiveness in fibre-bridged delamination representation. This study also demonstrated the importance of having in-depth understanding on fibre bridging mechanisms to appropriately represent bridging performance during delamination growth in composite laminates.","Bridging mechanisms; Delamination; Polymer-matrix composites; Prediction model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:7318bf60-e5c7-47d8-8c3d-14c4685638e5","http://resolver.tudelft.nl/uuid:7318bf60-e5c7-47d8-8c3d-14c4685638e5","Assessing the Mass Concentration of Microplastics and Nanoplastics in Wastewater Treatment Plants by Pyrolysis Gas Chromatography-Mass Spectrometry","Xu, Yanghui (TU Delft Water Management; TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Water Management; TU Delft Sanitary Engineering; Chinese Academy of Sciences); Wang, Xintu (Chinese Academy of Sciences; Guilin University of Technology); Hou, Feng (China Water Environmental Group Limited); Li, Peng (China Water Environmental Group Limited); van der Hoek, J.P. (TU Delft Water Management; TU Delft Sanitary Engineering; Waternet); Liu, G. (TU Delft Water Management; TU Delft Space Systems Egineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2023","The level of microplastics (MPs) in wastewater treatment plants (WWTPs) has been well evaluated by the particle number, while the mass concentration of MPs and especially nanoplastics (NPs) remains unclear. In this study, pyrolysis gas chromatography-mass spectrometry was used to determine the mass concentrations of MPs and NPs with different size ranges (0.01-1, 1-50, and 50-1000 μm) across the whole treatment schemes in two WWTPs. The mass concentrations of total MPs and NPs decreased from 26.23 and 11.28 μg/L in the influent to 1.75 and 0.71 μg/L in the effluent, with removal rates of 93.3 and 93.7% in plants A and B, respectively. The proportions of NPs (0.01-1 μm) were 12.0-17.9 and 5.6-19.5% in plants A and B, respectively, and the removal efficiency of NPs was lower than that of MPs (>1 μm). Based on annual wastewater effluent discharge, it is estimated that about 0.321 and 0.052 tons of MPs and NPs were released into the river each year. Overall, this study investigated the mass concentration of MPs and NPs with a wide size range of 0.01-1000 μm in wastewater, which provided valuable information regarding the pollution level and distribution characteristics of MPs, especially NPs, in WWTPs.","mass concentration; microplastics; nanoplastics; Py-GC/MS; WWTPs","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:086009aa-e22d-495f-912e-bea55dcee975","http://resolver.tudelft.nl/uuid:086009aa-e22d-495f-912e-bea55dcee975","An integrated EDIB model for probabilistic risk analysis of natural gas pipeline leakage accidents","Chen, Xing lin (Fuzhou University); Lin, Wei dong (Fujian Provincial Institute of Architectural Design and Research); Liu, Chun xiang (Fuzhou University); Yang, Fu qiang (Fuzhou University); Guo, Yong (Fuzhou University); Li, Xin (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Natural gas pipeline construction is developing rapidly worldwide to meet the needs of international and domestic energy transportation. Meanwhile, leakage accidents occur to natural gas pipelines frequently due to mechanical failure, personal operation errors, etc., and induce huge economic property loss, environmental damages, and even casualties. However, few models have been developed to describe the evolution process of natural gas pipeline leakage accidents (NGPLA) and assess their corresponding consequences and influencing factors quantitatively. Therefore, this study aims to propose a comprehensive risk analysis model, named EDIB (ET-DEMATEL-ISM-BN) model, which can be employed to analyze the accident evolution process of NGPLA and conduct probabilistic risk assessments of NGPLA with the consideration of multiple influencing factors. In the proposed integrated model, event tree analysis (ET) is employed to analyze the evolution process of NGPLA before the influencing factors of accident evolution can be identified with the help of accident reports. Then, the combination of DEMATEL (Decision-making Trial and Evaluation Laboratory) and ISM (Interpretative Structural Modeling) is used to determine the relationship among accident evolution events of NGPLA and obtain a hierarchical network, which can be employed to support the construction of a Bayesian network (BN) model. The prior conditional probabilities of the BN model were determined based on the data analysis of 773 accident reports or expert judgment with the help of the Dempster-Shafer evidence theory. Finally, the developed BN model was used to conduct accident evolution scenario analysis and influencing factor sensitivity analysis with respect to secondary accidents (fire, vapor cloud explosion, and asphyxia or poisoning). The results show that ignition is the most critical influencing factor leading to secondary accidents. The occurrence time and occurrence location of NGPLA mainly affect the efficiency of emergency response and further influence the accident consequence. Meanwhile, the weight ranking of economic loss, environmental influence, and casualties on social influence is determined with respect to NGPLAs.","Accident evolution analysis; Bayesian network; Gas leakage; Natural gas pipeline; Probabilistic risk analysis","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:ea3f1c52-83ab-4edb-8987-4e9e1d4abbb8","http://resolver.tudelft.nl/uuid:ea3f1c52-83ab-4edb-8987-4e9e1d4abbb8","Realization of a minimal Kitaev chain in coupled quantum dots","Dvir, T. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Loo, N. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, C. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mazur, G.P. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bordin, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); ten Haaf, S.L.D. (TU Delft Communication QuTech; TU Delft QRD/Goswami Lab; Kavli institute of nanoscience Delft); van Driel, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zatelli, F. (TU Delft Applied Sciences; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Li, X. (TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Malinowski, F.K. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Gazibegovic, Sasa (Eindhoven University of Technology); Badawy, G.H.A. (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Majorana bound states constitute one of the simplest examples of emergent non-Abelian excitations in condensed matter physics. A toy model proposed by Kitaev shows that such states can arise at the ends of a spinless p-wave superconducting chain1. Practical proposals for its realization2,3 require coupling neighbouring quantum dots (QDs) in a chain through both electron tunnelling and crossed Andreev reflection4. Although both processes have been observed in semiconducting nanowires and carbon nanotubes5–8, crossed-Andreev interaction was neither easily tunable nor strong enough to induce coherent hybridization of dot states. Here we demonstrate the simultaneous presence of all necessary ingredients for an artificial Kitaev chain: two spin-polarized QDs in an InSb nanowire strongly coupled by both elastic co-tunnelling (ECT) and crossed Andreev reflection (CAR). We fine-tune this system to a sweet spot where a pair of poor man’s Majorana states is predicted to appear. At this sweet spot, the transport characteristics satisfy the theoretical predictions for such a system, including pairwise correlation, zero charge and stability against local perturbations. Although the simple system presented here can be scaled to simulate a full Kitaev chain with an emergent topological order, it can also be used imminently to explore relevant physics related to non-Abelian anyons.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-15","Applied Sciences","","QRD/Kouwenhoven Lab","","",""
"uuid:1b79c224-6848-42a3-8e93-8ab43a690f67","http://resolver.tudelft.nl/uuid:1b79c224-6848-42a3-8e93-8ab43a690f67","BI-IEnKF coupling model for effective source term estimation of natural gas leakage in urban utility tunnels","Wu, Jiansong (China University of Mining and Technology (Beijing)); Cai, Jitao (China University of Mining and Technology (Beijing)); Liu, Z. (TU Delft Civil Engineering & Geosciences; China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Bai, Yiping (China University of Mining and Technology (Beijing)); Zhou, Rui (Tsinghua University)","","2023","As an effective way to facilitate the increasing demand for reliable infrastructure, energy supply and sustainable urban development, underground utility tunnels have been developed rapidly in recent years. Due to the widespread distribution of utility tunnels, the safe operation of natural gas pipelines accommodated in utility tunnels has caused great concern considering fire, explosion, and other coupling consequences induced by the gas pipeline leakage. However, the limited information on leakage source terms in accidental leakage scenarios could preclude timely consequence assessment and effective emergency response. In this study, a BI-IEnKF coupling source term estimation (STE) model is developed, with the combination of gas dispersion model, Bayesian inference (BI) and iterative ensemble Kalman filter (IEnKF) method, to achieve the effective source term estimation (including leakage location and leakage rate) and gas concentration distribution prediction. The newly developed model is first evaluated by the twin experiment with good reliability and accuracy. Furthermore, three contributing factors affecting the performance of the developed BI-IEnKF coupling STE model were investigated to assist parameter selection for practical use. Additionally, the novel application of mobile sensors serving as an alternative for fixed sensors is explored, and an application framework is sequentially given to guide the deployment of the developed coupling model in utility tunnels. The results show that the developed model has great performance in accuracy, efficiency and robustness, as well as the potential to be applied in actual utility tunnel scenarios. This study can provide technical supports for safety control and emergency response in the case of natural gas pipeline leakage accidents in utility tunnels. Also, it could be helpful to reasonable references for gas lekage monitoring system design.","Bayesian inference; Iterative ensemble Kalman filter; Natural gas leakage; Source term estimation; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-16","Civil Engineering & Geosciences","","Safety and Security Science","","",""
"uuid:bc80454d-7e87-4bb7-b946-2ff7ba0894c7","http://resolver.tudelft.nl/uuid:bc80454d-7e87-4bb7-b946-2ff7ba0894c7","Optimizing Electric Taxi Battery Swapping Stations Featuring Modular Battery Swapping: A Data-Driven Approach","Liu, Zhengke (Beihang University); Ma, Xiaolei (Beihang University); Liu, Xiaohan (Beihang University); Correia, Gonçalo (TU Delft Transport and Planning); Shi, Ruifeng (North China Electric Power University); Shang, Wenlong (Beijing University of Technology)","","2023","Optimizing battery swapping station (BSS) configuration is essential to enhance BSS’s energy savings and economic feasibility, thereby facilitating energy refueling efficiency of electric taxis (ETs). This study proposes a novel modular battery swapping mode (BSM) that allows ET drivers to choose the number of battery blocks to rent according to their driving range requirements and habits, improving BSS’s economic profitability and operational flexibility. We further develop a data-driven approach to optimizing the configuration of modular BSS considering the scheduling of battery charging at the operating stage under a scenario of time-of-use (ToU) price. We use the travel patterns of taxis extracted from the GPS trajectory data on 12,643 actual taxis in Beijing, China. Finally, we test the effectiveness and performance of our data-driven model and modular BSM in a numerical experiment with traditional BSM as the benchmark. Results show that the BSS with modular BSM can save 38% on the investment cost of purchasing ET battery blocks and is better able to respond to the ToU price than to the benchmark. The results of the sensitivity analysis suggest that when the peak electricity price is too high, additional battery blocks must be purchased to avoid charging during those peak periods.","battery swapping station configuration; data-driven approach; electric taxi; modular battery swapping mode; trajectory data","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:028a0f1d-691c-4864-a6d3-1acbf9457acf","http://resolver.tudelft.nl/uuid:028a0f1d-691c-4864-a6d3-1acbf9457acf","A Plant-inspired Light Transducer for High-performance Near-infrared Light Mediated Gas Sensing","Liang, Hongping (South China Normal University; Huizhou University); Guo, Xin (South China Normal University); Guo, Lanpeng (South China Normal University); Liu, Siying (South China Normal University); Zhan, Qiuqiang (South China Normal University); Yang, Haihong (The First Affiliated Hospital of Guangzhou Medical University); Li, Hao (South China Normal University); de Rooij, Nicolaas Frans (South China Normal University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics); Wang, Yao (South China Normal University); Zhou, Guofu (South China Normal University)","","2023","Constructing near-infrared light (NIR) light-enhanced room temperature gas sensors is becoming more promising for practical application. In this study, learning from the structure and photosynthetic process of chlorophyll thylakoid membranes in plants, the first “Thylakoid membrane” structural formaldehyde (HCHO) sensor is constructed by matching the upconversion emission of the lanthanide-doped upconversion nanoparticles (UCNPs) and the UV–vis adsorption of the as-prepared nanocomposites. The NIR-mediated sensor exhibits excellent performances, including ultra-high response (Ra / Rg = 2.22, 1 ppm), low practical limit of detection (50 ppb), reliable repeatability, high selectivity, and broadband spectral response. The practicality of the NIR-mediated gas sensor is confirmed through the remote and external stimulation test. A study of sensing mechanism demonstrates that it is the UCNPs-based light transducer produces more light-induced oxygen species for gas response in the process of non-radiative/radiative energy transfer, playing a key role in significantly improving the sensing properties of the sensor. The universality of NIR-mediated gas sensors based on UCNPs is verified using ZnO, In2O3, and SnO2 systems. This work paves a way for fabricating high-performance NIR-mediated gas sensors and will expand the application fields of NIR light.","gas sensors; light transducers; NIR-mediation; thylakoid membranes; upconversion nanoparticles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Bio-Electronics","","",""
"uuid:1ad6c54e-35b6-4479-b756-a3e6040d5cb4","http://resolver.tudelft.nl/uuid:1ad6c54e-35b6-4479-b756-a3e6040d5cb4","Modeling and Efficient Passenger-Oriented Control for Urban Rail Transit Networks","Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); Wang, Yihui (Beijing Jiaotong University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Real-time timetable scheduling is an effective way to improve passenger satisfaction and to reduce operational costs in urban rail transit networks. In this paper, a novel passenger-oriented network model is developed for real-time timetable scheduling that can model time-dependent passenger origin-destination demands with consideration of a balanced trade-off between model accuracy and computation speed. Then, a model predictive control (MPC) approach is proposed for the timetable scheduling problem based on the developed model. The resulting MPC optimization problem is a nonlinear non-convex problem. In this context, the online computational complexity becomes the main issue for the real-time feasibility of MPC. To reduce the online computational complexity, the MPC optimization problem is therefore reformulated into a mixed-integer linear programming (MILP) problem. The resulting MILP problem is exactly equivalent to the original MPC optimization problem and can be solved very efficiently by existing MILP solvers, so that we can obtain the solution very fast and realize real-time timetable scheduling. Numerical experiments based on a part of Beijing subway network show the effectiveness and efficiency of the developed model and the MILP-based MPC method.","Model predictive control; real-time timetable scheduling; time-dependent passenger origin-destination demand; urban rail transit","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:32c661b0-af31-46c5-a143-5546c45c05ae","http://resolver.tudelft.nl/uuid:32c661b0-af31-46c5-a143-5546c45c05ae","Influence of static–cyclic load misalignment on the drained tilting response of offshore monopiles in sand","Li, Z. (TU Delft Geo-engineering); Liu, Haoyuan (Norwegian Geotechnical Institute); Hicks, M.A. (TU Delft Geo-engineering); Pisano, F. (TU Delft Geo-engineering)","","2023","Offshore monopile foundations are exposed to misaligned wind and wave loadings, which are respectively dominated by (nearly) static and cyclic load components. While the response of these systems to unidirectional cyclic loading has been extensively investigated, only a few studies have been devoted to the realistic case of misaligned static and cyclic loads, and particularly to the effects of such misalignment on the accumulation of pile rotation under prolonged cycling. This paper presents a 3D finite-element (FE) modelling study on the relationship between load misalignment and cyclic monopile tilt under drained conditions, based on the use of the SANISAND-MS model to enable accurate simulation of cyclic sand ratcheting. After qualitatively identifying the relationship between relevant loading parameters and cyclic stress/densification mechanisms in the soil, specific parametric studies are performed to explore the impact on pile tilt accumulation. The results show that, in comparison to unidirectional loading, misaligned static–cyclic loading gives rise to lesser-known pile–soil interaction mechanisms: when the direction of cycling deviates from that of the static load, “cyclic compression” and “direct cyclic shearing” mechanisms begin to co-exist. This is quantitatively captured by a newly proposed empirical equation for monopile tilt calibrated against the 3D FE simulation results obtained in this work.","Cyclic loading; Finite-element modelling; Footings/foundations; Load misalignment; Offshore engineering; Sand","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:45511292-8a3e-43a0-8f31-3768c66b41e8","http://resolver.tudelft.nl/uuid:45511292-8a3e-43a0-8f31-3768c66b41e8","Widespread Frequent Methane Emissions From the Oil and Gas Industry in the Permian Basin","Veefkind, j. Pepijn (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Serrano Calvo, R. (TU Delft Atmospheric Remote Sensing); de Gouw, J. (University of Colorado); Dix, B. (University of Colorado); Schneising, O. (University of Bremen); Buchwitz, M. (University of Bremen); Barré, J.; van der A, R. J. (Royal Netherlands Meteorological Institute (KNMI)); Liu, M. (Royal Netherlands Meteorological Institute (KNMI)); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI); University Corporation for Atmospheric Research)","","2023","Emissions of methane (CH4) in the Permian basin (USA) have been derived for 2019 and 2020 from satellite observations of the Tropospheric Monitoring Instrument (TROPOMI) using the divergence method, in combination with a data driven method to estimate the background column densities. The resulting CH4 emission data, which have been verified using model data with known emissions, have a spatial resolution of approximately 10 km. The CH4 emissions show moderate spatial correlation with the locations of oil and gas production and drilling activities in the Permian basin, as well as with emissions of nitrogen oxides (NOx). Analysis of the emission maps and time series indicates that a significant fraction of methane emissions in the Permian basin is from frequent widespread emissions sources, rather than from a few infrequent very large unplanned releases, which is important considering possible CH4 emission mitigation strategies. In addition to providing spatially resolved emissions, the divergence method also provides the total emissions of the Permian basin and its main sub-basins. The total CH4 emission of the Permian is estimated as 3.0 ± 0.7 Tg yr−1 for 2019, which agrees with other independent estimates based on TROPOMI data. For the Delaware sub-basin, it is estimated as 1.4 ± 0.3 Tg yr−1 for 2019, and for the Midland sub-basin 1.2 ± 0.3 Tg yr−1. In 2020 the emissions are 9% lower compared to 2019 in the entire Permian basin, and respectively 19% and 27% for the Delaware and Midland sub-basins.","methane emission; oil and gas industry; Permian basin; Tropomi satellite","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:43bc5020-1406-432c-bd2e-32c99d156c53","http://resolver.tudelft.nl/uuid:43bc5020-1406-432c-bd2e-32c99d156c53","A scalable software package for time series reconstruction of remote sensing datasets on the Google Earth Engine platform","Zhou, J. (TU Delft Optical and Laser Remote Sensing; Central China Normal University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Gao, Bo (Capital Normal University); Zhao, Feng (Central China Normal University); Cui, Yilin (Central China Normal University); Xiong, Xuqian (Central China Normal University); Liu, Xuan (Central China Normal University); Li, Dengchao (The First Geological brigade of Hubei Geological Bureau)","","2023","Spatiotemporal residual noise in terrestrial earth observation products, often caused by unfavorable atmospheric conditions, impedes their broad applications. Most users prefer to use gap-filled remote sensing products with time series reconstruction (TSR) algorithms. Applying currently available implementations of TSR to large-volume datasets is time-consuming and challenging for non-professional users with limited computation or storage resources. This study introduces a new open-source software package entitled ‘HANTS-GEE’ that implements a well-known and robust TSR algorithm, i.e. Harmonic ANalysis of Time Series (HANTS), on the Google Earth Engine (GEE) platform for scalable reconstruction of terrestrial earth observation data. Reconstruction tasks can be conducted on user-defined spatiotemporal extents when raw datasets are available on GEE. According to site-based and regional-based case evaluation, the new tool can effectively eliminate cloud contamination in the time series of earth observation data. Compared with traditional PC-based HANTS implementation, the HANTS-GEE provides quite consistent reconstruction results for most terrestrial vegetated sites. The HANTS-GEE can provide scalable reconstruction services with accelerated processing speed and reduced internet data transmission volume, promoting algorithm usage by much broader user communities. To our knowledge, the software package is the first tool to support full-stack TSR processing for popular open-access satellite sensors on cloud platforms.","gap-filling; Google Earth Engine; HANTS; remote sensing; Time series reconstruction","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:a986ac42-12e1-4a21-86be-6fdef45effd8","http://resolver.tudelft.nl/uuid:a986ac42-12e1-4a21-86be-6fdef45effd8","The contribution shift of ammonia-oxidizing archaea and bacteria to ammoxidation under Ag-NPs/SWCNTs/PS-NPs stressors in constructed wetlands","Yang, X. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Guo, Fucheng (Chongqing University); Liu, Tao (Chongqing University); He, Qiang (Chongqing University); Vymazal, Jan (Czech University of Life Sciences Prague); Chen, Yi (Chongqing University)","","2023","Ammonia-oxidizing microorganisms (AOMs, archaea (AOA) and bacteria (AOB)) are primarily responsible for the ammoxidation in constructed wetlands (CWs). However, little is known about evaluating the response of AOA and AOB to engineered nanomaterials (ENMs) and quantifying the shift of their contribution to ammoxidation. Herein, we operated a series of CWs exposing to silver nanoparticles (Ag-NPs), single-walled carbon nanotubes (SWCNTs), and polystyrene nano-sized plastics (PS-NPs) with the wastewater-accumulating concentration of ENMs for 180 days. The results showed that the abundance of AOA amoA gene in situ was far lower than that of AOB, while the abundance ratio of AOA to AOB increased by 15 folds after 180-day experiment. Using DNA stable isotope probing (DNA-SIP) experiment, we found that the active AOB microbiota varied substantially but the AOA was more stable across different groups. Furthermore, the co-occurrence analysis proved that ENMs stress increased the negative coexistence pattern of AOA and AOB; predictive functional profiling showed that the ENMs enhanced the functional advantage of AOA by inhibiting AOB (mainly hydroxylamine oxidation process). Finally, the contribution of AOA increased under exposing to SWCNTs (18.35%), PS-NPs (24.92%), and Ag-NPs (32.14%) compared with control group (0.03%) for 180 days. Despite this, AOB was still the primary executant of ammoxidation in CWs. Overall, in our study, the differences in activities and contributions of AOMs were quantified in CWs, and a significantly negative coexistence relationship between AOA and AOB was revealed when exposed to emerging nanomaterials.","Ammonia-oxidizing microorganisms; Ammoxidation; Constructed wetlands; DNA-stable isotope probe; Engineered nanomaterials","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-14","","","Sanitary Engineering","","",""
"uuid:1a5cfcd2-467e-485f-85a5-2d1ba69ae781","http://resolver.tudelft.nl/uuid:1a5cfcd2-467e-485f-85a5-2d1ba69ae781","Recent advances and perspectives in GNSS PPP-RTK","Hou, P. (TU Delft Mathematical Geodesy and Positioning; University of Chinese Academy of Sciences; Chinese Academy of Sciences); Zha, Jiuping (Chinese Academy of Sciences); Liu, Teng (Chinese Academy of Sciences; Hubei Luojia Laboratory); Zhang, Baocheng (Chinese Academy of Sciences; (German) International Science and Technology Cooperative Offshore Center for Geodesy Frontier Technology)","","2023","Precise point positioning-real-time kinematic (PPP-RTK), otherwise known as integer ambiguity resolution-enabled precise pointing positioning, has attracted much attention in recent years and has become state-of-the-art in the global navigation satellite system (GNSS) high-precision positioning community. This work reviews several PPP-RTK methods, outlines a set of PPP-RTK applications, and presents possible future developments. According to the parameterization considered, we clarify the PPP-RTK models into a distinct-clock category and two common-clock categories (common-clock-1 and common-clock-2), in which several ionosphere-free PPP-RTK models can be cast. Compared with the ionosphere-free PPP-RTK model, we emphasize the advantages of the undifferenced and uncombined (UDUC) formulation and recommend the common-clock-1 UDUC PPP-RTK model since it is optimal, flexible, and widely applicable. Based on what kinds of parameters can be estimated by PPP-RTK models, we outline the PPP-RTK applications in several aspects, including position-based applications, time transfer, atmospheric retrieval, and GNSS bias estimation. Despite the huge advances in GNSS PPP-RTK, future research should improve PPP-RTK performances in harsh environments and apply PPP-RTK to mass markets.","common-clock model; distinct-clock model; global navigation satellite system (GNSS); integer ambiguity resolution-enabled precise point positioning; ionosphere-free PPP-RTK; PPP-RTK; undifferenced and uncombined (UDUC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-14","","","Mathematical Geodesy and Positioning","","",""
"uuid:24ffa187-5256-4a0d-bf5d-2762edd9fb89","http://resolver.tudelft.nl/uuid:24ffa187-5256-4a0d-bf5d-2762edd9fb89","On the application of strong thermoplastic–thermoset interactions for developing advanced aerospace-composite joints","Quan, D. (Shandong University); Ma, Yannan (Shandong University); Yue, Dongsheng (Shandong University); Liu, Jiaming (Shandong University); Xing, Jun (Qingdao University of Science and Technology); Zhang, Mingming (Qingdao University of Science and Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Zhao, Guoqun (Shandong University)","","2023","In this study, an aerospace thermosetting composite was co-curing joined by Polyether-ether-ketone (PEEK) and Polyethylenimine (PEI) films, with an aim of developing advanced composite joints. The semi-crystalline PEEK films were surface activated upon a UV-irradiation technique to obtain a strong film–composite interface, while the amorphous PEI films could be directly used. The fracture behaviour of the composite joints was evaluated and compared with benchmark aerospace adhesive joints. The experimental results proved remarkable mode-I and mode-II fracture resistance of the PEEK co-cured joints at 22 °C and 130 °C, while the PEI co-cured joints exhibited excellent mode-I fracture resistance at 22 °C and mode-II fracture resistance in both testing temperature cases. Extensive elongation, tearing and fracture of the PEEK/PEI plastics were proved to be the main mechanisms for toughness enhancement. Overall, this work had successfully demonstrated the effectiveness of developing advanced composite joints via a co-curing process using high-performance thermoplastic films.","Co-curing joining; Composite joint; Fracture behaviour; Thermoplastic–thermoset interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Structural Integrity & Composites","","",""
"uuid:a418a84a-5e5b-4849-b288-23ab9f30cbe8","http://resolver.tudelft.nl/uuid:a418a84a-5e5b-4849-b288-23ab9f30cbe8","Passive film formation and corrosion resistance of laser-powder bed fusion fabricated NiTi shape memory alloys","Liu, M. (TU Delft Team Arjan Mol); Zhu, Jia-Ning (TU Delft Team Vera Popovich); Popovich, V. (TU Delft Team Vera Popovich); Borisov, E. (Peter the Great Saint-Petersburg Polytechnic University); Mol, J.M.C. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","Electrochemical tests and surface analysis measurements were performed to study the corrosion behavior in a 0.9 wt.% NaCl solution at 37 °C of three NiTi shape memory alloys fabricated by laser-powder bed fusion (L-PBF). The passive film characteristics and corrosion resistance of L-PBF NiTi showed different features as a function of their preparation process settings. The passivation rate for L-PBF NiTi surfaces including defects, such as keyhole pores and cracks which showed high electrochemical activity accelerating the passivation reaction process, was higher in the early stages of immersion, but the corrosion resistance provided by such a rapidly formed passive film containing higher defect density is lower than that for an initially defect-free surface. The thickness of the passive film including a higher defect density does not necessarily relate to the corrosion resistance. The L-PBF NiTi prepared at a linear energy density of 0.2 J/m and volumetric energy density of 56 J/mm3 shows the least defects. Also, an outer Ti-rich and inner Ni-rich dense and corrosion protective passive film could be obtained for these L-PBF NiTi samples, which also results in a relatively low Ni ion release rate. A passive film model based on thickness, composition and defect density properties as a function of processing conditions is proposed to explain the difference in corrosion resistance of the various L-PBF NiTi.","Auger electron spectroscopy; Corrosion; Laser-powder bed fusion; NiTi; Passivation; Shape memory alloys; X-ray photoelectron spectroscopy","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:ab2f4a11-1a9a-46cd-ba6b-30b297df61d5","http://resolver.tudelft.nl/uuid:ab2f4a11-1a9a-46cd-ba6b-30b297df61d5","Combined Chemoradionuclide Therapy Using Poly(ε-caprolactone-b-ethylene oxide) Micelles as the Delivery Vehicle","Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Nadar, R.A. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC); Fauzia, R.P. (TU Delft BT/Biocatalysis); Laan, A.C. (TU Delft RST/Technici Pool); Wang, R. (TU Delft RST/Applied Radiation & Isotopes); van Cooten, Quenteijn (Student TU Delft); Carroll, E.C.M. (TU Delft ImPhys/Carroll group); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2023","Combination of therapies is a common strategy in cancer treatment. Such combined therapies only have merit provided that there is superior therapeutic outcome with fewer side effects, compared to single therapies. Here, this work explores the possibility to combine chemotherapy with radionuclide therapy using polymeric micelles as a delivery vehicle. For this purpose, this work prepares poly(ε-caprolactone-b-ethylene oxide) (PCL-PEO) micelles and load them simultaneously with paclitaxel (PTX) and 177Lu(III). This work chooses a 3D tumor spheroid composed of glioblastoma cells (U87) to evaluate the combined treatment. The diffusion of the micelles in the spheroid is investigated by confocal laser scanning microscopy (CLSM) and light-sheet fluorescence microscopy (LSFM). The results show that the micelles are able to penetrate deep into the spheroid within 24 h of incubation and mainly accumulated around or in the lysosomes once in the cell. Subsequently, this work evaluates the cell killing efficiency of the single treatments (PTX or 177Lu(III)) versus combined treatment (PTX + 177Lu(III)) by measuring the growth of the spheroids as well as by performing a cell-viability assay. The results indicate that the combined therapy achieves a superior therapeutic outcome with better cell growth inhibition and cell killing efficiency compared to the single treatments.","biodistribution; cell viability; chemoradionuclide therapy; polymeric micelles; tumor spheroid","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:1ff8b871-b55d-433f-9fee-90fd0b63bd98","http://resolver.tudelft.nl/uuid:1ff8b871-b55d-433f-9fee-90fd0b63bd98","Ultra-sensitive graphene membranes for microphone applications","Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Pezone, R. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Cvetanović Zobenica, Katarina (University of Belgrade); Spasenović, Marko (University of Belgrade); Todorović, Dejan (Dirigent Acoustics Ltd); Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft)","","2023","Microphones exploit the motion of suspended membranes to detect sound waves. Since the microphone performance can be improved by reducing the thickness and mass of its sensing membrane, graphene-based microphones are expected to outperform state-of-the-art microelectromechanical (MEMS) microphones and allow further miniaturization of the device. Here, we present a laser vibrometry study of the acoustic response of suspended multilayer graphene membranes for microphone applications. We address performance parameters relevant for acoustic sensing, including mechanical sensitivity, limit of detection and nonlinear distortion, and discuss the trade-offs and limitations in the design of graphene microphones. We demonstrate superior mechanical sensitivities of the graphene membranes, reaching more than 2 orders of magnitude higher compliances than commercial MEMS devices, and report a limit of detection as low as 15 dBSPL, which is 10-15 dB lower than that featured by current MEMS microphones.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:b117e566-b049-4766-92f9-309970f6bd7d","http://resolver.tudelft.nl/uuid:b117e566-b049-4766-92f9-309970f6bd7d","Integrating the flow regime and water quality effects into a niche-based metacommunity dynamics model for river ecosystems","Wang, Yibo (Wuhan University); Liu, Pan (Wuhan University); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Li, Liping (Changjiang Water Resources Commission); Wu, Chen (Wuhan University); Han, Dongyang (Wuhan University); Zhang, Xiaojing (Wuhan University); Yang, Zhikai (Wuhan University); Yang, Sheng (China Energy Science and Technology Research Institute Co.,Ltd)","","2023","Aquatic community dynamics are closely dominated by flow regime and water quality conditions, which are increasingly threatened by dam regulation, water diversion, and nutrition pollution. However, further understanding of the ecological impacts of flow regime and water quality conditions on aquatic multi-population dynamics has rarely been integrated into existing ecological models. To address this issue, a new niche-based metacommunity dynamics model (MDM) is proposed. The MDM aims to simulate the coevolution processes of multiple populations under changing abiotic environments, pioneeringly applied to the mid-lower Han River, China. The quantile regression method was used for the first time to derive ecological niches and competition coefficients of the MDM, which are demonstrated to be reasonable by comparing them with the empirical evidence. Simulation results show that the Nash efficiency coefficients for fish, zooplankton, zoobenthos, and macrophytes are more than 0.64, while the Pearson correlation coefficients for them are no less than 0.71. Overall, the MDM performs effectively in simulating metacommunity dynamics. For all river stations, the average contributions of biological interaction, flow regime effects, and water quality effects to multi-population dynamics are 64%, 21%, and 15%, respectively, suggesting that the population dynamics are dominated by biological interaction. For upstream stations, the fish population is 8%–22% more responsive to flow regime alteration than other populations, while other populations are 9%–26% more responsive to changes in water quality conditions than fish. For downstream stations, flow regime effects on each population account for less than 1% due to more stable hydrological conditions. The innovative contribution of this study lies in proposing a multi-population model to quantify the effects of flow regime and water quality on aquatic community dynamics by incorporating multiple indicators of water quantity, water quality, and biomass. This work has potential for the ecological restoration of rivers at the ecosystem level. This study also highlights the importance of considering threshold and tipping point issues when analyzing the “water quantity-water quality-aquatic ecology” nexus in future works.","Ecological niche; Flow regime; Metacommunity dynamics; Quantile regression; River ecosystem; Water quality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-11","","","Water Resources","","",""
"uuid:1fca391d-a2e0-4406-9c80-8dc143917336","http://resolver.tudelft.nl/uuid:1fca391d-a2e0-4406-9c80-8dc143917336","Interlaminar and intralaminar fracture resistance of recycled carbon fibre/PPS composites with tailored fibre/matrix adhesion","Quan, D. (TU Delft Structural Integrity & Composites; Shandong University); Liu, Jiaming (Shandong University); Yao, L. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Zhao, Guoqun (Shandong University)","","2023","The production of advanced composites from recycled carbon fibres (rCFs) is critical for the sustainable development of carbon fibre industry. Herein, non-woven mats consisting of commingled rCFs and Polyphenylene-sulfide (PPS) fibres were compression moulded to manufacture rCF/PPS composites, with the fibre/matrix adhesion being tailored by UV-irradiating the non-woven mats. The intralaminar and interlaminar fracture resistance and mechanical performance of the rCF/PPS composites were characterised. The experimental results had demonstrated that improving the PPS/rCF adhesion of the composites significantly increased the intralaminar fracture energies and mechanical properties under tensile and shear loading conditions. However, it also negatively affected the interlaminar fracture resistance. The main fracture mechanism was observed to be fibre evulsion for the intralaminar fracture mode, while crack bridging by the rCFs was the primary fracture mechanism for the interlaminar fracture condition. That led to the contrary influences of the improved fibre/matrix adhesion on the intralaminar and interlaminar fracture resistance of the rCF/PPS composites. In summary, this study had shedded lights on tailoring the crack resistance and mechanical performance of rCFRPs by adjusting the fibre/matrix adhesion using the UV-treatment technique.","A: Polymer-matrix composites (PMCs); B Fibre/matrix bond; B: Fracture toughness; B: Recycling; D: Fractography","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:0291c1f7-d746-46d1-8264-b02e05ff85b2","http://resolver.tudelft.nl/uuid:0291c1f7-d746-46d1-8264-b02e05ff85b2","A modified bias-extension test method for the characterisation of intra-ply shear deformability of hybrid metal-composite laminates","Liu, S. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","","2023","The bias-extension test is one of the test methods to characterise the intra-ply shear behaviour of continuous fibre reinforced composites including fabrics and unidirectional (UD) materials. For the determination of the major mechanical properties of metals, often a uniaxial tensile test is used. Combination of these two methods for the shear deformation of hybrid metal-composite laminates is proposed comparing the method for cross-plied unidirectional prepregs and woven fabric prepregs. The effects of material constituent, shear rate, preheat temperature and normal pressure on the intra-ply shear behaviour are investigated. The results indicate that the material constituents and the frictional responses depending on processing parameters play a critical role in the shear characterisation of the hybrid laminate. The shear angle measurement at four typical strains demonstrates that the support of metal layers improves the shear deformability by delaying the onset of fibre wrinkling. This modified intra-ply shear test contributes to a better understanding of the process design for wet (uncured) hybrid metal-composite laminate manufacturing.","Intra-ply shear angles; Material constituents; Metal-composite laminates; Modified bias-extension test; Processing parameters","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:9cea195a-959e-494a-8737-7eea5ac2a5d5","http://resolver.tudelft.nl/uuid:9cea195a-959e-494a-8737-7eea5ac2a5d5","Perspectives on Compound Flooding in Chinese Estuary Regions","Xu, H. (TU Delft Hydraulic Structures and Flood Risk; East China Normal University); Ragno, E. (TU Delft Hydraulic Structures and Flood Risk); Tan, Jinkai (Sun Yat-sen University); Antonini, A. (TU Delft Coastal Engineering); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk; University of Michigan); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); Liu, Qing (East China Normal University); Wang, Jun (East China Normal University)","","2023","Extreme surges and rainfall represent major driving factors for compound flooding in estuary regions along the Chinese coast. The combined effect of extreme surges and rainfall (that is, compound floods) might lead to greater impacts than if the drivers occurred in isolation. Hence, understanding the frequency and severity of compound flooding is important for improving flood hazard assessment and compound flood resilience in coastal cities. In this study, we examined the dependence between extreme surges and corresponding rainfall events in 26 catchments along the Chinese coastline during typhoon and non-typhoon seasons using copula functions, to identify where the two drivers more often occur together and the implication for flood management in these locations. We found that the interaction between flood drivers is statistically significant in 10 catchments located around Hainan Island (south) and Shanghai, where surge peaks occur mainly during the typhoon season and around the Bohai Sea (north), where surge peaks occur mainly during the non-typhoon season. We further applied the copula-based framework to model the dependence between surge peaks and associated rainfall and estimate their joint and conditional probability in two specific locations—Hainan Island and the Bohai Sea, where the correlation between flood drivers is statistically significant. We observed that in Hainan Island where most of the surge peaks occur during the typhoon season, extreme rainfall events during the typhoon season are generally more intense compared to annual maxima rainfall. In contrast, around the Bohai Sea where surge peaks occur mainly outside the typhoon season, rainfall is less intense than annual maxima rainfall. These results show that the interaction between extreme surges and rainfall can provide valuable insight when designing coastal and urban infrastructure, especially in highly populated urban areas prone to both coastal and pluvial flooding, such as many Chinese coastal cities.","China; Coastal catchments; Compound flooding; Copula function; Extreme surge; Optimal threshold","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:f52a6d49-e458-47c6-b1d0-13001deb07f7","http://resolver.tudelft.nl/uuid:f52a6d49-e458-47c6-b1d0-13001deb07f7","Electrical Control of Uniformity in Quantum Dot Devices","Meyer, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Déprez, C.C. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Abswoude, Timo R. (Kavli institute of nanoscience Delft; Student TU Delft); Meijer, Ilja N. (Kavli institute of nanoscience Delft; Student TU Delft); Liu, Dingshan (Kavli institute of nanoscience Delft; Student TU Delft); Wang, C.A. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Karwal, S. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Oosterhout, S.D. (TU Delft BUS/TNO STAFF); Borsoi, F. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Hendrickx, N.W. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Highly uniform quantum systems are essential for the practical implementation of scalable quantum processors. While quantum dot spin qubits based on semiconductor technology are a promising platform for large-scale quantum computing, their small size makes them particularly sensitive to their local environment. Here, we present a method to electrically obtain a high degree of uniformity in the intrinsic potential landscape using hysteretic shifts of the gate voltage characteristics. We demonstrate the tuning of pinch-off voltages in quantum dot devices over hundreds of millivolts that then remain stable at least for hours. Applying our method, we homogenize the pinch-off voltages of the plunger gates in a linear array for four quantum dots, reducing the spread in pinch-off voltages by one order of magnitude. This work provides a new tool for the tuning of quantum dot devices and offers new perspectives for the implementation of scalable spin qubit arrays.","hysteresis; quantum dot; spin qubit; uniformity","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:fd0afefa-6c6a-4108-af8e-7e4de1d12322","http://resolver.tudelft.nl/uuid:fd0afefa-6c6a-4108-af8e-7e4de1d12322","Studying the impact of phase behavior in the morphology of molecular dynamics models of bitumen","Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Nahar, Sayeda (TNO); Mensink, Liz I.S. (Rijkswaterstaat)","","2023","Conventional Molecular Dynamics (MD) models of bitumen are built by homogeneously mixing molecules in a volume without considering that the molecules in bitumen are known to exhibit phase behavior and form distinctive molecular arrangements. These are known to have a significant impact in the behavior of bitumen, and considering their existence is paramount in producing improved representations of bitumen using computational models. This study explores whether MD models of bitumen that are conventionally assumed to be in equilibrium can still undergo significant phase separation over considerably long simulation times. It also aims to establish a more formal pathway to build and study models with highly heterogeneous arrangements of their molecules. Moreover, it aims to evaluate whether the presence of distinct morphologies have a significant impact in numerous physical properties of bitumen. The study shows that conventional and widely used models of bitumen exhibit significant molecular rearrangements over long times (>360 ns). It also shows that building heterogeneous morphologies is possible and result in energetically favorable conformations. Moreover, it proves that studying properties regularly used to validate MD models of bitumen (e.g., density) are insufficient in assessing the impact of different morphologies; more thorough methods are required to evaluate them.","Bitumen; Colloids; Molecular dynamics; Morphology; Phase separation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:5b9a2c92-6e2f-4da6-9a7f-a598ef190c6a","http://resolver.tudelft.nl/uuid:5b9a2c92-6e2f-4da6-9a7f-a598ef190c6a","Modeling the impact of lane-changing's anticipation on car-following behavior","Chen, Kequan (Southeast University); Knoop, V.L. (TU Delft Transport and Planning); Liu, Pan (Southeast University); Li, Zhibin (Southeast University); Wang, Yuxuan (Southeast University)","","2023","Lane-changing (LC) in congested traffic has been identified as a trigger for the sudden deceleration behavior of the new follower in the target lane, leading to severe traffic disturbances. Thus, investigating the response of the new follower to an LC maneuver is an important research topic in the literature. To date, numerous efforts have been devoted to understanding the impact of the lane changer on the new follower after the insertion, while less attention has been given to this influence during the pre-insertion stage (anticipation). Therefore, this paper aims to establish a new car-following (CF) model to capture the new follower's driving behavior during anticipation. Specifically, we introduce an attention mechanism deviating from Newell's CF rules to quantify the impact of anticipation. Then, we apply a neural network with an attention layer to estimate the attention mechanism and incorporate it into the Newell CF model, which yields a new CF model, denoted as CF_Attention. Using real-world trajectory data, we design three experiments and select three representative CF models to validate the CF_Attention. The results indicate that the CF_Attention outperforms the other models in predicting the new follower's trajectory, which is not affected by the heterogeneous behavior of the new follower and the anticipation duration. Additionally, the CF_Attention is proven effective in capturing the speed-space relationship and the formation of oscillation. Finally, our transferability test suggests that the CF_Attention is promising for different locations and times without requiring retraining. The results of this study could advance the integration of the LC impact and CF behavior, and could be implemented into commercial traffic simulation programs to describe vehicle movements in traffic flow more accurately.","Anticipation; Car-following model; Lane-changing impact; Trajectory data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","Transport and Planning","","",""
"uuid:d51e8d4d-d70e-4fa4-8182-a55f2e55e1e2","http://resolver.tudelft.nl/uuid:d51e8d4d-d70e-4fa4-8182-a55f2e55e1e2","Revealing Main Reaction Paths to Olefins and Aromatics in Methanol-to-Hydrocarbons over H-ZSM-5 by Isotope Labeling","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2023","The nature of hydrocarbon pool (HCP) intermediates in the methanol-to-hydrocarbons (MTH) process has been thoroughly investigated, especially for BEA- and CHA-type zeolite catalysts like H-β and H-SAPO-34. Herein, we further reveal the dynamic mechanistic details of the MTH process over the H-ZSM-5 catalyst at 400 °C, based on the dual-cycle mechanism and HCP in this medium-pore zeolite. Application of switching sequences of 13C-labeled and unlabeled methanol pulses over a model H-ZSM-5 catalyst combined with on-line MS analysis and a recently reported technique called “fast scanning-pulse GC analysis” provides a direct and quantitative insight into the MTH reactions under quasi-steady-state conditions. The transient product responses showed the almost instant formation of hydrocarbons upon a small pulse of methanol, followed by secondary formation of light aromatics via HCP decomposition and olefin alkylation-dealkylation, especially in a long catalyst bed when methanol is quickly consumed in the initial reaction zone in the catalyst bed. The isotopic analysis of typical aliphatic C3+ product responses after switching 13C-methanol pulses to the unlabeled methanol pulses showed a fast isotope scrambling in the formation of C3+ species. MS analysis of the light aromatics indicates a complete consecutive but slower isotope incorporation process of 12C into 13C-aromatics. Results provide direct experimental confirmation of the kinetically preferred olefin-based cycle over the aromatic-based cycle. The sequential isotopic incorporation strongly suggests that the paring reaction pathway through aromatic ring contraction and re-expansion steps is operative. In the appearance of aromatics upon pulsing methanol over larger catalyst beds, four processes are directly discerned, involving the displacement of adsorbed species by formed water, isotope incorporation yielding directly labeled and unlabeled products through the paring mechanism and direct aromatization, and HCP conversion through secondary reactions.","C/C switch; fast scanning-pulse analysis (FASPA); hydrocarbon pool mechanism; isotope labeling; methanol-to-hydrocarbons","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:fac3153f-e987-4ae2-88ed-8460b3d54735","http://resolver.tudelft.nl/uuid:fac3153f-e987-4ae2-88ed-8460b3d54735","Deep reinforcement learning control approach to mitigating actuator attacks","Wu, C. (Harbin Institute of Technology); Pan, W. (TU Delft Robot Dynamics; The University of Manchester); Staa, Rick (Student TU Delft); Liu, Jianxing (Harbin Institute of Technology); Sun, Guanghui (Harbin Institute of Technology); Wu, Ligang (Harbin Institute of Technology)","","2023","This paper investigates the deep reinforcement learning based secure control problem for cyber–physical systems (CPS) under false data injection attacks. We describe the CPS under attacks as a Markov decision process (MDP), based on which the secure controller design for CPS under attacks is formulated as an action policy learning using data. Rendering the soft actor–critic learning algorithm, a Lyapunov-based soft actor–critic learning algorithm is proposed to offline train a secure policy for CPS under attacks. Different from the existing results, not only the convergence of the learning algorithm but the stability of the system using the learned policy is proved, which is quite important for security and stability-critical applications. Finally, both a satellite attitude control system and a robot arm system are used to show the effectiveness of the proposed scheme, and comparisons between the proposed learning algorithm and the classical PD controller are also provided to demonstrate the advantages of the control algorithm designed in this paper.","Cyber–physical systems; Deep reinforcement learning; False data injection attacks; Lyapunov stability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Robot Dynamics","","",""
"uuid:cb21b0be-0063-434f-a6ee-ec5c4d30c8f1","http://resolver.tudelft.nl/uuid:cb21b0be-0063-434f-a6ee-ec5c4d30c8f1","Surrogate modelling of railway pantograph-catenary interaction using deep Long-Short-Term-Memory neural networks","Song, Yang (Southwest Jiaotong University; Norwegian University of Science and Technology (NTNU)); Wang, H. (TU Delft Railway Engineering); Frøseth, Gunnstein (Norwegian University of Science and Technology (NTNU)); Nåvik, Petter (Norwegian University of Science and Technology (NTNU)); Liu, Zhigang (Southwest Jiaotong University); Rønnquist, Anders (Norwegian University of Science and Technology (NTNU))","","2023","The interaction performance of the pantograph-catenary is of great importance as it directly determines the current collection quality and operational safety of trains. The finite element method (FEM) is dominantly used for simulating pantograph-catenary interaction, which is normally computationally heavy. In this work, addressing the tremendous computational cost of FEM models, a surrogate model for fast simulations of pantograph-catenary interaction is proposed using deep learning. A dataset containing 30,000 cases of pantograph-catenary interaction is generated by a validated FEM model. A Long-Short-Term-Memory (LSTM) neural network is proposed to learn the inherent nonlinearity between the input model parameters and the output pantograph-catenary contact force from data. The resulting prediction performance indicates that contact forces predicted by the surrogate model are consistent with those simulated by FEM, while the computational efforts of the surrogate model are negligible compared with FEM. Prediction performances using different network architectures and configurations are compared to determine the optimal setting for a pantograph-catenary system. The LSTM-based surrogate model shows high efficiency for simulating pantograph-catenary interactions and promising practicability in optimising catenary structural parameters for design or upgrade.","Contact force; Deep learning; High-speed railway; LSTM; Pantograph-catenary interaction; Surrogate model","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:971c7c1a-bc35-499e-bd2a-08d362760d55","http://resolver.tudelft.nl/uuid:971c7c1a-bc35-499e-bd2a-08d362760d55","A GNN-Based Generative Model for Generating Synthetic Cyber-Physical Power System Topology","Liu, Y. (TU Delft Intelligent Electrical Power Grids); Xie, H. (TU Delft Intelligent Electrical Power Grids); Presekal, A. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2023","Synthetic networks aim at generating realistic projections of real-world networks while concealing the actual system information. This paper proposes a scalable and effective approach based on graph neural networks (GNN) to generate synthetic topologies of Cyber-Physical power Systems (CPS) with realistic network feature distribution. In order to comprehensively capture the characteristics of real CPS networks, we propose a generative model, namely Graph-CPS, based on graph variational autoencoder and graph recurrent neural networks. The method hides the sensitive topological information while maintaining the similar feature distribution of the real networks. We used multiple power and communication networks to prove and assess the effectiveness of the proposed method with experimental results.","Cyber-physical systems; graph neural networks; synthetic networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-14","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:c6c8bea0-6b66-4e76-b5e7-36ab749624af","http://resolver.tudelft.nl/uuid:c6c8bea0-6b66-4e76-b5e7-36ab749624af","Slot-VAE: Object-Centric Scene Generation with Slot Attention","Wang, Y. (TU Delft Signal Processing Systems); Liu, Letao (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","Slot attention has shown remarkable object-centric representation learning performance in computer vision tasks without requiring any supervision. Despite its object-centric binding ability brought by compositional modelling, as a deterministic module, slot attention lacks the ability to generate novel scenes. In this paper, we propose the Slot-VAE, a generative model that integrates slot attention with the hierarchical VAE framework for object-centric structured scene generation. For each image, the model simultaneously infers a global scene representation to capture high-level scene structure and object-centric slot representations to embed individual object components. During generation, slot representations are generated from the global scene representation to ensure coherent scene structures. Our extensive evaluation of the scene generation ability indicates that Slot-VAE outperforms slot representation-based generative baselines in terms of sample quality and scene structure accuracy.","","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:5581e477-3193-4fca-b16a-b109ae88f1f5","http://resolver.tudelft.nl/uuid:5581e477-3193-4fca-b16a-b109ae88f1f5","Flow and Flourishing During the Pandemic: The Roles of Strengths Use and Playful Design","Liu, Wei (TU Delft Design Aesthetics); Zhang, Wen (Beijing Normal University); van der Linden, Dimitri (Erasmus Universiteit Rotterdam); Bakker, Arnold B. (Erasmus Universiteit Rotterdam; University of Johannesburg)","","2023","Ruminative thoughts induced by the COVID-19 pandemic have an adverse impact on individual well-being. However, little is known about how to alleviate such a negative effect – let alone how a person can flourish during crises. The current study uses the self-determination model of flow to propose that two proactive behaviors, strength use and playful design, are positively related to the flow experience, which, in turn, is positively related to daily flourishing. Moreover, we propose that the effects of proactive behaviors on flow are stronger when individuals ruminate more about COVID-19 pandemic. Using a day reconstruction method, we collected data from university students across five consecutive days (N-person = 135, N-day = 665, N-activity = 2985). Multilevel results showed that strengths use and playful design were positively related to flow experience. In turn, flow experience was positively related to daily flourishing. Moreover, we found that COVID-19 rumination moderated the associations between proactive behaviors and flow, such that strengths use and playful design were more effective to foster flow when individuals had a higher (vs. lower) level of rumination. The results imply that in order to function well and flourish during a crisis, individuals could make use of their strengths or playfully design the activities in their daily lives. In a broader sense, we provide concrete behavioral strategies to cope with the downsides of negative events.","COVID-19 rumination; Flourishing; Flow; Playful design; Strengths use","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-19","","","Design Aesthetics","","",""
"uuid:b9fefd3f-a9fc-45ba-8c6b-ef30361ca341","http://resolver.tudelft.nl/uuid:b9fefd3f-a9fc-45ba-8c6b-ef30361ca341","SlimML: Removing Non- critical Input Data in Large-scale Iterative Machine Learning","Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Li, Shilin (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Dataintensive Systems); Wang, Guoren (Beijing Institute of Technology); Tang, Jian (DiDi AI Labs); Ye, Jieping (DiDi AI Labs)","","2019","The core of many large-scale machine learning (ML) applications, such as neural networks (NN), support vector machine (SVM), and convolutional neural network (CNN), is the training algorithm that iteratively updates model parameters by processing massive datasets. From a plethora of studies aiming at accelerating ML, being data parallelization and parameter server, the prevalent assumption is that all data points are equivalently relevant to model parameter updating. In this article, we challenge this assumption by proposing a criterion to measure a data point's effect on model parameter updating, and experimentally demonstrate that the majority of data points are non-critical in the training process. We develop a slim learning framework, termed SlimML, which trains the ML models only on the critical data and thus significantly improves training performance. To such an end, SlimML efficiently leverages a small number of aggregated data points per iteration to approximate the criticalness of original input data instances. The proposed approach can be used by changing a few lines of code in a standard stochastic gradient descent (SGD) procedure, and we demonstrate experimentally, on NN regression, SVM classification, and CNN training, that for large datasets, it accelerates model training process by an average of 3.61 times while only incurring accuracy losses of 0.37 percent.","Iterative machine learning; MapReduce; large input datasets; model parameter updating","en","journal article","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:ec1aca0f-2db0-4000-8bd6-65bbdd45c896","http://resolver.tudelft.nl/uuid:ec1aca0f-2db0-4000-8bd6-65bbdd45c896","A Single-Stage Three-Mode Reconfigurable Regulating Rectifier for Wireless Power Transfer","Liu, Shurui (Student TU Delft); Lu, T. (TU Delft Electronic Instrumentation); Tang, Z. (TU Delft Electronic Instrumentation); Chen, Zhiyuan (Fudan University); Jiang, Junmin (Southern University of Science and Technology); Zhao, Bo (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation)","","2023","In this article, we propose a reconfigurable regulating rectifier with a wide operational range for wireless power transfer. The proposed three-mode rectifier achieves a broad range voltage regulation without global loop control to minimize the chip area occupation. Compared with previous work, more working modes and greater voltage gain allow the proposed rectifier to regulate lower input power, which extends the voltage regulation range. A local loop control scheme is proposed for voltage rectification with three modes. It adaptively senses the duty cycle of the mode signal to determine the working mode of the rectifier, and configure the rectifier to the desired mode for voltage regulation. The proposed system was designed and fabricated in a 180-nm BCD technology with an active area of 1.17 mm2. The measurement results show that the proposed system can rectify wide-range input ac power to a regulated output. The achieved voltage conversion ratiois between 0.95X and 2.68X, with a peak power conversion efficiencyat 87.4%.","Wireless power transfer; regulating rectifier; reconfigurable rectifier; implantable biomedical devices","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-02","","","Electronic Instrumentation","","",""
"uuid:3be90238-25f6-4a5c-9246-d7e1ec125151","http://resolver.tudelft.nl/uuid:3be90238-25f6-4a5c-9246-d7e1ec125151","Microwave Heating Healing of Asphalt Mixture with Coal Gangue Powder and Basalt Aggregate","Zhang, Bin (Wuhan University of Technology); Gao, Xu (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Xinkui (Wuhan University of Technology); Tian, Qin (Wuhan University of Technology); Liu, Jiayi (Wuhan University of Technology)","","2023","Microwave heating is an effective method to achieve autonomic crack healing in asphalt mixtures, and the use of microwave-absorbing materials can largely improve this healing efficiency. As a solid waste, coal gangue contains metal oxides, which shows the possibility of microwave heating. In order to further promote the application of coal gangue in the microwave healing of asphalt mixtures, this study looks into the synergistic effect of basalt and coal gangue powder (CGP) on the microwave heating self-healing of an asphalt mixture. The mechanical performance, water stability, low-temperature crack resistance and microwave healing efficiency of the asphalt mixture were investigated using the immersion Marshall test, standard Marshall test, Cantabro test and semi-circular bending (SCB), and healing tests, respectively. The results indicated that the addition of CGP in asphalt mixture can improve the microwave heating speed, which also showed a significant advantage in water stability and fracture energy recovery. The research results will further promote the utilization rate of coal gangue.","self-healing asphalt; coal gangue powder; basalt; microwave healing; pavement performance; healing efficiency","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:67537835-f37d-4780-8950-23582967e01f","http://resolver.tudelft.nl/uuid:67537835-f37d-4780-8950-23582967e01f","Novel high performance poly(p-phenylene benzobisimidazole) (PBDI) membranes fabricated by interfacial polymerization for H 2 separation","Shan, M. (TU Delft ChemE/Catalysis Engineering); Liu, X. (TU Delft ChemE/Catalysis Engineering); Wang, X. (TU Delft ChemE/Catalysis Engineering); Liu, Z. (TU Delft OLD ChemE/Organic Materials and Interfaces); Iziyi, H. (Student TU Delft); Ganapathy, S. (TU Delft RST/Storage of Electrochemical Energy); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2019","Membranes with high selectivity and permeance are needed to reduce energy consumption in hydrogen purification and pre-combustion CO 2 capture. Polybenzimidazole (PBI) is one of the leading membrane materials for this separation. In this study, we present superior novel supported PBI (poly(p-phenylene benzobisimidazole), PBDI) membranes prepared by a facile interfacial polymerization (IP) method. The effect of IP reaction duration, operating temperature and pressure on membrane separation performance was systematically investigated. The best performance was achieved for membranes prepared in a 2 h reaction time. The resulting membranes display an ultrahigh mixed-gas H 2 /CO 2 selectivity of 23 at 423 K together with an excellent H 2 permeance of 241 GPU, surpassing the membrane performance of conventional polymers (the 2008 Robeson upper bound). These separation results, together with the facile manufacture, pressure resistance, long-term thermostability (>200 h) and economic analysis, recommend the PBDI membranes for industrial use in H 2 purification and pre-combustion CO 2 capture. Besides, PBDI membranes possess high selectivities towards H 2 /N 2 (up to 60) and H 2 /CH 4 (up to 48) mixtures, indicating their potential applications in ammonia synthesis and syngas production.","","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-14","","","ChemE/Catalysis Engineering","","",""
"uuid:59072523-6390-4d96-a9ee-aa4740d0b63d","http://resolver.tudelft.nl/uuid:59072523-6390-4d96-a9ee-aa4740d0b63d","High-performance polybenzimidazole membranes for helium extraction from natural gas","Wang, X. (TU Delft ChemE/Catalysis Engineering); Shan, M. (TU Delft ChemE/Transport Phenomena); Liu, X. (TU Delft ChemE/Catalysis Engineering); Wang, M. (TU Delft Engineering Thermodynamics); Doherty, Cara M. (Oceans and Atmosphere); Osadchii, D. (TU Delft ChemE/Catalysis Engineering); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2019","Increasing helium use in research and production processes necessitates separation techniques to secure sufficient supply of this noble gas. Energy-efficient helium production from natural gas is still a big challenge. Membrane gas separation technology could play an important role. Herein, a novel poly(p-phenylene benzobisimidazole) (PBDI) polymeric membrane for helium extraction from natural gas with low He abundance is reported. The membranes were fabricated by a facile interfacial polymerization at room temperature. The thin and defect-free membrane structure was manipulated by the confined polymerization of monomers diffusing through the interface between two immiscible liquids. Both He/CH4 selectivity and He permeance are competitive over those of other commercial perfluoropolymers. Even at low He content of 1%, separation performance of the PBDI membrane transcended the current upper bound. The unprecedented selectivity (>1000) together with the excellent stability (∼360 h) endows PBDI membranes with a great potential for energy-efficient industrial recovery and production of this precious He resources from reservoirs with low abundance.","He separation; interfacial polymerization; membrane; natural gas; polybenzimidazole","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:4fe313d7-af50-4adb-afb6-917df38308d7","http://resolver.tudelft.nl/uuid:4fe313d7-af50-4adb-afb6-917df38308d7","The aging effect in evolving scientific citation networks","Hu, Feng (Qinghai Normal University; Ministry of Education Hangzhou; Tibetan Information Processing and Machine Translation Key Laboratory of Qinghai Province); Ma, Lin (Hangzhou Normal University); Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Zhou, Yinzuo (Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Zhao, Haixing (Qinghai Normal University; Ministry of Education Hangzhou; Tibetan Information Processing and Machine Translation Key Laboratory of Qinghai Province); Zhang, Zi Ke (Hangzhou Normal University; College of Media and International Culture)","","2021","The study of citation networks is of interest to the scientific community. However, the underlying mechanism driving individual citation behavior remains imperfectly understood, despite the recent proliferation of quantitative research methods. Traditional network models normally use graph theory to consider articles as nodes and citations as pairwise relationships between them. In this paper, we propose an alternative evolutionary model based on hypergraph theory in which one hyperedge can have an arbitrary number of nodes, combined with an aging effect to reflect the temporal dynamics of scientific citation behavior. Both theoretical approximate solution and simulation analysis of the model are developed and validated using two benchmark datasets from different disciplines, i.e. publications of the American Physical Society (APS) and the Digital Bibliography & Library Project (DBLP). Further analysis indicates that the attraction of early publications will decay exponentially. Moreover, the experimental results show that the aging effect indeed has a significant influence on the description of collective citation patterns. Shedding light on the complex dynamics driving these mechanisms facilitates the understanding of the laws governing scientific evolution and the quantitative evaluation of scientific outputs.","Aging effect; Evolution; Hypergraph theory; Scientific citation network","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:56b630a2-4153-4192-a5eb-1b4f25462422","http://resolver.tudelft.nl/uuid:56b630a2-4153-4192-a5eb-1b4f25462422","Chemo-physical characterization and molecular dynamics simulation of long-term aging behaviors of bitumen","Ren, S. (TU Delft Pavement Engineering; China University of Petroleum (East China)); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Xiao, Yue (Wuhan University of Technology)","","2021","To further explore the long-term aging behaviors of bitumen from the multiscale perspectives, the experimental characterization and molecular dynamics (MD) simulation methods were performed. Series of chemical properties for the virgin and various aged bitumen were evaluated using the TCL-FID, ATR-FTIR, Elemental analysis and GPC tests. The molecular models of virgin and aged bitumen were established firstly, and the influence of long-term aging on the thermodynamics properties was predicted from the MD simulation results. The experimental results revealed that with the aging degree deepened, the resin and asphaltene fractions both increased dramatically, which resulted in the increment of average molecular weight and the more uneven molecular weight distribution in aged bitumen. Moreover, the aging of bitumen led to the increase of the oxidized functional groups (C=O and S=O) index, oxygen content, aromaticity and polarity, while the carbon, hydrogen element contents and the H/C ratio reduced. The density values from MD simulation agreed well with the experimental results, which significantly validated the reliability of molecular models for the virgin and different aged bitumen binders. The MD simulation results demonstrated that the long-term aging remarkably improved the cohesive energy density, solubility parameter and activation energy, however it deteriorated the surface free energy, work of cohesion and self-diffusion coefficient of the bitumen molecular system. This study develops the molecular models of virgin and aged bitumen with different long-term aging degrees, and provides a fundamental understanding regarding the influence of long-term aging influence on the chemo-physical and thermodynamic properties of bitumen.","Bitumen; Experimental characterization; Long-term aging; MD simulation; Molecular models","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:58172132-5e18-471f-94c9-cc83c54c5f9d","http://resolver.tudelft.nl/uuid:58172132-5e18-471f-94c9-cc83c54c5f9d","Review of warm mix rubberized asphalt concrete: Towards a sustainable paving technology","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2018","In recent years, transportation agencies and the general public alike are demanding increased considerations of sustainability in transport infrastructure. Warm mix asphalt (WMA) is developed for reducing energy consumptions and emissions in asphalt paving industry. In addition, the use of rubberized asphalt concrete (RAC) has proven to be economically and environmentally sound and effective in improving the performance of pavements around the world. The combination of WMA and RAC, namely WarmRAC, is a novel and promising paving technology that can realize pavement sustainability from principles to practices. This study summarizes the best practices and recent research findings on warm mix rubberized asphalt concrete, including mix design, construction techniques, performance evaluation, feasibility of recycling, and environmental and economic benefits. Although most research findings to date about WarmRAC are positive, it still has a long way for WarmRAC to be fully adopted worldwide. Therefore, life cycle assessment including environmental and economic impacts, and long-term performance of WarmRAC need further research with involvement of transportation agencies, industry and academia.","Asphalt rubber; Construction; Mix design; Rubberized asphalt concrete; Sustainability; Warm mix asphalt","en","journal article","","","","","","","","2020-01-02","","","Pavement Engineering","","",""
"uuid:b981caad-c4e6-493b-b349-926b6d8d5ba8","http://resolver.tudelft.nl/uuid:b981caad-c4e6-493b-b349-926b6d8d5ba8","Tracking Local Mechanical Impact in Heterogeneous Polymers with Direct Optical Imaging","Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering); Lugger, Jody A.M. (Eindhoven University of Technology); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); van Heeswijk, Ellen P.A. (Eindhoven University of Technology); Hendrix, Marco M.R.M. (Eindhoven University of Technology); Weber, Manuela (Freie Universität Berlin); Müller, Christian (Freie Universität Berlin); Hensen, Emiel J.M. (Eindhoven University of Technology); Sijbesma, Rint P. (Eindhoven University of Technology); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2018","Structural heterogeneity defines the properties of many functional polymers and it is often crucial for their performance and ability to withstand mechanical impact. Such heterogeneity, however, poses a tremendous challenge for characterization of these materials and limits our ability to design them rationally. Herein we present a practical methodology capable of resolving the complex mechanical behavior and tracking mechanical impact in discrete phases of segmented polyurethane—a typical example of a structurally complex polymer. Using direct optical imaging of photoluminescence produced by a small-molecule organometallic mechano-responsive sensor we observe in real time how polymer phases dissipate energy, restructure, and breakdown upon mechanical impact. Owing to its simplicity and robustness, this method has potential in describing the evolution of complex soft-matter systems for which global characterization techniques fall short of providing molecular-level insight.","copper; luminescence; mechanical properties; molecular dynamics; polymers","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:ffd5722a-c9be-4ed3-8367-eda940ed8c33","http://resolver.tudelft.nl/uuid:ffd5722a-c9be-4ed3-8367-eda940ed8c33","Influence of Filler Pore Structure and Polymer on the Performance of MOF-Based Mixed-Matrix Membranes for CO2 Capture","Sabetghadam, Anahid (Student TU Delft); Liu, X. (TU Delft ChemE/Catalysis Engineering); Benzaqui, Marvin (Ecole Normale Supérieure); Gkaniatsou, Effrosyni (Institut Lavoisier de Versailles); Orsi, Angelica (University of St Andrews); Lozinska, Magdalena M. (University of St Andrews); Sicard, Clemence (Institut Lavoisier de Versailles); Johnson, Timothy (Johnson Matthey Technology Center); Steunou, Nathalie (Institut Lavoisier de Versailles); Wright, Paul A. (University of St Andrews); Serre, Christian (Ecole Normale Supérieure); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2018","To gain insight into the influence of metal-organic framework (MOF) fillers and polymers on membrane performance, eight different composites were studied by combining four MOFs and two polymers. MOF materials (NH2-MIL-53(Al), MIL-69(Al), MIL-96(Al) and ZIF-94) with various chemical functionalities, topologies, and dimensionalities of porosity were employed as fillers, and two typical polymers with different permeability-selectivity properties (6FDA-DAM and Pebax) were selected as matrices. The best-performing MOF-polymer composites were prepared by loading 25wt% of MIL-96(Al) as filler, which improved the permeability and selectivity of 6FDA-DAM to 32 and 10%, while for Pebax they were enhanced to 25 and 18%, respectively. The observed differences in membrane performance in the separation of CO2 from N2 are explained on the basis of gas solubility, diffusivity properties, and compatibility between the filler and polymer phases.","Composite materials; Gas separation; Membranes; Metal-organic frameworks; Polymers","en","journal article","","","","","","Accepted Author Manuscript","","2019-03-24","","","ChemE/Catalysis Engineering","","",""
"uuid:4df17f11-e227-4546-9908-0f03074a8c4a","http://resolver.tudelft.nl/uuid:4df17f11-e227-4546-9908-0f03074a8c4a","Dual-Functionalized Crescent Microgels for Selectively Capturing and Killing Cancer Cells","Liu, Q. (TU Delft ChemE/Product and Process Engineering); Yuan, Z. (TU Delft ChemE/Advanced Soft Matter; East China University of Science and Technology); Guo, Xuhong (East China University of Science and Technology); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2020","In cancer therapy, the selective targeting of cancer cells while avoiding side effects to normal cells is still full of challenges. Here, we developed dual-functionalized crescent microgels, which selectively captured and killed lung cancer cells in situ without killing other cells. Crescent microgels with the inner surface of the cavity functionalized with antibody and containing glucose oxidase (GOX) in the gel matrix have been produced in a microfluidic device. These microgels presented high affinity and good selectivity to lung cancer cells and retained them inside the cavities for extended periods of time. Exposing the crescent hydrogels to physiological concentrations of glucose leads to the production of a locally high concentration of H2O2 inside the microgels’ cavities, due to the catalytic action by GOX inside the gel matrix, which selectively killed 90 % cancer cells entrapped in the microgel cavities without killing the cells outside. Our strategy to create synergy between different functions by incorporating them in a single microgel presents a novel approach to therapeutic systems, with potentially broad applications in smart materials, bioengineering and biomedical fields.","cancer cell screening; microgels; selective cell therapy; synergy of multi-functions","en","journal article","","","","","","Accepted Author Manuscript","","2021-05-17","","","ChemE/Product and Process Engineering","","",""
"uuid:a5095837-c017-4b5a-a810-274022825af4","http://resolver.tudelft.nl/uuid:a5095837-c017-4b5a-a810-274022825af4","Experimental investigation on FRP-reinforced surface cracked steel plates subjected to cyclic tension","Li, Z. (TU Delft Support Marine and Transport Techology); Jiang, X. (TU Delft Transport Engineering and Logistics); Hopman, J.J. (TU Delft Ship Design, Production and Operations); Zhu, Ling (Wuhan University of Technology); Liu, Z. (TU Delft Transport Engineering and Logistics; Ministry of Education, Wuhan); Tang, Weiguo (Wuhan University of Technology)","","2020","Surface cracked steel plates reinforced with single-side Fiber-Reinforced Polymer (FRP) subjected to cyclic tension are experimental studied. The main purpose is to analyze the effect of FRP reinforcement on the crack growth. The failure modes and their effects are analyzed as well. Given the single-side reinforcement, reinforcing the cracked surface significantly prolonged the fatigue life, while reinforcing the reversed side resulted in the opposite consequence. Most specimens did not encounter debonding failures, indicating such failures are avoidable by improving the reinforcement quality. The results also indicate the bond layer number is an insensitive factor–an optimum number is existed.","Debonding; fatigue crack growth rate; fatigue test; FRP reinforcement; surface crack","en","journal article","","","","","","","","","","","Support Marine and Transport Techology","","",""
"uuid:8c5d316b-1ca1-47b4-b0d7-ef64932f0378","http://resolver.tudelft.nl/uuid:8c5d316b-1ca1-47b4-b0d7-ef64932f0378","Computational Approach to Molecular Catalysis by 3d Transition Metals: Challenges and Opportunities","Vogiatzis, Konstantinos D. (University of Tennessee); Polynski, Mikhail V. (ITMO University); Kirkland, Justin K. (University of Tennessee); Townsend, Jacob (University of Tennessee); Hashemi, A. (TU Delft ChemE/Inorganic Systems Engineering); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering; ITMO University)","","2018","Computational chemistry provides a versatile toolbox for studying mechanistic details of catalytic reactions and holds promise to deliver practical strategies to enable the rational in silico catalyst design. The versatile reactivity and nontrivial electronic structure effects, common for systems based on 3d transition metals, introduce additional complexity that may represent a particular challenge to the standard computational strategies. In this review, we discuss the challenges and capabilities of modern electronic structure methods for studying the reaction mechanisms promoted by 3d transition metal molecular catalysts. Particular focus will be placed on the ways of addressing the multiconfigurational problem in electronic structure calculations and the role of expert bias in the practical utilization of the available methods. The development of density functionals designed to address transition metals is also discussed. Special emphasis is placed on the methods that account for solvation effects and the multicomponent nature of practical catalytic systems. This is followed by an overview of recent computational studies addressing the mechanistic complexity of catalytic processes by molecular catalysts based on 3d metals. Cases that involve noninnocent ligands, multicomponent reaction systems, metal-ligand and metal-metal cooperativity, as well as modeling complex catalytic systems such as metal-organic frameworks are presented. Conventionally, computational studies on catalytic mechanisms are heavily dependent on the chemical intuition and expert input of the researcher. Recent developments in advanced automated methods for reaction path analysis hold promise for eliminating such human-bias from computational catalysis studies. A brief overview of these approaches is presented in the final section of the review. The paper is closed with general concluding remarks.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:24739610-fc02-4113-9379-5a9647a9675e","http://resolver.tudelft.nl/uuid:24739610-fc02-4113-9379-5a9647a9675e","Self-orienting hydrogel micro-buckets as novel cell carriers","Liu, Q. (TU Delft ChemE/Advanced Soft Matter; Beijing Normal University); Zhao, M. (TU Delft (OLD) MSE-1); Mytnyk, S. (TU Delft ChemE/Product and Process Engineering); Klemm, B. (TU Delft ChemE/Advanced Soft Matter); Zhang, K. (TU Delft ChemE/Advanced Soft Matter); Wang, Y. (TU Delft ChemE/Advanced Soft Matter); Yan, Dadong (Beijing Normal University); Mendes, E. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2019","Hydrogel microparticles are important in materials engineering, but their applications remain limited owing to the difficulties associated with their manipulation. Herein, we report the self-orientation of crescent-shaped hydrogel microparticles and elucidate its mechanism. Additionally, the microparticles were used, for the first time, as micro-buckets to carry living cells. In aqueous solution, the microparticles spontaneously rotated to a preferred orientation with the cavity facing up. We developed a geometric model that explains the self-orienting behavior of crescent-shaped particles by minimizing the potential energy of this specific morphology. Finally, we selectively modified the particles’ cavities with RGD peptide and exploited their preferred orientation to load them with living cells. Cells could adhere, proliferate, and be transported and released in vitro. These micro-buckets hold a great potential for applications in smart materials, cell therapy, and biological engineering.","cell carriers; hydrogels; microfluidics; self-orientation; soft matter","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:65118a11-273c-42d8-86c4-9a1fbed98b66","http://resolver.tudelft.nl/uuid:65118a11-273c-42d8-86c4-9a1fbed98b66","Charge Transport through a Single Molecule of trans-1-bis-Diazofluorene [60]fullerene","Stefani, D. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Gutiérrez Cerón, C.A. (TU Delft QN/van der Zant Lab); Aravena, Daniel (Universidad de Santiago de Chile); Labra Muñoz, J. (Universidad de Santiago de Chile); Suarez, Catalina (University of Texas at El Paso); Liu, Shuming (Universidad de Santiago de Chile; University of Texas at El Paso); Soler, Monica (Universidad de Santiago de Chile); Echegoyen, Luis (University of Texas at El Paso); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Dulić, Diana (Universidad de Santiago de Chile)","","2017","Fullerenes have attracted interest for their possible applications in various electronic, biological, and optoelectronic devices. However, for efficient use in such devices, a suitable anchoring group has to be employed that forms well-defined and stable contacts with the electrodes. In this work, we propose a novel fullerene tetramalonate derivate functionalized with trans-1 4,5-diazafluorene anchoring groups. The conductance of single-molecule junctions, investigated in two different setups with the mechanically controlled break junction technique, reveals the formation of molecular junctions at three conductance levels. We attribute the conductance peaks to three binding modes of the anchoring groups to the gold electrodes. Density functional theory calculations confirm the existence of multiple binding configurations and calculated transmission functions are consistent with experimentally determined conductance values.","","en","journal article","","","","","","","","2018-09-03","","","QN/van der Zant Lab","","",""
"uuid:219f5cf0-cc0e-442e-b627-7979ad910920","http://resolver.tudelft.nl/uuid:219f5cf0-cc0e-442e-b627-7979ad910920","A highly selective and stable ZnO-ZrO2 solid solution catalyst for CO2 hydrogenation to methanol","Wang, Jijie (Dalian University of Technology); Li, G. (TU Delft ChemE/Catalysis Engineering; Dalian University of Technology); Li, Zelong (Dalian University of Technology); Tang, Chizhou (Dalian University of Technology); Feng, Zhaochi (Dalian University of Technology); An, Hongyu (Chinese Academy of Sciences); Liu, Hailong (Dalian University of Technology); Liu, Taifeng (Dalian University of Technology); Li, Can (Dalian University of Technology)","","2017","Although methanol synthesis via CO hydrogenation has been industrialized, CO2 hydrogenation to methanol still confronts great obstacles of low methanol selectivity and poor stability, particularly for supported metal catalysts under industrial conditions. We report a binary metal oxide, ZnO-ZrO2 solid solution catalyst, which can achieve methanol selectivity of up to 86 to 91% with CO2 single-pass conversion of more than 10% under reaction conditions of 5.0 MPa, 24,000 ml/(g hour), H2/CO2 = 3:1 to 4:1, 320° to 315°C. Experimental and theoretical results indicate that the synergetic effect between Zn and Zr sites results in the excellent performance. The ZnO-ZrO2 solid solution catalyst shows high stability for at least 500 hours on stream and is also resistant to sintering at higher temperatures. Moreover, no deactivation is observed in the presence of 50 ppm SO2 or H2S in the reaction stream.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:bbfcab2f-e30b-41ca-a95c-4a14df97ede6","http://resolver.tudelft.nl/uuid:bbfcab2f-e30b-41ca-a95c-4a14df97ede6","Protruding organic surfaces triggered by in-plane electric fields","Liu, D. (TU Delft OLD ChemE/Organic Materials and Interfaces; South China Normal University); Tito, Nicholas B. (Eindhoven University of Technology); Broer, Dirk J. (Eindhoven University of Technology)","","2017","Coatings with a dynamic surface topography are of interest for applications in haptics, soft robotics, cell growth in biology, hydro- and air dynamics and tribology. Here we propose a design for creating oscillating surface topographies in thin liquid crystal polymer network coatings under an electric field. By applying an alternating electric field, the coating surface deforms, and pre-designed local corrugations appear. The continuous AC electric field further initiates oscillations superimposed on the formed topographies. This effect is based on microscopic free volume creation. By exciting the liquid crystal network at its resonance frequency, maximum free volume is generated and large surface topographies are formed. Molecular simulation is used to examine this behaviour in microscopic detail as a function of oscillation frequency. Surface topography formation is fast and reversible. Excess free volume is energetically unfavourable, thus the surface topographies disappear within seconds once the electric field is removed.","","en","journal article","","","","","","","","","","","OLD ChemE/Organic Materials and Interfaces","","",""
"uuid:ec86673b-5e0f-4fa6-b3b8-12d3105dd682","http://resolver.tudelft.nl/uuid:ec86673b-5e0f-4fa6-b3b8-12d3105dd682","Chemical and Rheological Evaluation of Aged Lignin-Modified Bitumen","Zhang, Yi (Chang'an University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Gard, W.F. (TU Delft Bio-based Structures & Materials); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering)","","2019","As bitumen oxidizes, material stiffening and embrittlement occur, and bitumen eventually cracks. The use of anti-oxidants, such as lignin, could be used to delay oxidative aging and to extend the lifetime of asphalt pavements. In this study, the chemical and rheological effect of lignin on bitumen was evaluated by using a single dosage organsolv lignin (10 wt.% dosage). A pressure aging vessel (PAV) was used to simulate the long-term aging process after performing the standard short-term aging procedure, and the lignin-modified bituminous binders were characterized by an environmental scanning electron microscope (ESEM), Fourier-transform infrared (FTIR) spectroscopy, and a dynamic shear rheometer (DSR). From the ESEM results, the uniform microstructure was observed, indicating that the addition of lignin did not affect the worm structure of bitumen. Based on the FTIR test results, lignin-modified bitumen showed that a lower number of carbonyl and sulfoxide compounds were generated after aging than for neat bitumen. Based on the linear amplitude sweep (LAS) results, the addition of lignin slightly reduced the fatigue life of bitumen. From the frequency sweep results, it showed that lignin in bitumen acts as a modifier since the physical interaction between lignin and bitumen predominantly affects the material rheology. Overall, lignin could be a promising anti-oxidant due to its economic and environmental benefits.","rheology; lignin; bitumen; aging; microstructure; chemistry","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:70424a00-b1ce-4089-a03b-de6d9bd75ff6","http://resolver.tudelft.nl/uuid:70424a00-b1ce-4089-a03b-de6d9bd75ff6","Spatiotemporal Quantification of Lithium both in Electrode and in Electrolyte with Atomic Precision via Operando Neutron Absorption","Harks, P.P.R.M.L. (TU Delft ChemE/Materials for Energy Conversion and Storage); Verhallen, T.W. (TU Delft RST/Storage of Electrochemical Energy); George, C. (TU Delft RST/Storage of Electrochemical Energy); van den Biesen, Jan Karel (Student TU Delft); Liu, Q. (TU Delft ChemE/Advanced Soft Matter); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Mulder, F.M. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2019","The commercial uptake of lithium-sulfur (Li-S) batteries is undermined by their rapid performance decay and short cycle life. These problems originate from the dissolution of lithium polysulfide in liquid electrolytes, causing charge and active material to shuttle between electrodes. The dynamics of intractable polysulfide migration at different length scales often tend to escape the probing ability of many analytical techniques. Spatial and temporal visualization of Li in Li-S electrodes and direct mechanistic understanding of how polysulfides are regulated across Li-S batteries starting from current collector and active layer coating to electrode-electrolyte interface are still lacking. To address this we employ neutron depth profiling across Li-S electrodes using the naturally occurring isotope, 6Li, which yields direct spatial information on Li-S electrochemistry. Using three types of Li-S electrodes, namely, carbon-sulfur, carbon-sulfur with 10% lithium titanium oxide (LTO), and carbon-sulfur with LTO membrane, we provide direct evidence for the migration, adsorption, and confinement of polysulfides in Li-S cells at work. Our findings further provide insights into the dynamics of polysulfide dissolution and re-utilization in relation to Li-S battery capacity and longevity to aid rational electrode designs toward high-energy, safe, and low-cost batteries.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:bf5f0ee6-88de-46aa-ae89-5b113ede3af9","http://resolver.tudelft.nl/uuid:bf5f0ee6-88de-46aa-ae89-5b113ede3af9","Healthy speed control of belt conveyors on conveying bulk materials","He, D. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics; Taiyuan University of Technology); Lodewijks, G. (TU Delft Transport Engineering and Logistics; University of New South Wales); Liu, X. (TU Delft Transport Engineering and Logistics)","","2018","Belt conveyors play an important role in the dry bulk material handling process. Speed control is a promising method of reducing the power consumption of belt conveyors. However, inappropriate transient operations might cause risks like material spillage away from the belt conveyor. The unexpected risks limit the applicability of speed control. Current studies on speed control mainly focus on designing energy models of belt conveyors or building control algorithms of variable speed drives, while rare researchers take into account the risks in transient operations and the dynamic performance of belt conveyors under speed control. The paper proposes an Estimation-Calculation-Optimization (ECO) method to determine the minimum speed adjustment time to ensure healthy transient operations. The ECO method is composed of three steps and takes both risks in transient operations and the conveyor dynamics into account. In the Estimation step, an estimator is built to approximate the permitted maximum acceleration by treating the belt as a rigid body. Taking the belt's visco-elastic property into account, the Calculation step computes the conveyor dynamics by using a finite-element-method. With respect to the risks in transient operations, the Optimization step improves the conveyor's dynamic behaviors and optimizes the speed adjustment time. A case of a long belt conveyor system is studied and the ECO method is applied. The secant method is also used to improve the optimization efficiency. According to the experimental results, the ECO method is successfully used to determine the minimum speed adjustment time to ensure healthy transient operations, including both the accelerating and the decelerating operations. With the suggested adjustment time, unexpected risks are avoided and the belt conveyor shows an appropriate dynamic behavior. Accordingly, the ECO method ensures healthy transient operations and improves the applicability of speed control with the consideration of the potential risks and the conveyor dynamics.","Belt conveyor; Conveyor dynamics; ECO method; Risks; Speed control","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:95780ce0-6f56-4b75-98cd-72f621d120bc","http://resolver.tudelft.nl/uuid:95780ce0-6f56-4b75-98cd-72f621d120bc","Multi-scale characterization of lignin modified bitumen using experimental and molecular dynamics simulation methods","Ren, S. (TU Delft Pavement Engineering; China University of Petroleum (East China)); Liu, X. (TU Delft Pavement Engineering); Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Lin, P. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Li, Mingliang (Research Institute of Highway Ministry of Transport); Xu, Jian (Research Institute of Highway Ministry of Transport)","","2021","Lignin, as a major waste from biofuel and paper industries, could be utilized as a modifier to enhance the relevant performance of bitumen. However, the effects of lignin on the thermodynamics properties and molecular structure of bitumen are rarely studied. Meanwhile, the potential modification mechanism of lignin modified bitumen is still unclear. Molecular dynamics (MD) simulation and laboratory experimental methods are combined to explore the influence of lignin on the thermodynamics characteristics, rheological properties as well as the molecular structure of bitumen. The lignin modified bitumen with different dosages of lignin (10, 20 and 30 wt%) were prepared. DSR results from a macroscale view reveal that lignin could significantly improve the modulus, elastic recovery and rutting resistance of bitumen, but it adversely affects the fatigue performance. Meanwhile, the MD simulation results from a microscale perspective show that lignin could increase the density, cohesive energy density, shear viscosity, modulus and adhesive strength of bitumen. However, the free volume, diffusion coefficient and self-healing ability of lignin modified bitumen are weakened with the increase of lignin dosage. The MD simulations results are consistent with the experimental data. Furthermore, the correlations between the microscale and macroscale properties of lignin modified bitumen indicate that the physical and rheological properties of bitumen both depend on the molecular structure dramatically. The findings of this research can provide insights for an in-depth understanding of the effect of lignin on bitumen.","Bitumen; Lignin; Molecular dynamics simulation; Rheology; Thermodynamic characteristics","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:42894883-22ba-49a7-94d2-14e69fa5c7bb","http://resolver.tudelft.nl/uuid:42894883-22ba-49a7-94d2-14e69fa5c7bb","Transient supramolecular hydrogels formed by catalytic control over molecular self-assembly","Wang, Hucheng (East China University of Science and Technology); Liu, Liqun (East China University of Science and Technology); Bai, Shengyu (East China University of Science and Technology); Guo, Xuhong (East China University of Science and Technology); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter); Wang, Y. (East China University of Science and Technology)","","2020","The present work shows how transient supramolecular hydrogels can be formed by catalytically controlled molecular self-assembly. Catalysis formation of molecular gelators leads the self-assembly along a kinetically favored pathway, resulting in transient hydrogels. This work demonstrates an effective approach towards pathway-dependent supramolecular materials.","","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-22","","","ChemE/Advanced Soft Matter","","",""
"uuid:1452fd3f-a423-46a5-89da-6eb96b648948","http://resolver.tudelft.nl/uuid:1452fd3f-a423-46a5-89da-6eb96b648948","Dipolar spin waves in uniaxial easy-axis antiferromagnets: A natural topological nodal-line semimetal","Liu, J. (Beijing Normal University); Wang, L. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Shen, Ka (Beijing Normal University)","","2020","The existence of magnetostatic surface spin waves in ferromagnets, known as the Damon-Eshbach mode, was recently demonstrated to originate from the topology of the dipole-dipole interaction. In this work, we study the topological characteristics of magnons in easy-axis antiferromagnets with uniaxial anisotropy. The dipolar spin waves are found to be, driven by the dipole-dipole interaction, in a topological nodal-line semimetal phase, which hosts Damon-Eshbach-type surface modes due to the bulk-edge correspondence. The long-wavelength character of dipolar spin waves makes our proposal valid for any natural uniaxial easy-axis antiferromagnet and, thus, enriches the candidates of topological magnonic materials. In contrast to the nonreciprocal property in the ferromagnetic case, surface modes with opposite momentum coexist at each surface, but with different chiralities. Such chirality-momentum or spin-momentum locking, similar to that of electronic surface states in topological insulators, offers the opportunity to design novel chirality-based magnonic devices in antiferromagnets.","","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:5c51ff10-45c3-4235-9d2a-59da0a02e967","http://resolver.tudelft.nl/uuid:5c51ff10-45c3-4235-9d2a-59da0a02e967","Author Correction: Quantification of the Li-ion diffusion over an interface coating in all-solid-state batteries via NMR measurements (Nature Communications, (2021), 12, 1, (5943), 10.1038/s41467-021-26190-2)","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy; Tsinghua University); van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy); Lin, Kui (Tsinghua University); Arszelewska, Violetta (TU Delft RST/Storage of Electrochemical Energy); Li, Baohua (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","The original version of this article contained errors in Figure 3a and Figure 3f. In Figure 3a, the activation energies (Ea) were calculated using a log scale instead of a logarithm ln scale. In Figure 3f, the y-axis interval was not properly selected. The correct y-axis interval in Figure 3f and the numerical values of the activation energy are now provided in Figure 3a and the main text. These errors have been corrected in the HTML and PDF versions of the article.","","en","journal article","","","","","","Corrections & amendments DOI 10.1038/s41467-021-26190-2","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a9df5797-1aa5-49b5-81b9-970b0887212b","http://resolver.tudelft.nl/uuid:a9df5797-1aa5-49b5-81b9-970b0887212b","Dual Access Control for Cloud-Based Data Storage and Sharing","Ning, Jianting (Fujian Normal University; Singapore Management University); Huang, Xinyi (Fujian Normal University); Susilo, Willy (University of Wollongong); Liang, K. (TU Delft Cyber Security); Liu, Ximeng (Fuzhou University); Zhang, Yinghui (Xi'An University of Posts and Telecommunications)","","2022","Cloud-based data storage service has drawn increasing interests from both academic and industry in the recent years due to its efficient and low cost management. Since it provides services in an open network, it is urgent for service providers to make use of secure data storage and sharing mechanism to ensure data confidentiality and service user privacy. To protect sensitive data from being compromised, the most widely used method is encryption. However, simply encrypting data (e.g., via AES) cannot fully address the practical need of data management. Besides, an effective access control over download request also needs to be considered so that Economic Denial of Sustainability (EDoS) attacks cannot be launched to hinder users from enjoying service. In this article, we consider the dual access control, in the context of cloud-based storage, in the sense that we design a control mechanism over both data access and download request without loss of security and efficiency. Two dual access control systems are designed in this article, where each of them is for a distinct designed setting. The security and experimental analysis for the systems are also presented.","access control; attribute-based encryption; cloud storage service; Cloud-based data sharing; Intel SGX","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:4b2496c0-ca8b-482c-94e2-b9cc141995b0","http://resolver.tudelft.nl/uuid:4b2496c0-ca8b-482c-94e2-b9cc141995b0","ESEM observation and rheological analysis of rejuvenated SBS modified bitumen","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Zhang, Y. (Chang'an University); Ren, S. (TU Delft Pavement Engineering)","","2021","Observing the microstructure of bituminous binders with an environmental scanning electron microscope (ESEM) can contribute significantly to reveal the underlying rejuvenation mechanism. In this study, three rejuvenators were selected to regenerate the aged SBS modified binders at five dosages, and their rheology was evaluated using a dynamic shear rheometer. ESEM was employed to examine the microstructure of binders as well, and a series of microstructure parameters were quantified with image analysis. The results demonstrated that the chemical composition changes correspond to the evolution of microstructure morphological and rheological properties. Moreover, the rheological and microstructure characteristics were analyzed with Principal Component Analysis (PCA) and regression analysis. Based on PCA results, the microstructure of rejuvenated binders has shown a good correlation with stiffness after combining various principal components. According to regression analysis, the distance between adjacent fibrils exhibited a significant correlation with Jnr3.2 and the complex modulus index. Overall, the results of this study strengthen the hypothesis that the ESEM microstructure is intimately correlated with chemical composition and rheological properties, rather than with irrelevant surface phenomena.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:d79c43bd-2a91-43fe-a3ba-a0c0a04c7780","http://resolver.tudelft.nl/uuid:d79c43bd-2a91-43fe-a3ba-a0c0a04c7780","Changes in biofilm composition and microbial water quality in drinking water distribution systems by temperature increase induced through thermal energy recovery","Ahmad, J.I. (TU Delft Sanitary Engineering; National University of Science and Technology (NUST)); Dignum, Marco (Waternet); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2021","Drinking water distribution systems (DWDSs) have been thoroughly studied, but the concept of thermal energy recovery from DWDSs is very new and has been conceptualized in the past few years. Cold recovery results in a temperature increase of the drinking water. Its effects on drinking water quality and biofilm development are unclear. Hence, we studied both bulk water and biofilm phases for 232 days in two parallel pilot scale distribution systems with two temperature settings after cold recovery, 25 °C and 30 °C, and compared these with a reference pilot system without cold recovery. In all three pilot distributions systems (DSs) our results showed an initial increase in biomass (ATP) in the biofilm phase, along with occurrence of primary colonizers (Betaproteobacteriales) and subsequently a decrease in biomass and an increasing relative abundance of other microbial groups (amoeba resisting groups; Xanthobacteraceae, Legionellales), including those responsible for EPS formation in biofilms (Sphingomonadaceae). The timeline for biofilm microbial development was different for the three pilot DSs: the higher the temperature, the faster the development took place. With respect to the water phase within the three pilot DSs, major microbial contributions came from the feed water (17–100%) and unkown sources (2–80%). Random contributions of biofilm (0–70%) were seen between day 7–77. During this time period six-fold higher ATP concentration (7–11 ng/l) and two-fold higher numbers of high nucleic acid cells (5.20–5.80 × 104 cells/ml) were also observed in the effluent water from all three pilot DSs, compared to the feed water. At the end of the experimental period the microbial composition of effluent water from three pilot DSs revealed no differences, except the presence of a biofilm related microbial group (Sphingomonadaceae), within all three DSs compared to the feed water. In the biofilm phase higher temperatures initiated the growth of primary colonizing bacteria but this did not lead to differences in microbial diversity and composition at the end of the experimental period. Hence, we propose that the microbiological water quality of DWDSs with cold recovery should be monitored more frequently during the first 2–3 months of operation.","Biofilm; Cold recovery; Drinking water distribution system; Microbial source tracking; Microbial water quality; Primary colonizers; Temperature increase","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d13a1a1c-1be0-49e5-9cc0-78da3e54780e","http://resolver.tudelft.nl/uuid:d13a1a1c-1be0-49e5-9cc0-78da3e54780e","Laboratory evaluation of the effects of long-term aging on high content polymer modified asphalt binder","Lin, P. (Tongji University); Huang, Weidong (Tongji University); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Wang, H. (TU Delft Pavement Engineering); Yan, Chuanqi (Tongji University)","","2020","One of the most widely used polymer-based modifiers in asphalt binders is styrene–butadiene–styrene (SBS), which results in binders of increased modulus, strength, toughness, and resistance to permanent deformation. These properties are further improved with the increase of SBS polymer content in asphalt binders, producing binders such as high-content polymer-modified asphalt (HCPMA). Although the HCPMA binders commonly are used in porous asphalt pavements, limited research has been conducted on their aging performance. This paper used gel permeation chromatography (GPC), Fourier transform infrared (FTIR) spectroscopy, and the dynamic shear rheometer (DSR) to explore the evolution of chemical and rheological properties of aging HCPMA binders. The study found that the aging of HCPMA is a combination of oxidation of the base asphalt binder and degradation of the SBS polymer, leading to modulus increase and phase angle decrease. The degradation of SBS happened mostly at the beginning and slowed after pressure-aging vessel (PAV) conditioning for 20 h, which resulted in the lowest rutting resistance of HCPMA binders. When SBS content was higher than 7.5%, more than half the SBS polymer remained after 80 h of PAV conditioning. Although the molecular weight of SBS decreased from 230,000 to 70,000 due to degradation, its modification effect was still significant. Moreover, high modification of SBS can retard the oxidation and hardening of base asphalt binder, especially after PAV conditioning for 20 h. Principal component analysis showed that 10 parameters used in this study could be explained by SBS content and asphalt binder aging extent. Based on PCA results, the complex modulus (G∗) and phase angle (δ) of HCPMA binders can be well fitted by the exponential function of SBS content and aging index.
2 obtained by the least squares fitting method, according to local deformation characteristic, the results show SMA can bear more deformation before unstable deformation stage and failure.","Asphalt mixture; Digital image correlation method; Image quality evaluation; Local deformation property","en","journal article","","","","","","","","2019-03-18","","","Pavement Engineering","","",""
"uuid:c53d1399-159d-4540-82e4-d5d69f3183f6","http://resolver.tudelft.nl/uuid:c53d1399-159d-4540-82e4-d5d69f3183f6","Characteristics of ceramic fiber modified asphalt mortar","Wan, Jiuming (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Xiao, Y. (Wuhan University; Wuhan University of Technology); Liu, Q. (Wuhan University; Wuhan University of Technology); Schlangen, E. (TU Delft Materials and Environment)","","2016","Ceramic fiber, with a major composition of Al2O3 and SiO2, has advantages of stability at relatively high temperature, big specific surface area and resistance to external mechanical vibration. It has the potential contribution of improving the rutting resistance and temperature sensitivity of modified asphalt binder by proper modification design. In this research, ceramic fiber was introduced into both pen 60/80 and pen 80/100 asphalt binder by different weight ratios. An asphalt penetration test, softening point test, ductility test and dynamic viscoelastic behavior were conducted to characterize and predict the ceramic fiber modified asphalt mortar (CFAM). Research results indicated that the ceramic fiber has a great effect on reinforcement of asphalt, which makes the asphalt stiffer so that the asphalt can only undertake less strain under the same stress. The heat insulation effect of the ceramic fiber will improve the temperature stability. Complex modulus and phase angle results indicate that the ceramic fiber can significantly enhance the high temperature resistance of soft binder.","Asphalt binder; Asphalt mortar; Ceramic fiber; Dynamic shear rheometer; SuperPave fatigue parameter; SuperPave rutting parameter","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c6ac0c9f-6a92-447a-8e22-62289059d4b3","http://resolver.tudelft.nl/uuid:c6ac0c9f-6a92-447a-8e22-62289059d4b3","Non-Newtonian behaviors of crumb rubber-modified bituminous binders","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute)","","2018","Crumb rubber-modified bitumen (CRMB) has been utilized in the asphalt paving industry for decades due to its various benefits. The complex interaction between bitumen and crumb rubber as well as the addition of warm-mix additives makes the typical laws of Newtonian fluids insufficient to describe the behaviors of highly modified bituminous binders. To systematically explore the non-Newtonian behaviors of CRMB, a dynamic shear rheometer was utilized to apply shear loading on the samples at various temperatures and shear rates. Results show that the viscosity of different binders are highly temperature- and shear rate-dependent, while highly modified binders exhibit more obvious shear-thinning behaviors at certain temperatures. With the help of zero shear viscosity and yield stress, the shear-thinning behaviors of non-Newtonian binders can be sufficiently characterized. The Arrhenius equation is invalid to describe viscosity-temperature characteristics of bitumen in the non-Newtonian region. A second-order polynomial function was proposed to characterize the viscosity-temperature dependence with a high correlation degree.","Bitumen; Crumb rubber modifier (CRM); Non-Newtonian behavior; Viscosity; Warm mix asphalt","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:0c3a6c7d-5a68-46dc-8683-dc8d47bbbdf8","http://resolver.tudelft.nl/uuid:0c3a6c7d-5a68-46dc-8683-dc8d47bbbdf8","Simultaneous photocatalytic and microbial degradation of dye-containing wastewater by a novel g-C3 N4 -P25 /photosynthetic bacteria composite","Zhang, Xinying (Fuzhou University); Wu, Yan (Fuzhou University); Xiao, Gao (Fuzhou University); Tang, Zhenping (Fuzhou University); Wang, Meiyin (Fuzhou University); Liu, Fuchang (Fuzhou University); Zhu, X. (TU Delft Sanitary Engineering)","","2017","Azo dyes are very resistant to light-induced fading and biodegradation. Existing advanced oxidative pre-treatment methods based on the generation of non-selective radicals cannot efficiently remove these dyes from wastewater streams, and post-treatment oxidative dye removal is problematic because it may leave many byproducts with unknown toxicity profiles in the outgoing water, or cause expensive complete mineralization. These problems could potentially be overcome by combining photocatalysis and biodegradation. A novel visiblelight-responsive hybrid dye removal agent featuring both photocatalysts (g-C3 N4 -P25 ) and photosynthetic bacteria encapsulated in calcium alginate beads was prepared by selfassembly. This system achieved a removal efficiency of 94% for the dye reactive brilliant red X-3b and also reduced the COD of synthetic wastewater samples by 84.7%, successfully decolorized synthetic dye-contaminated wastewater and reduced its COD, demonstrating the advantages of combining photocatalysis and biocatalysis for wastewater purification. The composite apparently degrades X-3b by initially converting the dye into aniline and phenol derivatives whose aryl moieties are then attacked by free radicals to form alkyl derivatives, preventing the accumulation of aromatic hydrocarbons that might suppress microbial activity. These alkyl intermediates are finally degraded by the photosynthetic bacteria.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:9401751d-624d-48c8-a78f-d71cfaa383f0","http://resolver.tudelft.nl/uuid:9401751d-624d-48c8-a78f-d71cfaa383f0","Striped nanoscale phase separation at the metal-insulator transition of heteroepitaxial nickelates","Mattoni, G. (TU Delft QN/Caviglia Lab; Kavli institute of nanoscience Delft); Zubko, P. (University College London (UCL)); Maccherozzi, F. (Diamond Light Source); Van Der Torren, A. J H (Universiteit Leiden); Boltje, D. B. (Universiteit Leiden); Hadjimichael, M. (University College London (UCL)); Manca, N. (TU Delft QN/Caviglia Lab; Kavli institute of nanoscience Delft); Catalano, S. (University of Geneva); Gibert, M. (University of Geneva); Liu, Y (Diamond Light Source); Aarts, J.M. (Universiteit Leiden); Triscone, J. M. (University of Geneva); Dhesi, S. S. (Diamond Light Source); Caviglia, A. (TU Delft QN/Caviglia Lab; Kavli institute of nanoscience Delft)","","2016","Nucleation processes of mixed-phase states are an intrinsic characteristic of first-order phase transitions, typically related to local symmetry breaking. Direct observation of emerging mixed-phase regions in materials showing a first-order metal-insulator transition (MIT) offers unique opportunities to uncover their driving mechanism. Using photoemission electron microscopy, we image the nanoscale formation and growth of insulating domains across the temperature-driven MIT in NdNiO3 epitaxial thin films. Heteroepitaxy is found to strongly determine the nanoscale nature of the phase transition, inducing preferential formation of striped domains along the terraces of atomically flat stepped surfaces. We show that the distribution of transition temperatures is a local property, set by surface morphology and stable across multiple temperature cycles. Our data provide new insights into the MIT of heteroepitaxial nickelates and point to a rich, nanoscale phenomenology in this strongly correlated material.","","en","journal article","","","","","","Kavli Institute of Nanoscience, Delft University of Technology","","","","","QN/Caviglia Lab","","",""
"uuid:db138ad4-e87c-428c-87ed-ad70a0b30a1a","http://resolver.tudelft.nl/uuid:db138ad4-e87c-428c-87ed-ad70a0b30a1a","Induction heating-assisted compaction in porous asphalt pavements: A computational study","Zhou, C. (TU Delft Pavement Engineering; Dalian University of Technology); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); He, Liang (Chongqing Jiaotong University)","","2018","Low temperature asphalt (LTA) technologies, such as warm-mixed asphalt mixes, are utilized in the paving industry to lower energy demands and greenhouse gas emissions during asphalt mixing and pavement construction. However, the asphalt mixes developed that incorporate LTA additives are more sensitive than hot-mixed asphalts to temperature reduction during compaction, which leads to inadequate compaction and subsequent poor pavement performance. The induction heating-assisted compaction of pavement structures appears to be an effective way to ameliorate such issues and to improve mix compactability at lower temperatures. Considering that induction-assisted compaction is a complex process, a computational methodology is proposed in this paper. A porous asphalt concrete mix was considered as case material. For the pavement compaction analyses after induction, the temperature field generated by electromagnetic induction was predicted and the material parameters of asphalt mortar were adjusted. The effect of induction heating on asphalt compaction effectiveness, the tendency of mix density changing, the increase in compactor passes, and the influence of temperature on compaction at different locations in the pavement were studied as well.","Computational tools; Induction heating; Pavement compaction; Porous asphalt mixes","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:d3d02fc2-300c-4464-a0bb-b886a423a040","http://resolver.tudelft.nl/uuid:d3d02fc2-300c-4464-a0bb-b886a423a040","On the ill-conditioning of the combined wind speed estimator and tip-speed ratio tracking control scheme","Brandetti, L. (TU Delft Wind Energy); Liu, Y. (TU Delft Team Riccardo Ferrari); Mulders, S.P. (TU Delft Team Jan-Willem van Wingerden); Ferreira, Carlos (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2022","In recent years, industrial controllers for modern wind turbines have been designed as a combined wind speed estimator and tip-speed ratio (WSE-TSR) tracking control scheme. In contrast to the conventional and widely used Kω 2 torque control strategy, the WSE-TSR scheme provides flexibility in terms of controller responsiveness and potentially improves power extraction performance. However, both control schemes heavily rely on prior information about the aerodynamic properties of the turbine rotor. Using a control-oriented linear analysis framework, this paper shows that the WSE-TSR scheme is inherently ill-conditioned. The ill-conditioning is defined as the inability of the scheme to uniquely determine the wind speed from the product with other model parameters in the power balance equation. Uncertainty of the power coefficient contribution in the latter mentioned product inevitably leads to a biased effective wind speed estimate. As a consequence, in the presence of uncertainty, the real-world wind turbine deviates from the intended optimal operating point, while the controller believes that the turbine operates at the desired set-point. Simulation results confirm that inaccurate model parameters lead to biased estimates of the actual turbine operating point, causing sub-optimal power extraction efficiency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d73e9fce-c3c7-490b-861e-a6a6e151e721","http://resolver.tudelft.nl/uuid:d73e9fce-c3c7-490b-861e-a6a6e151e721","Spatial Resolution Matching of Microwave Radiometer Measurements Using Iterative Deconvolution with Close Loop Priors (ICLP)","Yao, Zhiyu (Beijing Institute of Technology); Hu, Weidong (Beijing Institute of Technology); Feng, Zhiyan (Beijing Institute of Technology); Zhang, Wenlong (The Hong Kong Polytechnic University); Liu, Yang (Chinese Academy of Sciences); Xu, Zhihao (Beijing Institute of Technology); Ligthart, L.P. (TU Delft EEMS - General)","","2023","Passive multifrequency microwave sensors frequently struggle with difficulties of nonuniform spatial resolution among multiple channels. The raw measurements in the land-sea transition zone are seriously contaminated. Conventional analytical deconvolution techniques suffer from the tradeoff between spatial resolution enhancement and noise amplification, leading to low data integrity in the practical spatial resolution matching application. To provide multichannel microwave radiometer (MWR) data with matching levels of spatial resolution, a method based on iterative deconvolution with close loop priors (ICLP) is proposed. Specifically, a destriping module is first utilized as a preprocessing step to maintain high data integrity. Then, the close loop mechanism using sparse adaptive priors is proposed to balance the spatial resolution and data integrity enhancement. Also, progressively iterative deconvolution is introduced to realize controllable levels of spatial resolution enhancement (spatial resolution matching) for multichannel data to reach a consistent level. Experiments performed using both simulated and actual microwave radiation imager (MWRI) data demonstrate the validity and effectiveness of the method.","close loop; Data integrity; Deconvolution; fengyun-3D (FY-3D); iterative deconvolution; Microwave amplifiers; Microwave integrated circuits; microwave radiation imager(MWRI); Microwave radiometry; Microwave theory and techniques; priors; Spatial resolution; Spatial resolution matching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","EEMS - General","","",""
"uuid:80584831-d900-4fbd-9680-ea908eac3472","http://resolver.tudelft.nl/uuid:80584831-d900-4fbd-9680-ea908eac3472","Modeling residents’ multidimensional social capital in China’s neighborhood renewal projects: SEM and MIMIC approaches","Huang, R. (TU Delft Design & Construction Management; Chongqing University); Xie, Fangyun (Party School of the Chongqing Committee); Fu, Xinyue (Student TU Delft); Liu, Wenli (The University of Hong Kong)","","2023","Neighborhood renewal is now an important approach to sustainable urban development in China. However, neighborhood renewal projects are often beset with social problems such as noncooperation from residents, which can be attributed to diverse interests and complex relationships among residents. However, there is little research on resident relations in China and intra-resident conflict. Based on social capital, this study provided a better understanding of resident relationships in neighborhood renewal in China. To this end, we developed a theoretical framework of residents’ multidimensional social capital (structural, relational, and cognitive). Then, a survey was conducted to collect data from 590 residents across China who were experiencing or had experienced neighborhood renewal. Structural equation modeling (SEM) and multiple indicators multiple causes (MIMIC) modeling were used. The results revealed positive effects of structural social capital on relational and cognitive social capital, and the mediation role of relational social capital was demonstrated. We also tested the effects of differences in sociodemographic characteristics. Our findings verify the explanatory power of social capital regarding residents’ complex relationships in neighborhood renewal in China. Implications for theory and policy are discussed. This study helps to improve our understanding of residents’ social systems in neighborhood renewal and provides theoretical support for formulating neighborhood renewal policies in China and abroad.","multiple indicators multiple causes; neighborhood renewal; residents behavior; social capital; structural equation modeling","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:3e44f1fa-0571-4371-adf9-dae77f3f083e","http://resolver.tudelft.nl/uuid:3e44f1fa-0571-4371-adf9-dae77f3f083e","Closure to ""cPT-Based Axial Capacity Design Method for Driven Piles in Clay""","Lehane, Barry M. (University of Western Australia); Liu, Zhongqiang (Norwegian Geotechnical Institute); Bittar, Eduardo J. (University of Western Australia); Nadim, Farrokh (Norwegian Geotechnical Institute); Lacasse, Suzanne (Norwegian Geotechnical Institute); Bozorgzadeh, Nezam (Norwegian Geotechnical Institute); Jardine, Richard (Imperial College London); Ballard, Jean Christophe (Fugro); Gavin, Kenneth (TU Delft Geo-engineering)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Geo-engineering","","",""
"uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","http://resolver.tudelft.nl/uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","The lasting impact of formation cycling on the Li-ion kinetics between SEI and the Li-metal anode and its correlation with efficiency","Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); Li, Yuhang (Tsinghua University); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Liu, Ming (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Formation cycling is a critical process aimed at improving the performance of lithium ion (Li-ion) batteries during subsequent use. Achieving highly reversible Li-metal anodes, which would boost battery energy density, is a formidable challenge. Here, formation cycling and its impact on the subsequent cycling are largely unexplored. Through solid-state nuclear magnetic resonance (ssNMR) spectroscopy experiments, we reveal the critical role of the Li-ion diffusion dynamics between the electrodeposited Li-metal (ED-Li) and the as-formed solid electrolyte interphase (SEI). The most stable cycling performance is realized after formation cycling at a relatively high current density, causing an optimum in Li-ion diffusion over the Li-metal-SEI interface. We can relate this to a specific balance in the SEI chemistry, explaining the lasting impact of formation cycling. Thereby, this work highlights the importance and opportunities of regulating initial electrochemical conditions for improving the stability and life cycle of lithium metal batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","http://resolver.tudelft.nl/uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","Assessment of self-healing behavior of polypropylene fiber-reinforced cement mortar with crystalline admixture: the effects of crack widths, cracking ages, and external conditions","Liu, Baoju (Central South University China); Ye, Huiwen (Central South University China); Jiang, Junyi (Central South University China); Shi, Jinyan (Central South University China); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","Crystalline admixture (CA) is an effective self-healing agent for mortar. However, the effects of crack parameters (i.e. crack width and cracking age) and the service environment on the self-healing behavior of CA-containing mortar are not well understood. Herein, the self-healing behavior of mortar containing a self-developed CA was assessed by testing strength recovery, impermeability recovery, and crack closure in pre-cracked specimens. Three initial crack widths (0.2, 0.3, and 0.4 mm), five cracking ages (3, 7, 14, 28, and 56 days), and four external exposure conditions (humidity chamber, air exposure, water immersion, and wet-dry cycles) are investigated. Furthermore, the influence of different external conditions on the healing products at the region of crack and the pore structure of hardened paste containing CA are studied. The results show that adding 4.54% CA into mortar allows rapid healing of 300 μm-wide cracks. Although wider cracks (400 μm) are more difficult to heal, the sorptivity coefficients of the mortars with 400 μm-wide cracks after healing decrease. When the cracks are produced at an earlier age, the pre-cracked specimens have higher recovery ratios of strength and impermeability after healing, and the specimens pe-cracked at a later age still have acceptable compressive strengths after healing. The analysis shows that the strengths and impermeabilities of pre-cracked mortars containing CA exposed to the four external conditions are all recovered. The best self-healing performance is observed for the specimens exposed to water immersion and wet-dry cycles conditions. Somewhat less good self-healing was observed in the specimens exposed to humid chamber condition, while the worst self-healing performance was in the specimens exposed to air exposure condition. This study provides a theoretical basis for the application of novel CAs in cement-based materials.","crack; crystalline admixture; mortar; permeability; Self-healing behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-16","","","Materials and Environment","","",""
"uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","http://resolver.tudelft.nl/uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","The Mechanical Properties and Elastic Anisotropy of η’-Cu6Sn5 and Cu3Sn Intermetallic Compounds","Ding, Chao (Guilin University of Electronic Technology); Wang, Jian (Guilin University of Electronic Technology); Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2021","Full intermetallic compound (IMC) solder joints present fascinating advantages in high-temperature applications. In this study, the mechanical properties and elastic anisotropy of η’-Cu6Sn5 and Cu3Sn intermetallic compounds were investigated using first-principles calculations. The values of single-crystal elastic constants, the elastic (E), shear (G), and bulk (B) moduli, and Poisson’s ratio (ν) were identified. In addition, the two values of G/B and ν indicated that the two IMCs were ductile materials. The elastic anisotropy of η’-Cu6Sn5 was found to be higher than Cu3Sn by calculating the universal anisotropic index. Furthermore, an interesting discovery was that the above two types of monocrystalline IMC exhibited mechanical anisotropic behavior. Specifically, the anisotropic degree of E and B complied with the following relationship: η’-Cu6Sn5 > Cu3Sn; however, the relationship was Cu3Sn > η’-Cu6Sn5 for the G. It is noted that the anisotropic degree of E and G was similar for the two IMCs. In addition, the anisotropy of the B was higher than the G and E, respectively, for η’-Cu6Sn5; however, in the case of Cu3Sn, the anisotropic degree of B, G, and E was similar.","Elastic anisotropic; First-principles calculates; Intermetallic compounds; Mechanical properties","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:3bb66fa8-aded-46e3-bcb3-64611797b72f","http://resolver.tudelft.nl/uuid:3bb66fa8-aded-46e3-bcb3-64611797b72f","Combined capture and reduction of CO2 to methanol using a dual-bed packed reactor","Wirner, Luca C. (Student TU Delft; National Institute of Advanced Industrial Science and Technology (AIST)); Kosaka, Fumihiko (National Institute of Advanced Industrial Science and Technology (AIST)); Sasayama, T. (National Institute of Advanced Industrial Science and Technology (AIST)); Liu, Yanyong (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Kuramoto, Koji (National Institute of Advanced Industrial Science and Technology (AIST))","","2023","Recently, carbon capture and reduction (CCR) technology has gained interest to directly convert CO2 to value-added products without requiring purification of CO2 and its subsequent transportation. CCR to methanol in one dual function material (DFM) poses mechanistic and kinetic challenges. To counteract this, a process combining Na/Al2O3 as a capture component and Cu/ZnO/Al2O3 (CZA) as methanol synthesis catalyst was developed to allow CCR to methanol. With a 5 vol% CO2 flow for capture and subsequent H2 stream combined with a temperature swing, a methanol selectivity of 26 % was achieved at 9 bar. Further investigation found that Na/Al2O3 significantly increased methanol yield, while a stacked configuration of Na/Al2O3 followed by CZA significantly outperformed a mixed configuration of the two catalysts. With further investigation of operation at higher pressure and surface mechanism, an effective CCR to methanol process using two affordable yet readily available catalysts can be realized.","CO capture; CO hydrogenation; CO utilization; Methanol synthesis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","ChemE/Catalysis Engineering","","",""
"uuid:57e3c192-8509-4663-b020-930efe294013","http://resolver.tudelft.nl/uuid:57e3c192-8509-4663-b020-930efe294013","Travel Time Reliability for Urban Networks: Modelling and Empirics","Zheng, F. (Southwest Jiaotong University); Liu, Xiaobo (Southwest Jiaotong University); van Zuylen, H.J. (TU Delft Transport and Planning; Southwest Jiaotong University; Hunan University); Li, Jie (Hunan University); Lu, Chao (Southwest Jiaotong University)","","2017","The importance of travel time reliability in traffic management, control, and network design has received a lot of attention in the past decade. In this paper, a network travel time distribution model based on the Johnson curve system is proposed. The model is applied to field travel time data collected by Automated Number Plate Recognition (ANPR) cameras. We further investigate the network-level travel time reliability by connecting the network reliability measures such as the weighted standard deviation of travel time rate and the weighted skewness of travel time rate distributions with network traffic characteristics (e.g., the network density). The weighting is done with respect to the number of signalized intersections on a trip. A clear linear relation between the weighted average travel time rate and the weighted standard deviation of travel time rate can be observed for different time periods with time-varying demand. Furthermore, both the weighted average travel time rate and the weighted standard deviation of travel time rate increase monotonically with network density. The empirical findings of the relation between network travel time reliability and network traffic characteristics can be possibly applied to assess traffic management and control measures to improve network travel time reliability.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:2345e0b0-bfb2-4702-b9cd-bc1b2d63ae29","http://resolver.tudelft.nl/uuid:2345e0b0-bfb2-4702-b9cd-bc1b2d63ae29","Assessing the origin of bacteria in tap water and distribution system in an unchlorinated drinking water system by SourceTracker using microbial community fingerprints","Liu, G. (TU Delft Sanitary Engineering; Oasen); Zhang, Ya (University of Illinois at Urbana-Champaign); van der Mark, E.J. (TU Delft Sanitary Engineering; Dunea); Magic-Knezev, Aleksandra (Het Water Laboratorium); Pinto, Ameet (Northeastern University); van den Bogert, Bartholomeus (Baseclear B.V.); Liu, Wentso (University of Illinois at Urbana-Champaign); van der Meer, W.G.J. (University of Twente); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2018","The general consensus is that the abundance of tap water bacteria is greatly influenced by water purification and distribution. Those bacteria that are released from biofilm in the distribution system are especially considered as the major potential risk for drinking water bio-safety. For the first time, this full-scale study has captured and identified the proportional contribution of the source water, treated water, and distribution system in shaping the tap water bacterial community based on their microbial community fingerprints using the Bayesian “SourceTracker” method. The bacterial community profiles and diversity analyses illustrated that the water purification process shaped the community of planktonic and suspended particle-associated bacteria in treated water. The bacterial communities associated with suspended particles, loose deposits, and biofilm were similar to each other, while the community of tap water planktonic bacteria varied across different locations in distribution system. The microbial source tracking results showed that there was not a detectable contribution of source water to bacterial community in the tap water and distribution system. The planktonic bacteria in the treated water was the major contributor to planktonic bacteria in the tap water (17.7–54.1%). The particle-associated bacterial community in the treated water seeded the bacterial community associated with loose deposits (24.9–32.7%) and biofilm (37.8–43.8%) in the distribution system. In return, the loose deposits and biofilm showed a significant influence on tap water planktonic and particle-associated bacteria, which were location dependent and influenced by hydraulic changes. This was revealed by the increased contribution of loose deposits to tap water planktonic bacteria (from 2.5% to 38.0%) and an increased contribution of biofilm to tap water particle-associated bacteria (from 5.9% to 19.7%) caused by possible hydraulic disturbance from proximal to distal regions. Therefore, our findings indicate that the tap water bacteria could possibly be managed by selecting and operating the purification process properly and cleaning the distribution system effectively.","Drinking water distribution system; Microbial community fingerprints; Next generation sequencing; Source to tap; SourceTracker","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:44984bc1-485b-4dde-8daa-68a78e231c03","http://resolver.tudelft.nl/uuid:44984bc1-485b-4dde-8daa-68a78e231c03","An iterative data-driven learning algorithm for calibration of the internal model in advanced wind turbine controllers","Mulders, S.P. (TU Delft Team Mulders); Liu, Y. (TU Delft Team Riccardo Ferrari); Spagnolo, Fabio (Vestas Wind Systems); Christensen, P.B. (Vestas Wind Systems); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Modern industrial wind turbine controllers for partial-load region control are becoming increasingly complex by progressively relying on modeled aerodynamic characteristics. These advanced turbine controllers generally consist of a combined wind speed estimator and tracking controller, allowing for a granular trade-off between energy capture maximization and (fatigue) load minimization. Because of the limited measurements available to the controller, the control scheme's internal model quality is of utmost importance in satisfying performance and stability requirements. Therefore, the calibration thereof is of particular interest. To date, little work has been performed on the direct calibration of the model information. This work proposes a data-driven iterative learning algorithm for calibrating the internal physical model parameters. The learning algorithm uses generally available closed-loop turbine measurements, complemented with an external measurement of the rotor effective wind speed (REWS), and is thereby largely nondisruptive. The algorithm is based on steady-state assumptions and performs iterative batch-wise updates of the internal control model toward convergence. As the algorithm corrects at the actual turbine operating point, short-term relocations of the turbine's operating point can be used to calibrate in a broader operational domain. Results show outstanding learning capabilities for an aerodynamically degraded wind turbine under realistic turbulent wind conditions. Moreover, a sensitivity study is performed to expose the algorithm's susceptibility to measurement errors, algorithm tuning, and the size of the data set.","Control of renewable energy resources; Data-driven control; Learning for control; Nonlinear adaptive control; Nonlinear observers","en","journal article","","","","","","","","","","","Team Mulders","","",""
"uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","http://resolver.tudelft.nl/uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","TAIS: Transparent Amplifying Intelligent Surface for Indoor-To-Outdoor mmWave Communications","Liu, Bin (Katholieke Universiteit Leuven); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2024","This paper presents a novel transparent amplifying intelligent surface (TAIS) architecture for uplink enhancement in indoor-To-outdoor mmWave communications. The TAIS is an amplifier-based transmissive intelligent surface that can refract and amplify the incident signal, instead of only refracting it with adjustable phase shift by most passive reconfigurable intelligent surfaces (RIS). With advanced indium tin oxide film and printing technology, TAIS can be fabricated on the windows without any visual effects. This paper primarily focuses on exploiting the TAIS-based architecture to boost the uplink spectral efficiency (SE) in indoor-To-outdoor mmWave communications. By jointly optimizing the TAIS's phase shift matrix and transmit power of the user equipment, the uplink SE can be maximized by exploiting the nonlinearity in the TAIS's amplification process. The key enabler is that we drive the optimal phase shift matrix that maximizes the SE and deduces its closed-form representation. The SE maximization is then proved to be transferred to the transmit power optimization problem. Another important enabler is that we design a low-complexity algorithm to solve the optimization problem using the difference of convex programming. Moreover, the asymptotic spectral efficiency under nonlinear amplification and power scaling law with infinitely large elements under both the sparse and rich scattering channel models are analyzed. Simulation results show that our proposed TAIS can increase the SE by up to 24.7% as compared to its alternative methods.","indoor-To-outdoor communication; mmWave MIMO; nonlinear amplification; Reconfigurable intelligent surface (RIS); spectral efficiency; transparent amplifying intelligent surface (TAIS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Embedded Systems","","",""
"uuid:6efb21b8-055a-483f-841d-0985c6425df9","http://resolver.tudelft.nl/uuid:6efb21b8-055a-483f-841d-0985c6425df9","Computational insights into the catalytic role of the base promoters in ester hydrogenation with homogeneous non-pincer-based Mn-P,N catalyst","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); van Putten, R. (TU Delft ChemE/Inorganic Systems Engineering); Kulyaev, Pavel O. (ITMO University); Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Algemeen; ITMO University)","","2018","The reaction mechanism of ester hydrogenation catalyzed by a bidentate aminophosphine ligated manganese catalyst was studied by DFT calculations. Particular emphasize was placed on the role of the alkoxide base additives. The presence of such basic promoters as KOtBu can improve the catalyst activity by lowering the activation barriers of H2 dissociation as well as the hydrogenation step. The promoting effect of KOtBu on H2 activation is much stronger than that of tert-butoxides with other alkali metals, which is crucial for the catalyst regeneration from the deactivated Mn-alkoxide species in the resting state.","Ab initio thermodynamic analysis; Catalyst deactivation; DFT calculations; Ester reduction; Homogeneous catalysis; Multifunctional catalysis; Reaction mechanism","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:c8a45a09-a07f-468d-9f1f-4f4e33ebce12","http://resolver.tudelft.nl/uuid:c8a45a09-a07f-468d-9f1f-4f4e33ebce12","Tissue characterization with depthresolved attenuation coefficient and backscatter term in intravascular optical coherence tomography images","Liu, Shengnan (Leiden University Medical Center); Sotomi, Yohei (Amsterdam UMC); Eggermont, Jeroen (Leiden University Medical Center); Nakazawa, Gaku (Tokai University); Torii, Sho (Tokai University); Ijichi, Takeshi (Tokai University); Onuma, Yoshinobu (Cardialysis; Erasmus MC); Serruys, Patrick W. (Imperial College London); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dijkstra, J. (Leiden University Medical Center)","","2017","An important application of intravascular optical coherence tomography (IVOCT) for atherosclerotic tissue analysis is using it to estimate attenuation and backscatter coefficients. This work aims at exploring the potential of the attenuation coefficient, a proposed backscatter term, and image intensities in distinguishing different atherosclerotic tissue types with a robust implementation of depth-resolved (DR) approach. Therefore, the DR model is introduced to estimate the attenuation coefficient and further extended to estimate the backscatter-related term in IVOCT images, such that values can be estimated per pixel without predefining any delineation for the estimation. In order to exclude noisy regions with a weak signal, an automated algorithm is implemented to determine the cut-off border in IVOCT images. The attenuation coefficient, backscatter term, and the image intensity are further analyzed in regions of interest, which have been delineated referring to their pathology counterparts. Local statistical values were reported and their distributions were further compared with a two-sample t -test to evaluate the potential for distinguishing six types of tissues. Results show that the IVOCT intensity, DR attenuation coefficient, and backscatter term extracted with the reported implementation are complementary to each other on characterizing six tissue types: mixed, calcification, fibrous, lipid-rich, macrophages, and necrotic core.","attenuation coefficient; backscatter term; calcification; depth-resolved; fibrous; intravascular optical coherence tomography; lipid necrotic core; macrophages","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","http://resolver.tudelft.nl/uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","The effects of graphene stacking on the performance of methane sensor: A first-principles study on the adsorption, band gap and doping of graphene","Yang, Ning (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology); Chen, Liangbiao (Lamar University); Liu, Dongjing (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Fan, Xuejun (Lamar University)","","2018","The effects of graphene stacking are investigated by comparing the results of methane adsorption energy, electronic performance, and the doping feasibility of five dopants (i.e., B, N, Al, Si, and P) via first-principles theory. Both zigzag and armchair graphenes are considered. It is found that the zigzag graphene with Bernal stacking has the largest adsorption energy on methane, while the armchair graphene with Order stacking is opposite. In addition, both the Order and Bernal stacked graphenes possess a positive linear relationship between adsorption energy and layer number. Furthermore, they always have larger adsorption energy in zigzag graphene. For electronic properties, the results show that the stacking effects on band gap are significant, but it does not cause big changes to band structure and density of states. In the comparison of distance, the average interlamellar spacing of the Order stacked graphene is the largest. Moreover, the adsorption effect is the result of the interactions between graphene and methane combined with the change of graphene’s structure. Lastly, the armchair graphene with Order stacking possesses the lowest formation energy in these five dopants. It could be the best choice for doping to improve the methane adsorption.","Adsorption; Doping; Electronic performance; First-principles theory; Graphene stacking; Methane sensor","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7af4bfb6-ed83-4ff0-bcb0-b0820c479347","http://resolver.tudelft.nl/uuid:7af4bfb6-ed83-4ff0-bcb0-b0820c479347","Visualized study of thermochemistry assisted steam flooding to improve oil recovery in heavy oil reservoir with glass micromodels","Lyu, X. (TU Delft Reservoir Engineering; China University of Petroleum - Beijing); Liu, Huiqing (China University of Petroleum - Beijing); Pang, Zhanxi (China University of Petroleum - Beijing); Sun, Zhixue (China University of Petroleum (East China))","","2018","Steam channeling, one serious problem in the process of steam flooding in heavy oil reservoir, decreases the sweep efficiency of steam to cause a lower oil recovery. Viscosity reducer and nitrogen foam, two effective methods to improve oil recovery with different mechanism, present a satisfactory result after steam flooding. In this article, a 2D visualized device was introduced to investigate the synergistic development effect of two different chemical additives and intuitively study their flowing characteristic in porous media, as well as macroscopic and microscopic mechanism of improving heavy oil recovery by chemical additives after steam flooding. The results showed that the fingering phenomenon was generated obviously in the process of steam flooding, which restricted the swept area of steam. Due to decreasing oil-water interface tension, O/W emulsion with lower viscosity was formed to enhance the oil flow capacity and polish up the displacement efficiency of steam after injecting viscosity reducer. And the synergistic effect of viscosity reducer & foaming agent was more conductive to improve displacement efficiency of steam, with 4.3% of oil recovery higher than purely viscosity reducer assisting steam flooding in this process. Microscopic results indicated that thermal foams can be trapped in the porous media to improve injection profile effectively and displace the residual oil caused by steam flooding. The ultimate oil recovery of synergistic development is 65.6%, 11.0% higher than one additive (viscosity reducer). This article can provide reference for the study of thermochemistry assisted steam flooding in heavy oil reservoir.","2D visualized physical model; Microscopic mechanism analysis; Physical simulation; Steam flooding; Synergistic development; Thermochemistry","en","journal article","","","","","","","","2020-01-30","","","Reservoir Engineering","","",""
"uuid:5a8fa606-444f-4cd8-b364-5db930111a32","http://resolver.tudelft.nl/uuid:5a8fa606-444f-4cd8-b364-5db930111a32","Coupling dynamics of epidemic spreading and information diffusion on complex networks","Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Zhou, Ge (Hangzhou Normal University; Shanghai Jiao Tong University); Zhang, Zi-Ke (Hangzhou Normal University; Shanghai Jiao Tong University); Sun, Gui-Quan (Shanxi University); Zhu, Jonathan J. H. (City University of Hong Kong); Jin, Zhen (Shanxi University)","","2018","The interaction between disease and disease information on complex networks has facilitated an interdisciplinary research area. When a disease begins to spread in the population, the corresponding information would also be transmitted among individuals, which in turn influence the spreading pattern of the disease. In this paper, firstly, we analyze the propagation of two representative diseases (H7N9 and Dengue fever) in the real-world population and their corresponding information on Internet, suggesting the high correlation of the two-type dynamical processes. Secondly, inspired by empirical analyses, we propose a nonlinear model to further interpret the coupling effect based on the SIS (Susceptible-Infected-Susceptible) model. Both simulation results and theoretical analysis show that a high prevalence of epidemic will lead to a slow information decay, consequently resulting in a high infected level, which shall in turn prevent the epidemic spreading. Finally, further theoretical analysis demonstrates that a multi-outbreak phenomenon emerges via the effect of coupling dynamics, which finds good agreement with empirical results. This work may shed light on the in-depth understanding of the interplay between the dynamics of epidemic spreading and information diffusion.","Coupling dynamics; Epidemic spreading; Information diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Multimedia Computing","","",""
"uuid:467a0784-3d30-4f4c-939e-4e324c29f918","http://resolver.tudelft.nl/uuid:467a0784-3d30-4f4c-939e-4e324c29f918","Epidemic dynamics on information-driven adaptive networks","Zhan, X. (TU Delft Multimedia Computing; Hangzhou Normal University); Liu, Chuang (Hangzhou Normal University); Sun, Gui-Quan (Shanxi University); Zhang, Zi-Ke (Hangzhou Normal University; Shanghai Jiao Tong University; Alibaba Research Institute)","","2018","Research on the interplay between the dynamics on the network and the dynamics of the network has attracted much attention in recent years. In this work, we propose an information-driven adaptive model, where disease and disease information can evolve simultaneously. For the information-driven adaptive process, susceptible (infected) individuals who have abilities to recognize the disease would break the links of their infected (susceptible) neighbors to prevent the epidemic from further spreading. Simulation results and numerical analyses based on the pairwise approach indicate that the information-driven adaptive process can not only slow down the speed of epidemic spreading, but can also diminish the epidemic prevalence at the final state significantly. In addition, the disease spreading and information diffusion pattern on the lattice as well as on a real-world network give visual representations about how the disease is trapped into an isolated field with the information-driven adaptive process. Furthermore, we perform the local bifurcation analysis on four types of dynamical regions, including healthy, a continuous dynamic behavior, bistable and endemic, to understand the evolution of the observed dynamical behaviors. This work may shed some lights on understanding how information affects human activities on responding to epidemic spreading.","Adaptive model; Bifurcation analysis; Epidemic spreading; Information diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-31","","","Multimedia Computing","","",""
"uuid:a7d0b4ad-d2cc-4596-ab18-24593c0bfe36","http://resolver.tudelft.nl/uuid:a7d0b4ad-d2cc-4596-ab18-24593c0bfe36","Burst of virus infection and a possibly largest epidemic threshold of non-Markovian susceptible-infected-susceptible processes on networks","Liu, Q. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2018","Since a real epidemic process is not necessarily Markovian, the epidemic threshold obtained under the Markovian assumption may be not realistic. To understand general non-Markovian epidemic processes on networks, we study the Weibullian susceptible-infected-susceptible (SIS) process in which the infection process is a renewal process with a Weibull time distribution. We find that, if the infection rate exceeds 1/ln(λ1+1), where λ1 is the largest eigenvalue of the network's adjacency matrix, then the infection will persist on the network under the mean-field approximation. Thus, 1/ln(λ1+1) is possibly the largest epidemic threshold for a general non-Markovian SIS process with a Poisson curing process under the mean-field approximation. Furthermore, non-Markovian SIS processes may result in a multimodal prevalence. As a byproduct, we show that a limiting Weibullian SIS process has the potential to model bursts of a synchronized infection.","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:51d81e7e-174e-4057-a50f-cfc531b7dbb1","http://resolver.tudelft.nl/uuid:51d81e7e-174e-4057-a50f-cfc531b7dbb1","Exploring the driving factors of construction industrialization development in China","Xiahou, Xiaer (Southeast University); Yuan, Jingfeng (Southeast University); Liu, Y. (TU Delft Integral Design & Management); Tang, Yuchun (Southeast University); Li, Qiming (Southeast University)","","2018","Construction industrialization (CI) has been adopted worldwide because of its potential benefits. However, current research shows the incentives for adopting CI may differ in different regions. While the promotion of CI in China is still at the initial stage, a systematical analysis of the driving factors would help decision makers get a comprehensive understanding of CI development and select proper strategies to promote CI. This research combines qualitative and quantitative methods to explore the construction industrialization driving factors (CIDFs) in China. The grounded theory method (GTM) was employed to explore CI concepts among 182 CI-related articles published in 10 top-tier journals from 2000 to 2017. A total of 15 CIDFs were identified, including one suggested by professionals during a pre-test questionnaire survey. The analysis showed that the development of CI in China is pushed by macrodevelopment and pulled by the government and is also a self-driven process. The major driving factors for CI adoption in China are the transformation and upgrade of the conventional construction industry and the solution of development dilemmas. Our study also suggests that pilot programs are, currently, the most effective method to promote CI in China and to accumulate experience so to gain recognition by the society. This research is also of value for CI promotion in other developing countries.","China; Construction industrialization (CI); Content analysis; Driving factors; Grounded theory method; Pull and push; Questionnaire survey","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:b3e3a462-45b4-4430-8137-0653012e5399","http://resolver.tudelft.nl/uuid:b3e3a462-45b4-4430-8137-0653012e5399","Synthesis and characterization of argyrodite solid electrolytes for all-solid-state Li-ion batteries","Zhang, Zhixia (Yanshan University); Zhang, Long (Yanshan University); Liu, Yanyan (Yanshan University); Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yan, Xinlin (Technische Universität Wien); Xu, Bo (Yanshan University); Wang, L. (Yanshan University)","","2018","Li6PS5X (X = Cl, Br, I) argyrodites possess high ionic conductivity but with rather scattered values due to various processing conditions. In this work, Li6PS5X solid electrolytes were prepared by solid-state sintering or mechanical alloying and optimized with or without excess Li2S. Solid-state sintering prefers excess Li2S, whereas mechanical alloying prefers stoichiometric Li2S to synthesize high-purity samples with high ionic conductivity. Solid-state sintering is also more suitable than mechanical milling for high ionic conductivity. Li6PS5Cl with the highest ionic conductivity among Li6PS5X was comprehensively characterized for electrochemical performance and air stability. MoS2/Li6PS5Cl all-solid-state batteries assembled with Li6PS5Cl-coated MoS2 as cathode and with Li6PS5Cl as solid electrolyte demonstrate high capacity and good cycling stability.","All-solid-state batteries; Argyrodites; LiPSCl; Solid electrolytes; Sulfides","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-08","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:847ef398-8658-4555-acae-58610cf35084","http://resolver.tudelft.nl/uuid:847ef398-8658-4555-acae-58610cf35084","Synchronized measurement technology supported AC and HVDConline disturbance detection","Naglic, M. (TU Delft Intelligent Electrical Power Grids); Liu, L. (TU Delft Intelligent Electrical Power Grids); Tyuryukanov, I. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids); van der Meijden, M.A.M.M. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Terzija, V. (The University of Manchester)","","2018","In electric power system, disturbance detection has become an important part of grid operation and refers to the detection of a voltage and current excursion caused by the wide variety of electromagnetic phenomena. This paper proposes a computationally efficient and robust algorithm for synchronized measurement technology (SMT) supported online disturbance detection, suitable for AC and HVDC grids. The proposed algorithm is based on the robust median absolute deviation sample dispersion measure to locate dataset outliers. The algorithm is capable of identifying the disturbance occurrence and clearance measurement sample based on the dynamic criteria, driven by present power system conditions. The effectiveness of the proposed algorithm is verified by real-time simulations using a cyber-physical simulation platform, as a co-simulation between the SMT supported electric power system model and underlying ICT infrastructure. The presented results demonstrate effectiveness of the proposed algorithm, making it suitable for an AC and HVDC online disturbance detection application or as a pre-step of backup protection schemes.","Co-simulation; HVDC; Online disturbance detection; PMU; RTDS","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:1c6002bf-539b-468f-872b-fb5f890711f5","http://resolver.tudelft.nl/uuid:1c6002bf-539b-468f-872b-fb5f890711f5","Research on the mechanical, thermal, induction heating and healing properties of steel slag/steel fibers composite asphalt mixture","Liu, Quantao (Wuhan University of Technology; Chang'an University); Li, Bin (Wuhan University of Technology); Schlangen, E. (TU Delft Materials and Environment); Sun, Yihan (Zhejiang Provincial Institute of Communications Planning, Design and Research); Wu, Shaopeng (Wuhan University of Technology)","","2017","In this paper, steel slag/steel fiber composite asphalt mixture were prepared. The effects of the addition of steel slag and/or steel fibers on the mechanical, thermal, induction heating and healing properties of asphalt mixture were investigated. The results showed that adding steel slag and/or steel fibers improves the water stability, particle loss resistance and fracture energy of asphalt mixtures. The addition of steel fibers increased the thermal conductivity and thermal diffusion of the asphalt mixture, and steel slag showed a reverse effect. Steel slag asphalt mixture cooled more slowly than steel fiber asphalt mixture, which is beneficial to crack healing of asphalt mixture. The composite of steel fibers and steel slag can enhance the induction heating speed, heating homogeneity and thus enhance the induction healing ratio of asphalt mixture. It is concluded that steel slag/steel fibers composite asphalt mixture achieves good mechanical and induction healing properties.","Asphalt mixture; Healing; Induction heating; Steel fiber; Steel slag","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4f87a746-5088-4fc2-b711-15c50be2411b","http://resolver.tudelft.nl/uuid:4f87a746-5088-4fc2-b711-15c50be2411b","Shear capacity of a novel joint between corrugated steel web and concrete lower slab","Wang, Sihao (Tongji University); He, Jun (Changsha University of Science and Technology); Liu, Yuqing (Tongji University); Li, Chuanxi (Changsha University of Science and Technology); Xin, H. (TU Delft Steel & Composite Structures; Tongji University)","","2018","Composite girder with corrugated steel web is one of the promising concrete-steel hybrid structures with superior properties and cost effectiveness widely applied in highway and railway bridges. The connection between concrete slabs and corrugated steel web is an important part of such composite structure. In order to improve pouring quality and durability of concrete for joint structure between corrugated steel webs and concrete lower slab, the validity of placing lower slab on the inner side of corrugated steel webs was confirmed and a new joint structure with perforated plate connectors was proposed. Push-out tests on proposed joint structure with different parameters including the welding width and the plate thickness were carried out to study their shear strength, shear stiffness, failure modes and relative slip characteristics. Subsequently, three-dimensional finite element models taking material non-linearity and nonlinear contact between steel and concrete interface into consideration were built and validated by the push-out tests. Afterwards, parametric studies were performed to further investigate the influences of geometrical parameters (such as width, height and thickness of perforated steel plate) and material parameters including steel yielding strength and concrete compressive strength on ultimate shear strength and failure mode of the joint structure. Analytical results indicate that the shear loading capacity is increased with the thickness, the width and height of perforated plate, and the compressive strength of concrete. However, steel yielding strength, presence or absence of perforating rebar, have a negligible effect on ultimate shear strength of the joint structure. Finally, prediction equations of shear capacity were provided and compared with experimental and numerical results. The calculated shear capacity agrees well with experimental and numerical ones, indicating provided analytical equations can accurately predict shear capacity for such novel joint structure.","Composite girder; Corrugated steel web; Finite element analysis; Joint structure; Push-out tests; Shear capacity","en","journal article","","","","","","","","2020-02-29","","","Steel & Composite Structures","","",""
"uuid:71615cd8-8954-431f-8b3c-b145029efcd1","http://resolver.tudelft.nl/uuid:71615cd8-8954-431f-8b3c-b145029efcd1","A Facile Surface Reconstruction Mechanism toward Better Electrochemical Performance of Li4Ti5O12 in Lithium-Ion Battery","Qian, Kun (Tsinghua University); Tang, Linkai (Tsinghua University); Wagemaker, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); He, Yan Bing (Tsinghua University); Liu, Dongqing (Tsinghua University); Li, Hai (Tsinghua University); Shi, Ruiying (Tsinghua University); Li, Baohua (Tsinghua University); Kang, Feiyu (Tsinghua University)","","2017","Through a facile sodium sulfide (Na2S)-assisted hydrothermal treatment, clean and nondefective surfaces are constructed on micrometer-sized Li4Ti5O12 particles. The remarkable improvement of surface quality shows a higher first cycle Coulombic efficiency (≈95%), a significantly enhanced cycling performance, and a better rate capability in electrochemical measurements. A combined study of Raman spectroscopy and inductive coupled plasma emission spectroscopy reveals that the evolution of Li4Ti5O12 surface in a water-based hydrothermal environment is a hydrolysis–recrystallization process, which can introduce a new phase of anatase-TiO2. While, with a small amount of Na2S (0.004 mol L−1 at least), the spinel-Li4Ti5O12 phase is maintained without a second phase. During this process, the alkaline environment created by Na2S and the surface adsorption of the sulfur-containing group (HS− or S2−) can suppress the recrystallization of anatase-TiO2 and renew the particle surfaces. This finding gives a better understanding of the surface–property relationship on Li4Ti5O12 and guidance on preparation and modification of electrode material other than coating or doping.","hydrothermal method; LiTiO; lithium-ion batteries; NaS; surface modifications","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:847145ff-3d5d-48aa-9780-9a357a0e1db2","http://resolver.tudelft.nl/uuid:847145ff-3d5d-48aa-9780-9a357a0e1db2","Evaluation of epoxy modification in asphalt mastic","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Marocho, Paul (Student TU Delft); van de Ven, Martin (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2020","In-depth understanding of the synergetic effect between the various incorporating constituents in asphalt binders (e.g., polymers, fillers) is needed to design durable paving materials with desired properties. In this research, the focus was first on the effect of the reactivity of fillers on the evolution of adhesive strength between stone aggregates and epoxy modified asphalt mastics during the epoxy polymerization. Uniaxial tensile tests were performed on different combinations of fillers and binders with and without the epoxy-based polymer, and at different modification levels. Based on the results of the tensile tests, the increase of the adhesive strength of mastic with aggregates was generally lower when reactive filler particles (i.e., hydrated lime) were added than of epoxy binders with non-reactive filler. In other words, the non-reactive fillers did not influence the adhesion process and were thus selected for the next step studies on aging. The chemo-mechanical changes of epoxy modified asphalt mastics were analysed after pressure aging vessel and oven-conditioning after various aging times by means of Fourier transform infrared spectroscopy and dynamic shear rheometer. Less sulfoxides formed and higher modulus levels were measured with increasing the epoxy polymer in mastics over oven- and PAV-aging conditions. Due to the pressure difference, the rate of modulus increases and phase angle decrease was higher when the materials were conditioned in PAV than in oven.","Aging; Asphalt binder; Bitumen; Epoxy asphalt; Filler; Long-life pavement","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:d6978124-81a7-46b3-9ed6-cf78ea29a0ea","http://resolver.tudelft.nl/uuid:d6978124-81a7-46b3-9ed6-cf78ea29a0ea","Spectrum-Based Hand Gesture Recognition Using Millimeter-Wave Radar Parameter Measurements","Liu, Changjiang (Beihang University); Li, Y. (TU Delft Mathematical Geodesy and Positioning); Ao, Dongyang (Beijing Institute of Technology); Tian, Haiyan (Beihang University)","","2019","Radar sensors offer several advantages over optical sensors in the gesture recognition for remote control of electronic devices. In this paper, we investigate the feasibility of human gesture recognition using the spectra of radar measurement parameters. With the combination of radar theory and classification methods, we found that the frequencies of different gestures' parameters could be utilized as features for gesture recognition. Six kinds of periodic dynamic gestures are designed to avoid the complexity of defining and extracting the start and end of the dynamic gesture. In addition to the frequency ratio, we also extracted some features related to motion range and detection coherence to eliminate the interferences brought by the unintended gestures. The decision tree classifier designed on the basis of experimental phenomena can guarantee effective classification between different gestures, and in general, the correct recognition rate of each gesture is higher than 90%. Finally, we collected the position and the Doppler velocity information of hand for classification by a W-band millimeter wave radar in the experiment and verified the usability of the proposed method.","decision tree; feature extraction; Gesture recognition; millimeter-wave","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:99a09077-15d4-4ee9-96d7-ca8379bb8509","http://resolver.tudelft.nl/uuid:99a09077-15d4-4ee9-96d7-ca8379bb8509","CPT-Based Axial Capacity Design Method for Driven Piles in Clay","Lehane, Barry M. (University of Western Australia); Liu, Zhongqiang (Norwegian Geotechnical Institute); Bittar, Eduardo J. (University of Western Australia); Nadim, Farrokh (Norwegian Geotechnical Institute); Lacasse, Suzanne (Norwegian Geotechnical Institute); Bozorgzadeh, Nezam (Imperial College London); Jardine, Richard (Norwegian Geotechnical Institute); Ballard, Jean Christophe (Fugro); Gavin, Kenneth (TU Delft Geo-engineering)","","2022","There are clear advantages in the establishment of reliable, direct cone penetration test (CPT) based methods for assessment of the axial capacity of driven piles. These advantages motivated the formation of a joint industry project (JIP) under the management of the Norwegian Geotechnical Institute (NGI), which initially led to the creation of a unified database of high-quality pile load tests in sand and clay. The unified database has the consensus approval of representatives of the profession and personnel in multiple companies from the offshore energy sector. This paper presents a component of the research from the second phase of the JIP, which had the objective of developing a new CPT-based method for driven piles in clay to unify several CPT-based methods that are in use today. First, a rational basis for the CPT-based formulation is described, using trends from instrumented pile tests; the description facilitates an understanding of the approach and illustrates its empirical nature and limitations. The unified database was used to calibrate the formulation and it led to good predictions for an independent database of pile load tests and for measured distributions of shaft friction.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-01","","","Geo-engineering","","",""
"uuid:a99cc4d6-1594-46b6-9afb-282e5f022f00","http://resolver.tudelft.nl/uuid:a99cc4d6-1594-46b6-9afb-282e5f022f00","Investigation on band segregate formation during the electroslag remelting of H13 die steel","Kim, K.H. (TU Delft (OLD) MSE-3; Wuhan University of Science and Technology); Wang, X. J. (Wuhan University of Science and Technology); Li, G. Q. (Wuhan University of Science and Technology); Liu, Y. (Wuhan University of Science and Technology)","","2019","Band segregation has been found in the H13 die steel produced by the electroslag remelting (ESR) technology. Chemical and metallographic studies have been carried out on a one ton ESR ingot of H13 die steel, so as to understand the formation mechanism of the band segregation. The results indicate that the T.O content and S content decreased because of cleanliness improvement of ESR process. Transverse macrosegregation of S content decreased after ESR. The overall removal ratio of the inclusion is around 65.8%. The original complex inclusions would be modified to the CaO•Al2O3 inclusions. Al2O3 and MnS inclusions can be found after ESR. Both of Al2O3 and MnS inclusions were found to be the core of primary carbides. The net like structure in ESR ingot and banded structure in the forged steel were observed. V, Mo, Cr and S are rich in the segregation areas of ESR ingot. Besides, black and white segregation bands can be observed on the forged steel samples after etching. Uneven distribution of carbides rich in V, Mo and Cr was observed in banded structure.","","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:24cdee06-0f0e-471d-b512-edba337fb415","http://resolver.tudelft.nl/uuid:24cdee06-0f0e-471d-b512-edba337fb415","360-Degree Distribution of Biofilm Quantity and Community in an Operational Unchlorinated Drinking Water Distribution Pipe","Liu, G. (TU Delft Space Systems Egineering; Chinese Academy of Sciences; Oasen); Zhang, Ya (University of Illinois at Urbana-Champaign); Liu, X. (TU Delft ChemE/Catalysis Engineering); Hammes, Frederik (Swiss Federal Institute of Aquatic Science and Technology); Liu, Wen Tso (University of Illinois at Urbana-Champaign); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute); Wessels, Peter (Oasen); van der Meer, W.G.J. (TU Delft Sanitary Engineering; Oasen; University of Twente)","","2020","In the present study, triplicate rings of 360° pipe surfaces of an operational drinking water distribution pipe were swabbed. Each ring was equally divided into 16 parts for swabbing. The collected swabs were grouped into 3 sections and compared with the biofilm samples sampled by sonication of specimens from the same pipe. The results showed that the biofilm is unevenly distributed over the 16 parts and the 3 sections of the pipe surface. Both the active biomass and the number of observed OTUs increased as the measurements proceeded from the top to the bottom of the pipe. The bacterial community was dominated in all sections by Proteobacteria. At the genus level, Nitrospira spp., Terrimonas spp., and Hyphomicrobium spp. were dominant in all sections. Gaiella spp. and Vicinamibacter spp. dominated in S-I, Blastopirellula spp. and Pirellula spp. dominated in S-II, while Holophaga spp. and Phaeodactylibacter spp. dominated in S-III. When swabbing and pipe specimen sonication were compared, the results showed that the sampling strategy significantly influences the obtained biofilm bacterial community. A consistent multisectional swabbing strategy is proposed for future biofilm sampling; it involves collecting swabs from all sections and comparing the swabs from the same position/section across locations.","","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:3b1416ce-21dd-4d3f-a54c-dee69f37590e","http://resolver.tudelft.nl/uuid:3b1416ce-21dd-4d3f-a54c-dee69f37590e","Inclusion of rotor moments in scaled wave tank test of a floating wind turbine using SiL hybrid method","Pires, O. (CENER - National Renewable Energy Centre); Azcona, J. (CENER - National Renewable Energy Centre); Vittori, F. (CENER - National Renewable Energy Centre); Bayati, I. (Maritime Research Institute Netherlands (MARIN)); Gueydon, S. (Maritime Research Institute Netherlands (MARIN)); Fontanella, A. (Politecnico di Milano); Liu, Y. (Student TU Delft); De Ridder, E. J. (Maritime Research Institute Netherlands (MARIN)); Belloli, M. (Politecnico di Milano); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2020","The hybrid testing method developed by CENER for floating wind turbine scaled tests combining wind and waves (SIL) has been upgraded in order to introduce not only the wind turbine rotor thrust, but also the out-of-plane rotor moments (aerodynamic and gyroscopic). The former ducted-fan has been substituted by a multi-propellers actuator system. The new system has been completely developed, calibrated and used on a test campaign carried out at MARIN's Concept Basin. It was installed on a 1/50 scaled model of the DeepCwind 5MW semisubmersible turbine built by MARIN within the EU MARINET2/Call No.3 under ACTFLOW project framework. The control strategy of the floating turbine was developed by POLIMI and TUDELFT and integrated into the SIL numerical model. The experiment has proved a good behaviour of the enhanced SiL method. It has revealed that the relative importance of gyroscopic moments is low in comparison with the aerodynamic rotor moments in the considered cases. The results also show how rotor moments are particularly important in the floating turbine dynamics in cases with large rotor load imbalances such as situations where one blade fails to pitch.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:569c38d9-495e-49a4-967f-d3337ba4ce01","http://resolver.tudelft.nl/uuid:569c38d9-495e-49a4-967f-d3337ba4ce01","Advanced evaluation of asphalt mortar for induction healing purposes","Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); van de Ven, Martin (TU Delft Pavement Engineering)","","2016","Induction heating technique is an innovative asphalt pavement maintenance method that is applied to inductive asphalt concrete mixes in order to prevent the formation of macro-cracks by increasing locally the temperature of asphalt. The development of asphalt mixes with improved electrical and thermal properties is crucial in terms of producing induction healed mixes. This paper studies the induction healing capacity of asphalt mixes without aggregates as the part of asphalt concrete where inductive particles are dispersed notably contributing to the final response of asphalt pavements. Special attention was given to the characterization of inductive asphalt mixes using experimental techniques and numerical methods. The research reported in this paper is divided into two parts. In the first part, the impact of iron powder as filler-sized inductive particle on the rheological performance of asphalt-filler systems was studied. The mechanical response, the induction heating and healing capacity of asphalt mortar by adding iron powder and steel fibers was evaluated as well. In the second part, the utilization of advanced finite-element analyses for the assessment of the induction heating potential of inductive asphalt mortar with steel fibers are presented. The influential factors of induction mechanism in asphalt mixes are also described. The experimental and numerical findings of this research provided an optimization method for the design of induction healed asphalt concrete mixes and the development of necessary equipment that will enable the implementation of induction technology for healing of asphalt concrete mixes.","Advanced material characterization; Asphalt mortar; Finite element analysis; Induction healing; Iron powder; Steel fibers","en","journal article","","","","","","","","2018-12-01","","","Pavement Engineering","","",""
"uuid:ea241a32-56c8-4623-8ea9-762c7022f76a","http://resolver.tudelft.nl/uuid:ea241a32-56c8-4623-8ea9-762c7022f76a","Stress analysis of pressure-assisted sintering for the double-side assembly of power module","Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Sun, Fenglian (Harbin University of Science and Technology)","","2019","Purpose: Crack and stress distribution on dies are key issues for the pressure-assisted sintering bonding of power modules. The purpose of this research is to build a relationship among stress distributions, sintering sequences and sintering pressures during the sintering processes. Design/methodology/approach: Three sintering sequences, S(a), S(b) and S(c), have been designed for the double-side assembly of power module in this paper. Experiments and finite element method (FEM) analysis are conducted to investigate the crack and stress distribution. Findings: The sintering sequence had significant effects on the crack generation in the chips during the sintering process under 30-MPa pressure. The simulation results revealed that the module sintered by S(a) showed lower chip stress than those by the other two sintering sequences under 30 MPa. In contrast, the chip stress is the highest when the sintering sequence follows S(b). The simulation results explained the crack generation and prolongation in the experiments. S(a) was recommended as the best sintering sequence because of the lowest chip stress and highest yield rate. Originality/value: This study investigated the stress distributions of the double-side sintered power modules under different sintering pressures. Based on the results of experiments and FEM analysis, the best sintering sequence design is provided under various sintering pressures.","Double-side assembly; Power chip; Reliability; Sintering","en","journal article","","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7309d2be-9105-44a4-999d-dad3c0bc67d3","http://resolver.tudelft.nl/uuid:7309d2be-9105-44a4-999d-dad3c0bc67d3","Cyclic behavior of low rise concrete shear walls containing recycled coarse and fine aggregates","Qiao, Qiyun (Beijing University of Technology); Cao, Wanlin (Beijing University of Technology); Qian, Zhiwei (TU Delft Railway Engineering); Li, Xiangyu (Beijing University of Technology); Zhang, Wenwen (Beijing University of Technology); Liu, Wenchao (Beijing University of Technology)","","2017","In this study, the cyclic behaviors of low rise concrete shear walls using recycled coarse or fine aggregates were investigated. Eight low rise Recycled Aggregates Concrete (RAC) shear wall specimens were designed and tested under a cyclic loading. The following parameters were varied: replacement percentages of recycled coarse or fine aggregates, reinforcement ratio, axial force ratio and X-shaped rebars brace. The failure characteristics, hysteretic behavior, strength and deformation capacity, strain characteristics and stiffness were studied. Test results showed that the using of the Recycled Coarse Aggregates (RCA) and its replacement ratio had almost no influence on the mechanical behavior of the shear wall; however, the using of Recycled Fine Aggregates (RFA) had a certain influence on the ductility of the shear wall. When the reinforcement ratio increased, the strength and ductility also increased. By increasing the axial force ratio, the strength increased but the ductility decreased significantly. The encased brace had a significant effect on enhancing the RAC shear walls. The experimental maximum strengths were evaluated with existing design codes, it was indicated that the strength evaluation of the low rise RAC shear walls can follow the existing design codes of the conventional concrete shear walls.","Cyclic behavior; Low rise shear wall; Recycled coarse aggregates; Recycled fine aggregates; Strength evaluation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:895b3a1d-2431-48a1-99ae-1d564e8978c3","http://resolver.tudelft.nl/uuid:895b3a1d-2431-48a1-99ae-1d564e8978c3","Assessment of Flexural Behavior of Pultruded GFRP Laminates for Bridge Deck Applications","Zhang, Youyou (University of California); Mosallam, Ayman (University of California); Liu, Yuqing (Tongji University); Sun, Yun (Tongji University); Xin, H. (TU Delft Steel & Composite Structures); He, Jun (Changsha University of Science and Technology)","","2019","In this study, flexural behaviors of the pultruded composite laminate were evaluated through experiments and theoretical analysis. Three-point flexural tests were performed for pultruded specimens. The typical failure mode for the longitudinal flexural specimens was local crush on the top surface accompanied with local cracks on the bottom surface at midspan. For the transverse tests, the specimens presented a failure pattern with local cracks initiated and propagated at both the top and bottom sides at the midspan. Theoretical analysis, based on micromechanics and macromechanics, was performed to predict flexural deformation and stress distribution of the pultruded laminate beam. Based on the continuum damage model proposed by the authors, this paper mainly investigates the flexural behavior and failure pattern of pultruded lamination. The theoretical and finite element results agreed well with the test results. The results can provide a reference for the design of the structural pultruded modular systems.","","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:e57cc197-bedf-4343-918a-4b6d22387b6c","http://resolver.tudelft.nl/uuid:e57cc197-bedf-4343-918a-4b6d22387b6c","Reversible low-field magnetocaloric effect in Ni-Mn-In-based Heusler alloys","Liu, J. (TU Delft RST/Fundamental Aspects of Materials and Energy; Nanjing University of Science and Technology, Nanjing, China); You, X. (TU Delft RST/Fundamental Aspects of Materials and Energy); Huang, B. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Maschek, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); Gong, Yuanyuan (Nanjing University of Science and Technology, Nanjing, China); Miao, Xuefei (Nanjing University of Science and Technology, Nanjing, China); Xu, Feng (Nanjing University of Science and Technology, Nanjing, China); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2019","Ni-Mn-X (X = In, Sn, and Sb) based Heusler alloys show a strong potential for magnetic refrigeration owing to their large magnetocaloric effect (MCE) associated with first-order magnetostructural transition. However, the irreversibility of the MCE under low field change of 0–1 T directly hinders its application as an efficient magnetic coolant. In this work, we systematically investigate thermal and magnetic properties, crystalline structure and magnetocaloric performance in Ni51−xMn33.4In15.6Vx alloys. With the introduction of V, a stable magnetostructural transition near room temperature is observed between martensite and austenite. An extremely small hysteresis of 2.3 K is achieved for the composition x = 0.3. Due to this optimization, the magneticfield induced structural transition is partially reversible under 0–1 T cycles, resulting in a reversible MCE.
Both magnetic and calorimetric measurements consistently show that the largest value for the reversible magnetic entropy change can reach about 5.1 J kg−1 K−1 in a field change of 0–1 T. A considerable and reversible adiabatic temperature change of −1.2 K by the direct measurement is also observed under a field change of 0–1.1 T. Furthermore, the origin of this small hysteresis is discussed. Based on the lattice parameters, the transformation stretch tensor is calculated, which indicates an improved geometric compatibility between the two phases. Our work greatly improves the MCE performance of Ni-Mn-X-based alloys and make them suitable as realistic magnetic refrigeration materials.
3+ 5d emission in KSrPO4 by VRBE scheme construction","Zhou, Rongfu (Sun Yat-sen University); Lin, Litian (Sun Yat-sen University); Liu, Chunmeng (Sun Yat-sen University); Dorenbos, P. (TU Delft RST/Fundamental Aspects of Materials and Energy); Tao, Ye (Institute of High Energy Physics Chinese Academy of Science); Huang, Yan (Institute of High Energy Physics Chinese Academy of Science); Liang, Hongbin (Sun Yat-sen University)","","2018","A series of Ln-doped KSrPO4 (Ln = Ce3+, Eu3+, Eu2+, Pr3+) phosphors are prepared through a high-temperature solid-state method. The KSrPO4 compound is confirmed to possess a β-K2SO4 structure with the Pnma group by Rietveld refinement, and the temperature-dependent lattice parameters are investigated with the powder X-ray diffraction results at different temperatures. Ce3+ and Eu3+ ions are introduced to probe the crystal field strength (CFS) and the lanthanide site symmetry by using VUV-UV-vis spectroscopy. The temperature-dependent luminescence properties of KSrPO4: Ce3+/Eu2+ exhibit an excellent thermal stability of Ce3+/Eu2+ luminescence. Based on the VUV-UV-vis spectra of Ce3+ and Eu3+ doped KSrPO4, the vacuum referred binding energy (VRBE) scheme is constructed to understand the redox properties of Eu, the 5d energy levels of Pr3+ and the thermal quenching characteristics of Ce3+ and Eu2+ luminescence.","","en","journal article","","","","","","Accepted Author Manuscript","","2019-01-02","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:88a8d24d-0764-4bc1-bfb1-d5e541e56075","http://resolver.tudelft.nl/uuid:88a8d24d-0764-4bc1-bfb1-d5e541e56075","Origin of enhanced Brønsted acidity of NiF-modified synthetic mica-montmorillonite clay","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering; Eindhoven University of Technology); Pidko, E.A. (TU Delft ChemE/Algemeen; Eindhoven University of Technology; ITMO University); Hensen, Emiel J.M. (Eindhoven University of Technology)","","2018","The Brønsted acidity of synthetic mica-montmorillonite (SMM) clay was studied by periodic DFT calculations. Different structural models were compared to determine the Brønsted acidity of protons of the SMM clay based on (i) isomorphous substitution of Si4+ by Al3+ in the tetrahedral silicate layer and additional NiF-doping (ii) in the platelets and (iii) at the edge terminations of the clay platelets. The acid strength was judged from the computed adsorption energies of ammonia and pyridine. The SMM acidity is mainly determined by the composition of the clay platelets. The strongest acidity is found in structures in which octahedral [AlO]+ is replaced by [NiF]+ adjacent to tetrahedral [Si-(OH)-Al] moieties in the tetrahedral layer. For the Brønsted acid sites in the interlayer of SMM, modification with either Ni2+ or F- in the octahedral layers has only a minor influence on the acidity. Our data indicate that Brønsted acid sites, properly modified in the second coordination shell by electron-withdrawing F, in the interlayer and at defect sites at the edges of clay platelets (intralayer sites) can contribute to the enhanced acidity in NiF-modified SMM. Although the predicted acidity of SMM by ammonia adsorption is higher than that of faujasite zeolite, the reactivity judged from propene protonation demonstrates that zeolites are more reactive than clays. This difference seems to be the result of the curved nature of the micropores of zeolites, which stabilizes the transition states for an acid-catalyzed reaction more than flat surfaces of clays do.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a3298a3e-45ee-4e40-a154-70d665ed57d0","http://resolver.tudelft.nl/uuid:a3298a3e-45ee-4e40-a154-70d665ed57d0","Effect of porosity variation strategy on the performance of functionally graded Ti-6Al-4V scaffolds for bone tissue engineering","Zhang, Xiang Yu (Tsinghua University); Fang, Gang (Tsinghua University); Xing, Lei Lei (Tsinghua University); Liu, Wei (Tsinghua University); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2018","Functionally graded scaffold (FGS) is designed to mimic the morphology, mechanical and biological properties of natural bone closely. Porosity variation strategy between different regions in FGS plays a crucial role in influencing its mechanical and biological performance. A combination of modeling tool and scripting language can effectively enhance the ability to optimize FGS design. This study was aimed at determining the effect of porosity variation strategy on the mechanical performance and permeability of the as-built and as-heat-treated FGSs. Ti-6Al-4V FGSs with sizes of 10 × 10 × 15 mm and diamond lattice structures were designed and fabricated by means of selective laser melting. A wide range of porosities in the FGSs (38–75%) were achieved by applying six different porosity variation strategies. The elastic modulus (3.7–5.7 GPa) and yield strength (27.1–84.7 MPa) of the as-built FGSs were found to vary between the corresponding mechanical properties of cancellous bone and cortical bone. Heat treatment reduced the strengths by 13–56%. Porosity variation strategy strongly affected the deformation behavior and failure mechanisms of the FGSs. The sigmoid function-controlled FGSs showed gradual failure behavior and sample Sigk0.5b8 showed superior overall performance. The results demonstrated that porosity variation strategy is a feasible means for tailor design of FGS.","Functionally graded scaffold; Mechanical properties; Permeability; Selective laser melting; Ti-6Al-4V","en","journal article","","","","","","Accepted Author Manuscript","","2020-08-08","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:04d2de5b-ab39-44ba-be2b-562fa0a26075","http://resolver.tudelft.nl/uuid:04d2de5b-ab39-44ba-be2b-562fa0a26075","Benzimidazole linked polymers (BILPs) in mixed-matrix membranes: Influence of filler porosity on the CO2/N2 separation performance","Shan, M. (TU Delft ChemE/Catalysis Engineering; TU Delft ChemE/Transport Phenomena); Seoane, Beatriz (Debye Institute); Pustovarenko, Alexey (King Abdullah University of Science and Technology); Wang, X. (TU Delft ChemE/Catalysis Engineering); Liu, X. (TU Delft ChemE/Catalysis Engineering); Yarulina, I. (King Abdullah University of Science and Technology); Abou-Hamad, Edy (King Abdullah University of Science and Technology); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology)","","2018","The performance of mixed-matrix membranes (MMMs) based on Matrimid® and benzimidazole-linked polymers (BILPs) have been investigated for the separation CO2/N2 and the dependency on the filler porosity. BILPs with two different porosities (BILP-101 and RT-BILP-101) were synthesized through controlling the initial polymerization rate and further characterized by several techniques (DRIFTs, 13C CP/MAS NMR, SEM, TEM, N2 and CO2 adsorption). To investigate the influence of porosity, the two types of fillers were incorporated into Matrimid® to prepare MMMs at varied loadings (8, 16 and 24 wt%). SEM confirmed that both BILP-101 and RT-BILP-101 are well dispered, indicating their good compatibility with the polymeric matrix. The partial pore blockage in the membrane was verified by CO2 adsorption isotherms on the prepared membranes. In the separation of CO2 from a 15:85 CO2:N2 mixture at 308 K, the incorporation of both BILPs fillers resulted in an enhancement in gas permeability together with constant selectivity owing to the fast transport pathways introduced by the porous network. It was noteworthy that the initial porosity of the filler had a large impact in separation permeability. The best improvement was achieved by 24 wt% RT-BILP-101 MMMs, for which the CO2 permeability increases up to 2.8-fold (from 9.6 to 27 Barrer) compared to the bare Matrimid®.","Benzimidazole-linked polymers; CO separation; Mixed-matrix membranes","en","journal article","","","","","","Accepted Author Manuscript","","2020-09-07","","","ChemE/Catalysis Engineering","","",""
"uuid:d07208f0-df3b-4928-874c-5c239f2a54e2","http://resolver.tudelft.nl/uuid:d07208f0-df3b-4928-874c-5c239f2a54e2","Energy recovery from the water cycle: Thermal energy from drinking water","van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Mol, Stefan (Waternet); Giorgi, Sara (Waternet); Ahmad, J.I. (TU Delft Sanitary Engineering; School of Civil and Environmental Engineering; National University of Science and Technology (NUST)); Liu, G. (TU Delft Space Systems Egineering; Oasen); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2018","Greenhouse gas (GHG) emissions contribute to climate change. The public water utility of Amsterdam wants to operate climate neutrally in 2020 to reduce its GHG emissions. Energy recovery from the water cycle has a large potential to contribute to this goal: the recovered energy is an alternative for fossil fuel and thus contributes to the reduction of GHG emissions. One of the options concerns thermal energy recovery from drinking water. In Amsterdam, drinking water is produced from surface water, resulting in high drinking water temperatures in summer and low drinking water temperatures in winter. This makes it possible to apply both cold recovery and heat recovery from drinking water. For a specific case, the effects of cold recovery from drinking water were analyzed on three decisive criteria: the effect on the GHG emissions, the financial implications, and the effect on the microbiological drinking water quality. It is shown that cold recovery from drinking water results in a 90% reduction of GHG emissions, and that it has a positive financial business case: Total Cost of Ownership reduced with 17%. The microbial drinking water quality is not affected, but biofilm formation in the drinking water pipes increased after cold recovery.","Cold recovery; Drinking water; Greenhouse gas emissions; Microbiological water quality; Thermal energy","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:74d8487d-dee0-4448-9ed0-cedcdffc701c","http://resolver.tudelft.nl/uuid:74d8487d-dee0-4448-9ed0-cedcdffc701c","Deriving vegetation drag coefficients in combined wave-current flows by calibration and direct measurement methods","Chen, Hui (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Ni, Yan (Shanghai Waterway Engineering Design and Consulting Co., Ltd.); Li, Yulong (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Liu, Feng (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Ou, Suying (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Su, Min (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Peng, Yisheng (Sun Yat-sen University); Hu, Zhan (Sun Yat-sen University; State and Local Joint Engineering Laboratory of Estuarine Hydraulic Technology; Guangdong Province Engineering Research Center of Coasts); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics); Suzuki, T. (TU Delft Environmental Fluid Mechanics; Flanders Hydraulics Research)","","2018","Coastal vegetation is efficient in damping incident waves even in storm events, thus providing valuable protections to coastal communities. However, large uncertainties lie in determining vegetation drag coefficients (CD), which are directly related to the wave damping capacity of a certain vegetated area. One major uncertainty is related to the different methods used in deriving CD. Currently, two methods are available, i.e. the conventional calibration approach and the new direct measurement approach. Comparative studies of these two methods are lacking to reveal their respective strengths and reduce the uncertainty. Additional uncertainty stems from the dependence of CD on flow conditions (i.e. wave-only or wave-current) and indicative parameters, i.e. Reynolds number (Re) and Keulegan-Carpenter number (KC). Recent studies have obtained CD-Re relations for combined wave-current flows, whereas CD-KC relations in such flow condition remain unexplored. Thus, this study conducts a thorough comparison between two existing methods and explores the CD-KC relations in combined wave-current flows. By a unique revisiting procedure, we show that CD derived by the direct measurement approach have a better overall performance in reproducing both acting force and the resulting wave dissipation. Therefore, a generic CD-KC relation for both wave-only and wave-current flows is proposed using direct measurement approach. Finally, a detailed comparison of these two approaches are given. The comprehensive method comparison and the obtained new CD-KC relation may lead to improved understanding and modelling of wave-vegetation interaction.","Drag coefficient; Flume experiment; Keulegan-Carpenter number; Vegetation; Wave dissipation; Wave-current interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-04-16","","","Environmental Fluid Mechanics","","",""
"uuid:11523521-351a-44e6-802a-34e4c40ccd22","http://resolver.tudelft.nl/uuid:11523521-351a-44e6-802a-34e4c40ccd22","Fluidization dynamics of cohesive Geldart B particles. Part I: X-ray tomography analysis","Ma, Jiliang (Southeast University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Liu, Daoyin (Southeast University); Mudde, R.F. (TU Delft ImPhys/Imaging Physics; TU Delft Executive board); Chen, Xiaoping (Southeast University); Wagner, E.C. (TU Delft ChemE/Afdelingsbureau); Liang, Cai (Southeast University)","","2019","Due to the presence of inter-particle cohesive force, cohesive particles reveal totally different fluidization behaviors as compared to the non-cohesive system. This paper studies the fluidization dynamics of Geldart B particles with varying thermal-induced cohesive forces. Multi-source X-ray tomography was applied to reconstruct 3D temporal images of bubbles, based on which, various bubble properties were extracted. The results show that increasing cohesive force will decrease bubble number while increase bubble size, implying that the presence of cohesive force facilitates bubble coalescence. By examining the bubble size distribution, cohesive force is found to have no effect on the number of median bubbles but greatly influence small and large bubbles. When the cohesive force is strong, the bubbles grow to a considerable size similar with bed dimension, giving rise to slugging near bed surface. With the action of inter-particle cohesive force, particle slug gradually grows by capturing other freely fluidizing particles, finally inducing “whole-bed” slugging. The particle slug may rupture in the rising process, and the bed turns back to normal fluidization. In comparison to normal bubbles, the gas slug has much larger size but far smaller frequency. The rise velocity of gas slug is also very low due to the particle-wall friction and gas-solid momentum dissipation. Therefore, the averaged values of bubble properties dramatically changed as bed temperature exceeds 35 °C. When the temperature attains 45 °C, the cohesive force is so strong that the fluidization completely fails in terms of stable whole-bed slugging.","Bubble; Cohesive particle; Fluidization; Slugging; X-ray tomography","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-05-12","","ImPhys/Imaging Physics","ChemE/Product and Process Engineering","","",""
"uuid:ed3df416-e469-4ebc-b2e3-f4ac2c3e4788","http://resolver.tudelft.nl/uuid:ed3df416-e469-4ebc-b2e3-f4ac2c3e4788","Planetary gears feature extraction and fault diagnosis method based on VMD and CNN","Liu, C. (China University of Mining and Technology); Cheng, Gang (China University of Mining and Technology); Chen, Xihui (Hohai University); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2018","Given local weak feature information, a novel feature extraction and fault diagnosis method for planetary gears based on variational mode decomposition (VMD), singular value decomposition (SVD), and convolutional neural network (CNN) is proposed. VMD was used to decompose the original vibration signal to mode components. The mode matrix was partitioned into a number of submatrices and local feature information contained in each submatrix was extracted as a singular value vector using SVD. The singular value vector matrix corresponding to the current fault state was constructed according to the location of each submatrix. Finally, by training a CNN using singular value vector matrices as inputs, planetary gear fault state identification and classification was achieved. The experimental results confirm that the proposed method can successfully extract local weak feature information and accurately identify different faults. The singular value vector matrices of different fault states have a distinct difference in element size and waveform. The VMD-based partition extraction method is better than ensemble empirical mode decomposition (EEMD), resulting in a higher CNN total recognition rate of 100% with fewer training times (14 times). Further analysis demonstrated that the method can also be applied to the degradation recognition of planetary gears. Thus, the proposed method is an effective feature extraction and fault diagnosis technique for planetary gears.","CNN; Degradation; Feature extraction; Partition; Planetary gear; SVD; VMD","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:20bd1de1-f419-47aa-a093-e7356ce6c20d","http://resolver.tudelft.nl/uuid:20bd1de1-f419-47aa-a093-e7356ce6c20d","Synthesis of severe lattice distorted MoS2 coupled with hetero-bonds as anode for superior lithium-ion batteries","Liu, Yanyan (Yanshan University); Zhang, Long (Yanshan University); Wang, Hongqiang (Hebei University); Yu, C. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yan, Xinlin (Technische Universität Wien); Liu, Qiunan (Yanshan University); Xu, Bo (Yanshan University); Wang, Li min (Yanshan University)","","2018","Exploration of advanced anode materials is a highly relevant research topic for next generation lithium-ion batteries. Here, we report severe lattice distorted MoS2 nanosheets with a flower-like morphology prepared with PEG400 as additive, which acts not only as surfactant but importantly, also as reactant. Notably, in the absence of a carbon-related incorporation/decoration, it demonstrates superior electrochemical performance with a high reversible capacity, a good cycling stability, and an excellent rate capability, originated from the advantages of synthesized MoS2 including enlarged interlayer spacing, 1T-like metallic behavior, and coupling of Mo–O–C (and Mo–O) hetero-bonds. PEG-assisted synthesis is believed applicable to other anode materials with a layered structure for lithium-ion batteries.","Lattice distortion; Lithium-ion batteries; MoS; Oxygen-containing groups; Polyethylene glycol","en","journal article","","","","","","","","2020-02-05","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:305ee0fd-621d-4f72-9066-dc2e243f2702","http://resolver.tudelft.nl/uuid:305ee0fd-621d-4f72-9066-dc2e243f2702","Comparison of levelized cost of energy of superconducting direct drive generators for a 10-MW offshore wind turbine","Abrahamsen, Asger Bech (Technical University of Denmark); Liu, D. (TU Delft DC systems, Energy conversion & Storage); Magnusson, Niklas (SINTEF Energy Resarch); Thomas, Arwyn (Siemens Wind Power); Azar, Ziad (Siemens Wind Power); Stehouwer, Ewoud (DNV-GL); Hendriks, Ben (Knowledge Centre WMC); Van Zinderen, Gerrit-Jan (DNV GL); Deng, Fujin (Aalborg University); Chen, Zhe (Aalborg University); Karwatzki, Dennis (Leibniz Universität); Mertens, Axel (Leibniz Universität); Parker, Max (University of Strathclyde); Finney, Stephen (University of Edinburgh); Polinder, H. (TU Delft Transport Engineering and Logistics)","","2018","A method for comparing the levelized cost of energy (LCoE) of different superconducting drive trains is introduced. The properties of a 10-MW MgB2 superconducting direct-drive generator and the cost break down of the nacelle components are presented and scaled up to a turbine with a rotor diameter of up to 280 m. The partial load efficiency of the generator is evaluated for a constant cooling power of 0, 50, and 100 kW, and the annual energy production is used to determine the impact on the LCoE.","Generators; levelized cost of energy (LCoE); superconductor; wind energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses theDutch legislation to make this work public.","","2018-08-28","","","DC systems, Energy conversion & Storage","","",""
"uuid:0e2963b4-0cbf-4e26-8639-771c84aad308","http://resolver.tudelft.nl/uuid:0e2963b4-0cbf-4e26-8639-771c84aad308","Development of HVDC system-level mechanical circuit breaker model","Liu, S. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2018","The main goal of the paper is the modelling of the mechanical direct current circuit breaker (DC CB) with active current injection that includes different circuit breaker characteristics. System level models provide adequate representation of the circuit breakers for system analysis studies. The performance characteristics of the DC CB in those proposed models replicate the ones of the devices in practice. The developed mechanical circuit breaker model is realized for a 320 kV demonstration circuit in PSCAD environment and its limitations and robustness are analyzed. The performance of the model is investigated by different cases. The obtained results show that the DC CB model can be used with full success for both to simulate DC fault interruptions and to be used for different protection studies.","Circuit breaker performance; HVDC grid; HVDC mechanical circuit breaker; PSCAD modelling; Reclosing","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:9782f79e-3612-4f87-97bd-1944c337e420","http://resolver.tudelft.nl/uuid:9782f79e-3612-4f87-97bd-1944c337e420","Molecular-Scale Hybrid Membranes Derived from Metal-Organic Polyhedra for Gas Separation","Liu, X. (TU Delft ChemE/Catalysis Engineering); Wang, X. (TU Delft ChemE/Catalysis Engineering); Bavykina, A.V. (King Abdullah University of Science and Technology); Chu, L. (TU Delft OLD ChemE/Organic Materials and Interfaces); Shan, M. (TU Delft ChemE/Catalysis Engineering); Sabetghadam, Anahid (Student TU Delft); Miro, H. (TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology)","","2018","The preparation and the performance of mixed matrix membranes based on metal-organic polyhedra (MOPs) are reported. MOP fillers can be dispersed as discrete molecular units (average 9 nm in diameter) when low filler cargos are used. In spite of the low doping amount (1.6 wt %), a large performance enhancement in permeability, aging resistance, and selectivity can be achieved. We rationalize this effect on the basis of the large surface to volume ratio of the filler, which leads to excellent dispersion at low concentrations and thus alters polymer packing. Although membranes based only on the polymer component age quickly with time, the performance of the resulting MOP-containing membranes meets the commercial target for postcombustion CO2 capture for more than 100 days.","cage; gas separation; hybrid membrane; metal-organic polyhedron; molecular scale","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:d8ceaf3c-ade5-44c0-9cce-4867e9e442c7","http://resolver.tudelft.nl/uuid:d8ceaf3c-ade5-44c0-9cce-4867e9e442c7","All-in-one improvement toward Li6PS5Br-Based solid electrolytes triggered by compositional tune","Zhang, Zhixia (Yanshan University); Zhang, Long (Yanshan University); Yan, Xinlin (Technische Universität Wien); Wang, Hongqiang (Hebei University); Liu, Yanyan (Yanshan University); Yu, C. (TU Delft RST/Storage of Electrochemical Energy); Cao, Xiaoting (Yanshan University); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Wen, Bin (Yanshan University)","","2019","Sulfide solid electrolytes possess high ionic conductivity and moderate dendrite suppression capability, but rather poor compatibility against oxide cathodes and metallic Li. Here, we report O-doped Li6PS5Br as solid electrolyte synthesized by a facile solid-state sintering. Different from other O-incorporated sulfides, the O atoms in Li6PS5-xOxBr prefer to substitute the S atoms at free S2− sites rather than those at the PS4 tetrahedra. Remarkably, without deteriorating the ionic conductivity, this inorganic solid electrolyte with O doping exhibits comprehensively enhanced properties including excellent dendrite suppression capability, superior electrochemical and chemical stability against Li metal as well as high voltage oxide cathodes, and good air stability. Li(Ni0.8Co0.1Mn0.1)O2 and LiCoO2-based all-solid-state batteries with Li6PS4.7O0.3Br electrolyte deliver high specific capacity, superior rate capability, and outstanding cycling stability accompanied with low interfacial resistivity. This type of inorganic solid electrolytes is promising for all-solid-state batteries with high energy density.","All-solid-state batteries; Argyrodites; Interfacial stability; Li dendrite suppression; Solid electrolytes","en","journal article","","","","","","","","2020-11-13","","","RST/Storage of Electrochemical Energy","","",""
"uuid:aeff154e-1a07-4d50-972c-25f0ccff1a78","http://resolver.tudelft.nl/uuid:aeff154e-1a07-4d50-972c-25f0ccff1a78","Complete genome sequence of the Escherichia coli phage Ayreon","Vlot, Marnix (Wageningen University & Research); Luzia de Nobrega, F. (TU Delft BN/Stan Brouns Lab); Wong, Che F.A. (Wageningen University & Research); Liu, Yue (Wageningen University & Research); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Wageningen University & Research)","","2018","We report the whole-genome sequence of a new Escherichia coli temperate phage, Ayreon, comprising a linear double-stranded DNA (dsDNA) genome of 44,708 bp.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:52b60b9d-5487-4898-8589-1d92a69c8200","http://resolver.tudelft.nl/uuid:52b60b9d-5487-4898-8589-1d92a69c8200","Cohesive zone and interfacial thick level set modeling of the dynamic double cantilever beam test of composite laminate","Liu, Y. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design)","","2018","The mode-I interlaminar fracture toughness of composite laminates under different loading rates can be measured by the double cantilever beam (DCB) test. It is observed from the DCB test of a unidirectional PEEK/carbon composite laminate that as the loading rate increases from quasi-static to dynamic range: (1) delamination crack growth exhibits a transition from stable to unstable (“stick/slip”) and back to a stable type; (2) the interlaminar fracture toughness is not constant as the loading rate increases. In this paper, two numerical approaches are used to reproduce the experimental observations: a cohesive zone model (CZM) and the interfacial thick level set (ITLS) model. CZM simulations with rate-independent and rate-dependent cohesive laws are carried out. A new version of the ITLS is introduced with a phenomenological relation between crack speed and energy release rate. The simulation results of the CZM and the ITLS model are compared with the real DCB test data to evaluate the capability of these two types of models. It is found that the used CZM can reproduce rate-dependence of the fracture energy, but not the stick/slip behavior. The ITLS can capture the stick/slip behavior, but needs different parameter sets for different loading rates.","Cohesive zone model; Crack arrest; Double cantilever beam; Rate dependency; Thick level set","en","journal article","","","","","","","","2020-07-20","","Materials- Mechanics- Management & Design","Applied Mechanics","","",""
"uuid:2b329482-0f77-4f1c-bbca-3a98a54d659a","http://resolver.tudelft.nl/uuid:2b329482-0f77-4f1c-bbca-3a98a54d659a","Further developing the bacterial growth potential method for ultra-pure drinking water produced by remineralization of reverse osmosis permeate","Sousi, Mohaned (IHE Delft Institute for Water Education; University of Twente); Liu, G. (TU Delft Sanitary Engineering; Oasen); Salinas-Rodriguez, Sergio G. (IHE Delft Institute for Water Education); Knezev, Aleksandra (Het Waterlaboratorium); Blankert, Bastiaan (Oasen); Schippers, Jan C. (IHE Delft Institute for Water Education); van der Meer, W.G.J. (University of Twente; Oasen); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2018","Ensuring the biological stability of drinking water is essential for modern drinking water supply. To understand and manage the biological stability, it is critical that the bacterial growth in drinking water can be measured. Nowadays, advance treatment technologies, such as reverse osmosis (RO), are increasingly applied in drinking water purification where the produced water is characterized by low levels of nutrients and cell counts. The challenge is, therefore, how to measure the low bacterial growth potential (BGP) of such ultra-pure water using the available methods which were originally developed for conventionally treated drinking water. In this study, we proposed a protocol to assess BGP of ultra-pure drinking water produced by RO and post-treatment (including remineralization). Natural bacterial consortium from conventional drinking water was added to all water samples during this study to ensure the presence of a wide range of bacterial strains. The method development included developing an ultra-pure blank with high reproducibility to lower the detection limit of the BGP method (50 ± 20 × 103 intact cells/mL) compared with conventional blanks such as bottled spring water, deep groundwater treated by aeration and slow sand filtrate of surface water supply. The ultra-low blank consists of RO permeate after adjusting its pH and essential mineral content under controlled laboratory conditions to ensure carbon limitation. Regarding the test protocol, inoculum concentrations of >10 × 103 intact cells/mL may have a significant contribution to the measured low levels of BGP. Pasteurization of water samples before measuring BGP is necessary to ensure reliable bacterial growth curves. The optimized method was used to assess BGP of ultra-pure drinking water produced by RO membranes and post-treatment (including remineralization), where the BGP has decreased more than 6-fold to a level of 90 ± 20 × 103 intact cells/mL compared with conventionally treated water (630 ± 70 × 103 intact cells/mL).","Bacterial growth potential (BGP); Flow cytometry (FCM); Remineralization; Reverse osmosis (RO); Ultra-pure blank","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d30fd0ff-f7c3-49cc-9ba9-a7c81a73bf0b","http://resolver.tudelft.nl/uuid:d30fd0ff-f7c3-49cc-9ba9-a7c81a73bf0b","A methodological framework of travel time distribution estimation for urban signalized arterial roads","Zheng, Fangfang (Southwest Jiaotong University); van Zuylen, H.J. (TU Delft Transport and Planning; Southwest Jiaotong University); Liu, Xiaobo (Southwest Jiaotong University)","","2017","Urban travel times are rather variable as a result of a lot of stochastic factors both in traffic flows, signals, and other conditions on the infrastructure. However, the most common way both in literature and practice is to estimate or predict only expected travel times, not travel time distributions. By doing so, it fails to provide full insight into the travel time dynamics and variability on urban roads. Another limitation of this common approach is that the effect of traffic measures on travel time reliability cannot be evaluated. In this paper, an analytical travel time distribution model is presented especially for urban roads with fixed-time controlled intersections by investigating the underlying mechanisms of urban travel times. Different from mean travel time models or deterministic travel time models, the proposed model takes stochastic properties of traffic flow, stochastic arrivals and departures at intersections, and traffic signal coordination between adjacent intersections into account, and therefore, is able to capture the delay dynamics and uncertainty at intersections. The queue spillback phenomenon is explicitly taken into account by applying shockwave theory in a probabilistic way. The proposed model was further validated with both VISSIM simulation data and field GPS data collected in a Chinese city. The results demonstrate that the travel time distributions derived from the analytical model can well represent those from VISSIM simulation. The comparison with field GPS data shows that the model estimated link and trip travel time distributions can also represent the field travel time distributions, though a small discrepancy can be observed in both middle range travel times and higher travel times.","Fixed-time control; Stochastic traffic processes; Travel time distribution; Urban traffic","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:918eae0b-9e3f-4bec-884f-74f4e04dab09","http://resolver.tudelft.nl/uuid:918eae0b-9e3f-4bec-884f-74f4e04dab09","Generating sparse self-supporting wireframe models for 3D printing using mesh simplification","Liu, Xiuping (Dalian University of Technology); Lin, Liping (Dalian University of Technology); Wu, J. (TU Delft Materials and Manufacturing); Wang, W. (TU Delft Materials and Manufacturing; Dalian University of Technology); Yin, Baocai (Dalian University of Technology); Wang, C.C. (TU Delft Materials and Manufacturing)","","2018","Wireframe models are becoming a popular option in 3D printing. Generating sparse wireframe models using classic mesh simplification methods leads to models that require a lot of support structures in the layer-upon-layer additive process. In this paper we present a mesh simplification method that takes into account the overhang angle. Specifically, we propose a metric for self-supportability. By combining this novel metric together with the classic error metrics for mesh simplification, our method generates sparse wireframe models that need much less supports. Moreover, the operations of vertex position optimization and edge flipping are used to further increase self-supportability of the wireframe models. We demonstrate the effectiveness of the proposed method on a number of 3D models.","3D printing; Mesh simplification; Self-supporting; Wireframe models","en","journal article","","","","","","Accepted author manuscript","","2020-06-15","","","Materials and Manufacturing","","",""
"uuid:cf8e91f5-3df1-4fb9-8601-e63702a00de3","http://resolver.tudelft.nl/uuid:cf8e91f5-3df1-4fb9-8601-e63702a00de3","Microstructure and hardness of SAC305 and SAC305-0.3Ni solder on Cu, high temperature treated Cu, and graphene-coated Cu substrates","Li, Shengli (Harbin University of Science and Technology); Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Cai, Hongming (Harbin University of Science and Technology); Sun, Fenglian (Harbin University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","In this study, SAC305 and SAC305-0.3Ni solder balls were soldered onto Cu, high temperature treated Cu (H-Cu) and graphene coated Cu (G-Cu) substrates, respectively. The microstructure, the interfacial reaction, and the hardness of the solder joints were investigated. The interfacial intermetallic compound (IMC) is Cu6Sn5 in the solder joints of SAC305/Cu, SAC305/H-Cu, and SAC305/G-Cu. With the addition of 0.3 wt% Ni in the SAC305 solder, the interfacial IMC on Cu, H-Cu, and G-Cu transforms from Cu6Sn5 into (Cu, Ni)6Sn5. The thickness of Cu6Sn5 and (Cu, Ni)6Sn5 is the lowest on G-Cu substrate. Meanwhile, smooth (Cu, Ni)6Sn5 interfacial IMC layers are obtained in SAC305-0.3Ni/H-Cu and SAC305-0.3Ni/G-Cu solder joints. Both the SAC305 and the SAC305-0.3Ni solder bulks have the highest β-Sn content and the lowest concentration of eutectic phases on G-Cu substrate. Consequently, the hardness of the solder bulks on G-Cu is lower than that on the other two kinds of substrates.","Graphene; Hardness; Microstructure; Sn-Ag-Cu; Soldering","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f1c49363-63b0-4573-a827-736c0f177a73","http://resolver.tudelft.nl/uuid:f1c49363-63b0-4573-a827-736c0f177a73","Towards High Performance Metal–Organic Framework–Microporous Polymer Mixed Matrix Membranes: Addressing Compatibility and Limiting Aging by Polymer Doping","Sabetghadam, A. (TU Delft ChemE/Catalysis Engineering); Liu, X. (TU Delft ChemE/Catalysis Engineering); Orsi, Angelica F. (University of St Andrews); Lozinska, Magdalena M. (University of St Andrews); Johnson, Timothy (Johnson Matthey Technology Center); Jansen, K.M.B. (TU Delft Emerging Materials); Wright, Paul A. (University of St Andrews); Carta, Mariolino (Swansea University); McKeown, Neil B. (University of Edinburgh); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology)","","2018","Membrane separation for gas purification is an energy-efficient and environment-friendly technology. However, the development of high performance membranes is still a great challenge. In principle, mixed matrix membranes (MMMs) have the potential to overcome current materials limitations, but in practice there is no straightforward method to match the properties of fillers and polymers (the main components of MMMs) in such a way that the final membrane performance reflects the high performance of the microporous filler and the processability of the continuous polymer phase. This issue is especially important when high flux polymers are utilized. In this work, we demonstrate that the use of small amounts of a glassy polymer in combination with high performance PIM-1 allow for the preparation of metal–organic framework (MOF)-based MMMs with superior separation properties and low aging rates under humid conditions, meeting the commercial target for post-combustion CO2 capture.","compatibility; gas separation; membranes; metal–organic frameworks; microporous polymer","en","journal article","","","","","","Accepted Author Manuscript","","2018-08-08","","","ChemE/Catalysis Engineering","","",""
"uuid:545c05a9-8e95-43af-b2eb-9d1b08c35755","http://resolver.tudelft.nl/uuid:545c05a9-8e95-43af-b2eb-9d1b08c35755","The effect of ageing on chemical and mechanical properties of asphalt mortar","Jing, R. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology); Erkens, S. (TU Delft Pavement Engineering)","","2018","Asphalt mortar is a mixture of bitumen, filler, and sand. Mortar plays an important role in asphalt mixtures as it serves as the adhesive between the coarser aggregates. Due to the effect of bitumen ageing, the chemical and mechanical properties of asphalt mortar evolve with time. The mortar becomes more brittle and prone to cracking, thus leading to inferior pavement performance. In this study, Fourier transform infrared (FTIR) spectrometry was used to quantify changes in the chemical functional groups related to ageing and to calculate the carbonyl and sulfoxide indices. In addition, frequency sweep tests and uniaxial tension tests were performed by means of dynamic shear rheometer (DSR) tests to determine evolution of the stiffness and strength due to ageing. Two different oven ageing protocols were used to evaluate the effect of fine mineral particles on bitumen ageing. The protocols differed with respect to the order of ageing and mixing of the constituents. The results showed that both the chemical and mechanical properties of mortars significantly changed with ageing. Specifically, the carbonyl index, stiffness, and strength of the mortar increased. Under the same ageing conditions, a higher ageing level was observed for mortars produced by first mixing and then ageing compared to the mortars produced by mixing aged bitumen with filler and sand. This could be due to the presence of sand and filler particles, which resulted in an increased length of diffusion paths and consequently a slower ageing process.","Ageing; Asphalt mortar; FTIR; Stiffness; Strength","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:e67b25c9-bf72-4f6e-a1e8-472c08df8786","http://resolver.tudelft.nl/uuid:e67b25c9-bf72-4f6e-a1e8-472c08df8786","Loosely-displaced geostationary orbits with hybrid sail propulsion","Liu, Yuan (Harbin Engineering University); Heiligers, M.J. (TU Delft Astrodynamics & Space Missions); Ceriotti, Matteo (University of Glasgow)","","2018","To overcome the congestion of geostationary orbit slots, previous work proposed to use vertically-displaced, non-Keplerian geostationary orbits by means of continuous low-thrust propulsion in the form of hybrid solar sail and solar electric propulsion (hybrid sail). This work extends and generalizes that concept by loosening the position constraint and introducing a station-keeping box. Sub-optimal orbits are first found with an inverse method that still satisfy the geostationary position constraint (i.e., no station-keeping box), which will be referred to as ideal displaced geostationary orbits. For these sub-optimal orbits, it is found that the hybrid sail saves propellant mass compared to the pure solar electric propulsion case: for solar sail lightness numbers of up to a value of 0.2 and the most favorable time during the year (i.e., at summer solstice), the hybrid sail saves up to 71.6% propellant mass during a single day compared to the use of pure solar electric propulsion. Subsequently, the sub-optimal orbits are used as a first-guess for a direct optimization algorithm based on Gauss pseudospectral transcription, which loosens the position constraint. This enables a more flexible trajectory around the ideal displaced geostationary orbit and lets the solar sail contribute more efficiently to the required acceleration. It therefore leads to a further propellant savings of up to 73.8%. Finally, the mass budget shows that by using by using far-term solar sail technology, the hybrid propulsion system enables an evident reduction in the required initial mass of the spacecraft for a given payload mass with a relatively long mission duration.","Displaced geostationary orbits; Hybrid propulsion; Orbit optimization; Solar sail","en","journal article","","","","","","","","2020-06-06","","","Astrodynamics & Space Missions","","",""
"uuid:b30e058e-6d2f-4988-b212-749abc92d39f","http://resolver.tudelft.nl/uuid:b30e058e-6d2f-4988-b212-749abc92d39f","Seismic interferometry facilitating the imaging of shallow shear-wave reflections hidden beneath surface waves","Liu, J. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics)","","2018","High-resolution reflection seismics is a powerful tool that can provide the required resolution for subsurface imaging and monitoring in urban settings. Shallow seismic reflection data acquired in soil-covered sites are often contaminated by source-coherent surface waves and other linear moveout noises (LMON) that might be caused by, e.g., anthropogenic sources or harmonic distortion in vibroseis data. In the case of shear-wave seismic reflection data, such noises are particularly problematic as they overlap the useful shallow reflections. We have developed new schemes for suppressing such surface-wave noise and LMON while still preserving shallow reflections, which are of great interest to high-resolution near-surface imaging. We do this by making use of two techniques. First, we make use of seismic interferometry to retrieve predominantly source-coherent surface waves and LMON. We then adaptively subtract these dominant source-coherent surface waves and LMON from the seismic data in a separate step. We illustrate our proposed method using synthetic and field data. We compare results from our method with results from frequency–wave-number (f-k) filtering. Using synthetic data, we show that our schemes are robust in separating shallow reflections from source-coherent surface waves and LMON even when they share very similar velocity and frequency contents, whereas f-k filtering might cause undesirable artefacts. Using a field shear-wave reflection dataset characterised by overwhelming LMON, we show that the reflectors at a very shallow depth can be imaged because of significant suppression of the LMON due to the application of the scheme that we have developed.","","en","journal article","","","","","","","","2019-06-30","","","Applied Geophysics and Petrophysics","","",""
"uuid:15ae0946-edfd-4a4d-804b-c24ed63e1a56","http://resolver.tudelft.nl/uuid:15ae0946-edfd-4a4d-804b-c24ed63e1a56","In Situ Fabrication and Reactivation of Highly Selective and Stable Ag Catalysts for Electrochemical CO2 Conversion","Ma, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Shen, J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre); Kas, R. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2018","In this work, the highly selective and stable electrocatalytic reduction of CO2 to CO on nanostructured Ag electrocatalysts is presented. The Ag electrocatalysts are synthesized by the electroreduction of Ag2CO3 formed by in situ anodic-etching of Ag foil in a KHCO3 electrolyte. After 3 min of this etching treatment, the Ag2CO3-derived nanostructured Ag electrocatalysts are capable of producing CO with up to 92% Faradaic efficiency at an overpotential as low as 290 mV, which surpasses all of the reported Ag catalysts at identical conditions to date. In addition, the anodic-etched Ag retained ∼90% catalytic selectivity in the electroreduction of CO2 to CO for more than 100 h. The Ag2CO3-derived Ag is able to facilitate the activation of CO2 via reduction of the activation energy barrier of the initial electron transfer and provide an increased number of active sites, resulting in the dramatically improved catalytic activity for the reduction of CO2 to CO.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:112fce9e-2a79-4831-87f8-3aa73424778a","http://resolver.tudelft.nl/uuid:112fce9e-2a79-4831-87f8-3aa73424778a","Carrot and stick: A game-theoretic approach to motivate cooperative driving through social interaction","Zimmermann, Markus (Technische Universität München); Schopf, David (Technische Universität München); Lütteken, Niklas (Technische Universität München); Liu, Zhengzhenni (Technische Universität München); Storost, Konrad (Technische Universität München); Baumann, Martin (University of Ulm); Happee, R. (TU Delft Intelligent Vehicles); Bengler, Klaus J. (Technische Universität München)","","2018","This driving-simulator study aimed to motivate cooperative lane-change maneuvers in automated freeway driving under human supervision. Two interaction concepts were designed based on game theory. These concepts supported drivers’ cooperation by applying both rewards and sanctions as the proverbial carrot and stick. The social-status interaction rewards gap creation by revealing a driver's prior cooperative behavior to other road users. The trade-off interaction introduces a system in which points compensate time loss and gain. Both concepts were evaluated from the left- and right-lane perspective, framing 39 participants to “be fast.” Drivers in the right lane asked those in the left lane to open a gap to overtake, mediated through a vehicle-to-vehicle connection and an augmented-reality user interface. Only 67% of the merging requests were accepted by left-lane drivers due to time pressure in the baseline condition. The social-status interaction enhanced acceptance to 86% on average and even to 97% for requests made by drivers marked as cooperative. The trade-off interaction enhanced acceptance to 87% as drivers gained a virtual benefit for losing one second. The subjective evaluation was positive for all conditions, and the social concepts were rated significantly higher on items associated with social relationships. Both social interaction concepts motivate cooperation and shape drivers’ behavior even under time pressure. Social mechanisms power maneuver-based local cooperation between traffic participants. It is expected that involving drivers in cooperative maneuvers has a beneficial effect on traffic performance, which microscopic traffic flow modeling should validate next. Gamified interaction and interface elements involve drivers of automated vehicles into strategic decisions and could help to mitigate automation effects. Since they don't “drive” any more, cooperative interaction concepts now make them “play driving” and formulate pleasing strategies.","Connected vehicles; Cooperative driving; Game theory; Interaction; Lane changing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-08-04","","","Intelligent Vehicles","","",""
"uuid:083af444-2039-4595-bc5a-7917090c4dd9","http://resolver.tudelft.nl/uuid:083af444-2039-4595-bc5a-7917090c4dd9","Influence of surface pretreatment on phosphate conversion coating on AZ91 Mg alloy","Zhang, Chunyan (Harbin Engineering University; Chinese Academy of Sciences); Liu, Bin (Harbin Engineering University); Yu, Baoxing (Chinese Academy of Sciences); Lu, Xiaopeng (Northeastern University); Wei, Yong (Harbin Engineering University); Zhang, Tao (Harbin Engineering University; Chinese Academy of Sciences; Northeastern University); Mol, J.M.C. (TU Delft (OLD) MSE-6); Wang, Fuhui (Northeastern University)","","2019","Surface pretreatment is generally applied before application of protective coatings on Mg alloys, which influences surface microstructure and electrochemical activity of the substrate and has an effect on the coating properties. The effect of various pretreatment processes (sand-blasting, grinding and polishing) on the microstructure and corrosion protection performance of phosphate conversion coating (PCC) on AZ91D Mg alloy was investigated in the present study. Sand-blasting cleaning significantly increases the surface roughness and electrochemical activity of the substrate, leading to formation of a porous PCC with inferior corrosion protection performance. In the case of ground/polished Mg alloy, the uniformity and corrosion resistance of the resultant conversion coating are mainly related to the surface roughness. Relatively low surface roughness of the substrate facilitates formation of a corrosion protective PCC.","Corrosion protection; Mg alloy; Phosphate conversion coating; Surface pretreatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-06-22","","","(OLD) MSE-6","","",""
"uuid:7d912f69-47d5-4f2e-9f8c-b6a6721adb55","http://resolver.tudelft.nl/uuid:7d912f69-47d5-4f2e-9f8c-b6a6721adb55","Indentation hardness, plasticity and initial creep properties of nanosilver sintered joint","Zhang, H. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Liu, Y. (TU Delft Electronic Components, Technology and Materials; Harbin University of Science and Technology); Wang, Lingen (Boschman Technologies); Sun, Fenglian (Harbin University of Science and Technology); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","The nanoindentation test was conducted in this paper to investigate the indentation hardness, plasticity and initial creep properties of pressure sintered nanosilver joint at various test temperatures. The effects of strain rate on the indentation hardness were first investigated. Then yield stress of nanosilver sintered joint was studied in various pressures sintered joints and the corresponding plastic stress-strain constitutive equations were gained. The maximum indentation depth of nanosilver sintered joint was obviously affected by the test temperature and sintering pressure. The indentation hardness of nanosilver sintered joint decreased with increasing test temperature from 140 to 200°C, which can be attributed to the increased amount of thermal vacancies at high temperatures. However, the indentation modulus exhibited decrease trend as the temperature increased. It is suggested that the distance between adjacent atoms was enlarged at elevated temperatures and furtherly resulted in the decrease of indentation modulus. In addition, the increased sintering pressure from 5 to 30 MPa improved the indentation hardness and modulus of sintered joint. The initial creep was observed in nanosilver sintered joint at temperatures ranged from 140 to 200°C. The increase of sintering pressure improved the resistance to creep of nanosilver sintered joint.","Indentation hardness; Initial creep; Nanosilver; Plastic deformation; Sintering pressure; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8740758d-4c08-4369-bc6f-d5cb4fc4f0af","http://resolver.tudelft.nl/uuid:8740758d-4c08-4369-bc6f-d5cb4fc4f0af","Support-free volume printing by multi-axis motion","Dai, C. (TU Delft Materials and Manufacturing); Wang, C.C. (TU Delft Materials and Manufacturing); Wu, Chenming (Tsinghua University); Lefebre, Sylvain (INRIA); Fang, G. (TU Delft Materials and Manufacturing); Liu, Yong-Jin (Tsinghua University)","","2018","This paper presents a new method to fabricate 3D models on a robotic printing system equipped with multi-axis motion. Materials are accumulated inside the volume along curved tool-paths so that the need of supporting structures can be tremendously reduced - if not completely abandoned - on all models. Our strategy to tackle the challenge of tool-path planning for multi-axis 3D printing is to perform two successive decompositions, first volume-to-surfaces and then surfaces-to-curves. The volume-to-surfaces decomposition is achieved by optimizing a scalar field within the volume that represents the fabrication sequence. The field is constrained such that its isovalues represent curved layers that are supported from below, and present a convex surface affording for collision-free navigation of the printer head. After extracting all curved layers, the surfaces-to-curves decomposition covers them with tool-paths while taking into account constraints from the robotic printing system. Our method successfully generates tool-paths for 3D printing models with large overhangs and high-genus topology. We fabricated several challenging cases on our robotic platform to verify and demonstrate its capabilities.","3D printing; Multi-axis motion; Supporting structures; Tool-path generation","en","journal article","","","","","","Accepted author manuscript","","","","","Materials and Manufacturing","","",""
"uuid:654cc721-28d6-47c8-b9bf-c60742c291e2","http://resolver.tudelft.nl/uuid:654cc721-28d6-47c8-b9bf-c60742c291e2","Evaluation of WRF Modeling in Relation to Different Land Surface Schemes and Initial and Boundary Conditions: A Snow Event Simulation Over the Tibetan Plateau","Liu, L. (Chinese Academy of Sciences); Ma, Yaoming (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Zhang, Xinzhong (Beijing Presky Technology Co, Beijing); Ma, Weiqiang (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System)","","2019","Snowfall and the subsequent evolution of the snowpack play important roles in the cryospheric and hydrospheric processes that occur on the Tibetan Plateau (TP). Current literature provides scarce evidence covering the sensitivity of solid precipitation to land surface physics schemes and initial and boundary conditions on the TP. Six numerical experiments using the Weather Research and Forecasting (WRF) model were conducted to simulate a snow event over the TP in March 2017. Different land surface physics schemes, that is, Community Land Model (CLM), Noah, and Noah-MP, and initial and boundary conditions provided by atmospheric reanalysis data sets, that is, the National Centers for Environmental Prediction-FNL and ERA-Interim data sets, were applied in sensitivity analyses. The observed near-surface air temperature, snow depth, and snow water equivalent (SWE) values were used to evaluate each model's performance. The results demonstrate that (1) the sensitivity of the near-surface air temperature to land surface physics schemes is greater than it is to both the initial and boundary conditions; (2) the best performance is achieved when applying WRF + CLM with a root-mean-square error of 8.4 °C, a mean absolute deviation of 7.3 °C, a correlation coefficient of 0.75, and a spatial correlation coefficient of ~0.5 to air temperature estimates. A potentially important factor appears to be the advanced parametrization of albedo in the CLM scheme; (3) the advanced land surface schemes in the WRF model describes the physics of cryospheric and hydrospheric processes in detail, and the land surface response is determined by multiple variables and parameters in such schemes. The spatial patterns in such variables and parameters determined the detailed spatial variabilities observed in snow cover and amount and its temporal evolution. The WRF model overestimates, however, the intensity and extent of snow depth and SWE; (4) simulations of solid precipitation are more accurate when applying CLM or Noah-MP + ERA-Interim in WRF; and (5) WRF performance with regard to SWE estimates clearly depends upon the discrimination of lighter from heavier snowfall.","albedo; LSM; snowfall; Tibetan Plateau; WRF","en","journal article","","","","","","","","2019-06-22","","","Optical and Laser Remote Sensing","","",""
"uuid:179832e2-6e82-4e73-84f6-c5cbbf75ab03","http://resolver.tudelft.nl/uuid:179832e2-6e82-4e73-84f6-c5cbbf75ab03","Improved aerosol correction for OMI tropospheric NO2 retrieval over East Asia: Constraint from CALIOP aerosol vertical profile","Liu, Mengyao (Peking University; Royal Netherlands Meteorological Institute (KNMI)); Lin, Jintai (Peking University); Folkert Boersma, K. (Royal Netherlands Meteorological Institute (KNMI); Wageningen University & Research); Pinardi, Gaia (Royal Belgian Institute for Space Aeronomy (BIRA-IASB)); Wang, Yang (Max Planck Institute of Chemistry); Chimot, J.J. (TU Delft Atmospheric Remote Sensing); Wagner, Thomas (Max Planck Institute of Chemistry); Xie, Pinhua (Chinese Academy of Sciences; University of Science and Technology of China); Eskes, Henk (Royal Netherlands Meteorological Institute (KNMI))","","2019","Satellite retrieval of vertical column densities (VCDs) of tropospheric nitrogen dioxide (NO2) is critical for NOx pollution and impact evaluation. For regions with high aerosol loadings, the retrieval accuracy is greatly affected by whether aerosol optical effects are treated implicitly (as additional effective clouds) or explicitly, among other factors. Our previous POMINO algorithm explicitly accounts for aerosol effects to improve the retrieval, especially in polluted situations over China, by using aerosol information from GEOS-Chem simulations with further monthly constraints by MODIS/Aqua aerosol optical depth (AOD) data. Here we present a major algorithm update, POMINO v1.1, by constructing a monthly climatological dataset of aerosol extinction profiles, based on level 2 CALIOP/CALIPSO data over 2007-2015, to better constrain the modeled aerosol vertical profiles. We find that GEOS-Chem captures the month-to-month variation in CALIOP aerosol layer height (ALH) but with a systematic underestimate by about 300-600 m (season and location dependent), due to a too strong negative vertical gradient of extinction above 1 km. Correcting the model aerosol extinction profiles results in small changes in retrieved cloud fraction, increases in cloud-top pressure (within 2 %-6 % in most cases), and increases in tropospheric NO2 VCD by 4 %-16 % over China on a monthly basis in 2012. The improved NO2 VCDs (in POMINO v1.1) are more consistent with independent ground-based MAX-DOAS observations (R2=0.80, NMB =-3.4 %, for 162 pixels in 49 days) than POMINO (R2=0.80, NMB =-9.6 %), DOMINO v2 (R2=0.68, NMB =-2.1 %), and QA4ECV (R2=0.75, NMB =-22.0 %) are. Especially on haze days, R2 reaches 0.76 for POMINO v1.1, much higher than that for POMINO (0.68), DOMINO v2 (0.38), and QA4ECV (0.34). Furthermore, the increase in cloud pressure likely reveals a more realistic vertical relationship between cloud and aerosol layers, with aerosols situated above the clouds in certain months span id=page2 instead of always below the clouds. The POMINO v1.1 algorithm is a core step towards our next public release of the data product (POMINO v2), and it will also be applied to the recently launched S5P-TROPOMI sensor.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:1dcbc04e-4589-40b9-9c51-6543d859434f","http://resolver.tudelft.nl/uuid:1dcbc04e-4589-40b9-9c51-6543d859434f","Investigation of the potential use of calcium alginate capsules for self-healing in porous asphalt concrete","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabaković, Amir (Dublin Institute of Technology; University College Dublin); Schlangen, E. (TU Delft Materials and Environment)","","2019","Improving the healing capacity of asphalt is proving to be an effective method to prolong the service life of an asphalt pavement. The calcium alginate capsules encapsulating rejuvenator have been developed and proved to provide successful localized crack healing in asphalt mastic. However, it is not known whether this self-healing asphalt system will improve healing capacity of a full asphalt mix. To this aim, this paper reports on study which investigate effect of the calcium alginate capsules onto self-healing capacity of the porous asphalt mix. X-ray computed tomography (XCT) was used to visualize the distribution of the capsules in porous asphalt. The effect of the capsules on fracture resistance of porous asphalt concrete was studied by semi-circular bending (SCB) tests. A semi-circular bending and healing programme was carried out to evaluate the healing effect of these capsules in porous asphalt concrete. Indirect Tensile Stiffness Modulus (ITSM) tests were employed in order to investigate the influence of the capsules on the stiffness of the porous asphalt concrete. The results indicate that incorporating calcium alginate capsules significantly improve the healing capacity of porous asphalt concrete without compromising its performance.","Asphalt; Calcium alginate capsules; Rejuvenation; Self-healing","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:aa175f43-0430-4105-8cf2-92705c026842","http://resolver.tudelft.nl/uuid:aa175f43-0430-4105-8cf2-92705c026842","Fluidization dynamics of cohesive Geldart B particles. Part II: Pressure fluctuation analysis","Ma, Jiliang (Southeast University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Liu, Daoyin (Southeast University); Mudde, R.F. (TU Delft Executive board); Chen, Xiaoping (Southeast University); Pan, Suyang (Southeast University); Liang, Cai (Southeast University)","","2019","The increase of inter-particle cohesive force greatly changes the fluidization dynamics, finally leading to the partial or complete failure of fluidization. However, few studies concern such transition process. This paper investigates the fluidization dynamics of Geldart B particles with a wide-range of cohesive force by analyzing the in-bed pressure fluctuation signals. Combining the bubble information reported in Part I, the local and global fluidization dynamics under different cohesive forces were discussed. The results show that bulk bubble dynamics is weaken with the presence of inter-particle cohesive force. As the force increases, fluidization changes from multi-bubbling regime to single-bubble regime and the factor governing the pressure fluctuation changes from bubble formation to bubble eruption. When the cohesive force is strong, slugging appears near the bed surface, then gradually extends toward the bottom bed by capturing freely fluidizing particles, and finally develops into the whole-bed slugging. At this time, regular fluidization turns into an alternative process between whole-bed slugging and regular status, corresponding to two distinct peaks in power spectral density of pressure signals at 0.1 Hz and 1 Hz respectively. The size of gas slug decreases with the elevation of measurement height. Basically, any operations that promote bubble growth will also facilitate the appearance of whole-bed slugging under strong cohesive force. Reducing the static bed height is a preferable approach to weaken, or even avoid the defluidization of whole-bed slugging, without changing other operational parameters.","Cohesive particle; Defluidization; Fluidization; Pressure fluctuation; Slugging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-26","","Executive board","ChemE/Product and Process Engineering","","",""
"uuid:3761d7e1-90f3-432b-98d4-b773b4170312","http://resolver.tudelft.nl/uuid:3761d7e1-90f3-432b-98d4-b773b4170312","Experimental and numerical study on behaviour of square steel tube confined reinforced concrete stub columns after fire exposure","Liu, Faqi (Harbin Institute of Technology); Yang, Hua (Harbin Institute of Technology); Yan, R. (TU Delft Steel & Composite Structures); Wang, Wei (Harbin Institute of Technology)","","2019","The behaviour of square steel tube confined reinforced concrete columns after fire exposure was studied experimentally and numerically in this paper. Eighteen stub columns were first heated following the ISO 834 standard fire including both heating and cooling phases, and were subsequently loaded to failure after cooling to ambient temperature. Failure modes, temperatures in specimens, axial load versus deformation curves and strains in steel tube were monitored and discussed. A finite element model was developed using the sequentially coupled thermal-stress analysis method and was validated against tests found in literatures and this study. Parametric study was performed to identify influences of key parameters, where are heating time, cross-sectional dimension, strengths of materials, steel tube to concrete area ratio and reinforcement ratio, on residual capacity and compressive stiffness. Finally, a simplified method is proposed for predicting residual cross-sectional capacity and compressive stiffness of square steel tube confined reinforced concrete columns after fire exposure.","Compressive stiffness; Numerical simulation; Post-fire; Residual capacity; Square steel tube confined reinforced concrete; Test","en","journal article","","","","","","Accepted Author manuscript","","2021-03-06","","","Steel & Composite Structures","","",""
"uuid:596b9bd7-7966-4864-8ceb-efbd5f823575","http://resolver.tudelft.nl/uuid:596b9bd7-7966-4864-8ceb-efbd5f823575","The non-smoothness problem in disturbance observer design: A set-invariance-based adaptive fuzzy control method","Lv, Maolong (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Liu, Zongcheng (Air Force Engineering University)","","2019","This work removes the critical assumptions of continuity, differentiability, and state-independent boundedness, which are typical of compounded disturbances in disturbance observer-based adaptive designs. Crucial in removing such assumptions are a novel observer-based design with state-dependent gain in place of a constant one, and a novel set-invariance design. The designs use different a priori knowledge of the disturbance, but they can both handle state-dependent (e.g., possibly unbounded) disturbances, as well as non-smooth (e.g., non-differentiable and jump discontinuous) disturbances. The tracking error is proven to be as small as desired by appropriately choosing design parameters. For the second design, which uses the least a priori knowledge of the disturbance, stability is proven by enhancing Lyapunov theory with an invariant-set mechanism, so as to construct an appropriate compact set resulting an invariant set for the closed-loop trajectories.","Disturbance observer; fuzzy adaptive control; invariant set; non-differentiable disturbance","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:138ca6a3-69da-40f7-bcb3-e2d8369ed3f1","http://resolver.tudelft.nl/uuid:138ca6a3-69da-40f7-bcb3-e2d8369ed3f1","Moisture source changes contributed to different precipitation changes over the northern and southern Tibetan Plateau","Zhang, Chi (Chinese Academy of Sciences); Tang, Qiuhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Chen, Deliang (University of Gothenburg; Chinese Academy of Sciences); van der Ent, R.J. (TU Delft Water Resources; Universiteit Utrecht); Liu, Xingcai (Chinese Academy of Sciences); Li, Wenhong (Duke University); Haile, Gebremedhin Gebremeskel (Chinese Academy of Sciences)","","2019","Precipitation on the Tibetan Plateau (TP) showed different spatial changes during 1979-2016, with an increasing trend over the northern Tibetan Plateau (NTP) and a slightly negative trend over the southern Tibetan Plateau (STP). The changes in precipitation moisture sources over the NTP and STP are investigated using the improved Water Accounting Model with an atmospheric reanalysis as well as observational precipitation and evaporation data. The results show the region in the northwest (region NW), ranging from the TP to Europe dominated by the westerlies, provides 38.9% of precipitation moisture for the NTP, and the region in the southeast (region SE), ranging from the TP to the Indian Ocean and Indochina dominated by the Asian monsoons, provides 51.4% of precipitation moisture for the STP. For the precipitation increase over the NTP, the SE and TP are the main contributors, contributing around 35.8% and 51.7% of the increase, respectively. The contributions from the SE and TP to the STP are, however, minor and insignificant. Meanwhile, the NW shows a negative trend of -4.2 ± 2.9mmyr -1 decade -1 (significant at the 0.01 level), which contributes to the negative precipitation trend over the STP. Results during the wet season indicate that moisture sources from the areas dominated by the Asian monsoons have contributed more precipitated moisture for the NTP, but not for the STP. Further analysis reveals that precipitated moisture originating from the Indian subcontinent has increased for the NTP while it has decreased for the STP during 1979-2016.","Climate change; Climatology; Moisture/moisture budget; Water budget","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-04","","","Water Resources","","",""
"uuid:12438073-144b-4723-9409-9515832859a3","http://resolver.tudelft.nl/uuid:12438073-144b-4723-9409-9515832859a3","Field effect enhancement in buffered quantum nanowire networks","Aseev, P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Khan, Sabbir A. (University of Copenhagen); Liu, Y. (University of Copenhagen); Fursina, A. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft); Boekhout, F. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; TNO); Koops, R. (TU Delft BUS/General; TU Delft QuTech Advanced Research Centre; TNO); Uccelli, E. (TU Delft BUS/General; TU Delft QuTech Advanced Research Centre; TNO); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft); Krogstrup, P. (TU Delft QRD/Kouwenhoven Lab; University of Copenhagen)","","2018","III-V semiconductor nanowires have shown great potential in various quantum transport experiments. However, realizing a scalable high-quality nanowire-based platform that could lead to quantum information applications has been challenging. Here, we study the potential of selective area growth by molecular beam epitaxy of InAs nanowire networks grown on GaAs-based buffer layers, where Sb is used as a surfactant. The buffered geometry allows for substantial elastic strain relaxation and a strong enhancement of field effect mobility. We show that the networks possess strong spin-orbit interaction and long phase-coherence lengths with a temperature dependence indicating ballistic transport. With these findings, and the compatibility of the growth method with hybrid epitaxy, we conclude that the material platform fulfills the requirements for a wide range of quantum experiments and applications.","Quantum Information; General Physics; Networks; Condensed Matter & Materials Physics","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:bdcbe467-8f92-4d21-b3f7-aa5751b51c33","http://resolver.tudelft.nl/uuid:bdcbe467-8f92-4d21-b3f7-aa5751b51c33","Synthetic biomimetic coenzymes and alcohol dehydrogenases for asymmetric catalysis","Josa-Culleré, Laia (University of Oxford; Student TU Delft); Lahdenperä, Antti S.K. (University of Oxford; Student TU Delft); Ribaucourt, Aubert (University of Oxford; Student TU Delft); Höfler, G.T. (TU Delft BT/Biocatalysis); Gargiulo, S. (Student TU Delft); Liu, Yuan Yang (East China University of Science and Technology); Paradisi, Francesca (University of Nottingham); Hollmann, F. (TU Delft BT/Biocatalysis); Paul, C.E. (TU Delft BT/Biocatalysis)","","2019","Redox reactions catalyzed by highly selective nicotinamide-dependent oxidoreductases are rising to prominence in industry. The cost of nicotinamide adenine dinucleotide coenzymes has led to the use of well-established elaborate regeneration systems and more recently alternative synthetic biomimetic cofactors. These biomimetics are highly attractive to use with ketoreductases for asymmetric catalysis. In this work, we show that the commonly studied cofactor analogue 1-benzyl-1,4-dihydronicotinamide (BNAH) can be used with alcohol dehydrogenases (ADHs) under certain conditions. First, we carried out the rhodium-catalyzed recycling of BNAH with horse liver ADH (HLADH), observing enantioenriched product only with unpurified enzyme. Then, a series of cell-free extracts and purified ketoreductases were screened with BNAH. The use of unpurified enzyme led to product formation, whereas upon dialysis or further purification no product was observed. Several other biomimetics were screened with various ADHs and showed no or very low activity, but also no inhibition. BNAH as a hydride source was shown to directly reduce nicotinamide adenine dinucleotide (NAD) to NADH. A formate dehydrogenase could also mediate the reduction of NAD from BNAH. BNAH was established to show no or very low activity with ADHs and could be used as a hydride donor to recycle NADH.","Alcohol dehydrogenases; Cofactor regeneration; Formate dehydrogenase; Nicotinamide coenzyme biomimetics; Rhodium catalyst","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:81cf22a1-a757-43f7-b433-4a6f721f6df6","http://resolver.tudelft.nl/uuid:81cf22a1-a757-43f7-b433-4a6f721f6df6","What is the role of weather, built-environment and accessibility geographical characteristics in influencing travelers’ experience?","Abenoza, Roberto F. (KTH Royal Institute of Technology); Liu, Chengxi (Swedish National Road and Transport Research Institute); Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology); Susilo, Yusak O. (KTH Royal Institute of Technology)","","2019","We examine the effect of weather, accessibility and built-environment characteristics on overall travel experience as well as the experience with the latest trips. These are factors that are often disregarded in the travel satisfaction literature even though they are believed to largely influence the first mile of the door-to-door trip. This study fills a research gap in investigating all these factors by using, amongst other, a relatively large travel satisfaction survey from years 2009 to 2015 and by focusing on urban and peri-urban geographical contexts, the city and county of Stockholm (Sweden), respectively. The ordered logit model results show that county dwellers living close to a metro station and in well linked-to-all areas report higher overall travel satisfaction evaluations. In addition, precipitation and ground covered with snow have a negative influence on travel satisfaction. Our findings indicate that built-environment characteristics exert a rather weak influence on the travel experience, especially in the peri-urban context. However, some aspects such as living in areas with medium densities, low income and with high safety perceptions around public transport stations are associated with higher satisfaction levels. In turn, areas with single land uses are found to have lower travel satisfactions. These results are important for public transport planners and designers in devising measures to prevent and mitigate the negative outcome of some weather conditions and to conceive better designed transit oriented developments.","Accessibility; Built-environment; Customer satisfaction; First and last-mile; Ordered logit models; Weather","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-23","","","Transport and Planning","","",""
"uuid:16d98c8d-e827-4a74-94ab-011073deb87b","http://resolver.tudelft.nl/uuid:16d98c8d-e827-4a74-94ab-011073deb87b","The IEEE 1918.1 ""Tactile Internet"" Standards Working Group and its Standards","Holland, Oliver (King’s College London); Steinbach, Eckehard (Technische Universität München); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems); Liu, Qian (Dalian University of Technology); Dawy, Zaher (American University of Beirut); Aijaz, Adnan (Toshiba Researche Europe, Bristol); Pappas, Nikolaos (Linköping University); Joshi, K.C. (TU Delft Web Information Systems); Rao, V.S. (TU Delft Embedded Systems)","","2019","The IEEE 'Tactile Internet' (TI) Standards working group (WG), designated the numbering IEEE 1918.1, undertakes pioneering work on the development of standards for the TI. This paper describes the WG, its intentions, and its developing baseline standard and the associated reasoning behind that and touches on a further standard already initiated under its scope: IEEE 1918.1.1 on 'Haptic Codecs for the TI.' IEEE 1918.1 and its baseline standard aim to set the framework and act as the foundations for the TI, thereby also serving as a basis for further standards developed on TI within the WG. This paper discusses the aspects of the framework such as its created TI architecture, including the elements, functions, interfaces, and other considerations therein, as well as the novel aspects and differentiating factors compared with, e.g., 5G Ultra-Reliable Low-Latency Communication, where it is noted that the TI will likely operate as an overlay on other networks or combinations of networks. Key foundations of the WG and its baseline standard are also highlighted, including the intended use cases and associated requirements that the standard must serve, and the TI's fundamental definition and assumptions as understood by the WG, among other aspects.","5G mobile communication; haptic interfaces; standardization; Tactile Internet","en","journal article","","","","","","","","","","","Embedded Systems","","",""
"uuid:0056072a-24ac-4c3e-b1bd-9feeb3a365b7","http://resolver.tudelft.nl/uuid:0056072a-24ac-4c3e-b1bd-9feeb3a365b7","Durable lubricant-infused anodic aluminum oxide surfaces with high-aspect-ratio nanochannels","Wu, Dequan (University of Science and Technology Beijing); Zhang, D. (University of Science and Technology Beijing); Ye, Yuwei (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Minhas, Badar (University of Science and Technology Beijing); Liu, Bei (University of Science and Technology Beijing); Terryn, H.A. (Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6); Li, Xiaogang (University of Science and Technology Beijing)","","2019","Recently, lubricant-infused surfaces (LIS) have emerged as a prominent class of surface technology for antifouling, anti-icing and anticorrosion applications. However, long-term corrosion exposure and mechanical damages may deteriorate the practical performance of LIS during application. In this study, a robust LIS was fabricated by the vacuum impregnation of mineral oil into anodized aluminum oxide (AAO) nanochannels with a depth of 50 μm. The impregnation of the lubricant through the entire depth of the high-aspect-ratio nanochannels was visualized under cryo-scanning electron microscopy (cryo-SEM) and also confirmed by weight gain measurements. Electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization (PDP) tests showed that the lubricant stored in the deep nanochannels of LIS can provide excellent corrosion protection during long-term immersion. Furthermore, the as-prepared LIS demonstrated superior resistance to mechanical damage due to a self-healing effect by the lubricant. As shown by cryo-SEM observation and PDP tests, the micro-cracks formed on the LIS can be instantaneously repaired by the in-flow of the oil from the surrounding surface. In the tribological tests, the LIS also presented high wear resistance and superior mechanical durability.","Anodic aluminum oxide; Corrosion; Lubricant-infused surface; Self-healing; Wear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-23","","","(OLD) MSE-6","","",""
"uuid:cbbaa557-ab93-4ba7-8d8a-0a6a56c93bd9","http://resolver.tudelft.nl/uuid:cbbaa557-ab93-4ba7-8d8a-0a6a56c93bd9","Mechanical DC circuit breaker model for real time simulations","Liu, S. (TU Delft Intelligent Electrical Power Grids; Xian Jiaotong University); Liu, Z. (TU Delft Intelligent Electrical Power Grids); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2019","The main goal of the paper is the modeling of the mechanical circuit breaker (MCB) that can replicate the breaker characteristics in real time environment. The proposed MCB with active current injection is modelled for a system level, which provides adequate representation of the circuit breakers for system analysis studies. External current-voltage characteristics of the proposed MCB models replicate the ones of the devices in the real world. It is well known that the DC circuit breaker (DCCB) needs to interrupt DC faults very quickly in order to avoid converter damages. The total current interruption time consists of fault detection time, time needed for the DC protection to provide command to the DCCB, and DCCB arc clearing time. Thus, it is necessary to demonstrate the system performance of associated protective devices through real time simulation, before these devices can be implemented and commissioned in practice. This paper presents a detailed modeling of the mechanical DCCB in real time simulation environment based on RTDS. The performance of the model is verified by the simulations based on PSCAD and meaningful conclusions are drawn.","Circuit breaker performance; HVDC grid; HVDC mechanical circuit breaker; RTDS model","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:a687328b-aee8-40dc-b86b-5ddf78658b82","http://resolver.tudelft.nl/uuid:a687328b-aee8-40dc-b86b-5ddf78658b82","Indoor routing on logical network using space semantics","Liu, Liu (Tongji University); Zlatanova, S. (University of New South Wales); Li, Bofeng (Tongji University); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Liu, Hua (Wuhan University); Barton, Jack (University of New South Wales)","","2019","An indoor logical network qualitatively represents abstract relationships between indoor spaces, and it can be used for path computation. In this paper, we concentrate on the logical network that does not have notions for metrics. Instead, it relies on the semantics and properties of indoor spaces. A navigation path can be computed by deriving parameters from these semantics and minimizing them in routing algorithms. Although previous studies have adopted semantic approaches to build logical networks, routing methods are seldom elaborated. The main issue with such networks is to derive criteria for path computation using the semantics of spaces. Here, we present a routing mechanism that is based on a dedicated space classification and a set of routing criteria. The space classification reflects characteristics of spaces that are important for navigation, such as horizontal and vertical directions, doors and windows, etc. Six routing criteria are introduced, and they involve: (1) the spaces with the preferred semantics; and/or (2) their centrality in the logical network. Each criterion is encoded as the weights to the nodes or edges of the logical network by considering the semantics of spaces. Logical paths are derived by a traditional shortest-path algorithm that minimizes these weights. Depending on the building’s interior configuration, one criterion may result in several logical paths. Therefore, we introduce a priority ordering of criteria to support path selection and decrease the possible number of logical paths. We provide a proof-of-concept implementation for several buildings to demonstrate the usability of such a routing. The main benefit of this routing method is that it does not need geometric information to compute a path. The logical network can be created using verbal descriptions only, and this routing method can be applied to indoor spaces derived from any building subdivision.","Indoor navigation; Indoor routing; Indoor space semantics; Logical network","en","journal article","","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:cde62380-c3d7-4778-b065-dd6b9d452114","http://resolver.tudelft.nl/uuid:cde62380-c3d7-4778-b065-dd6b9d452114","Chiral excitation of spin waves in ferromagnetic films by magnetic nanowire gratings","Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Liu, Chuanpu (Beihang University); Yu, Haiming (Beihang University); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University)","","2019","We theoretically investigate the interlayer dipolar and exchange couplings between an array of metallic magnetic nanowires grown on top of an extended ultrathin yttrium iron garnet film. The calculated interlayer dipolar coupling agrees with observed anticrossings [Chen et al., Phys. Rev. Lett. 120, 217202 (2018)PRLTAO0031-900710.1103/PhysRevLett.120.217202], concluding that the interlayer exchange coupling is suppressed by a spacer layer between the nanowires and film for Ni, but not necessarily for Co. The Kittel mode in the nanowire array couples chirally to spin waves in the film, even though Damon-Eshbach surface modes do not exist. The chirality can be suppressed by a strong interlayer exchange coupling.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:26200b03-9c81-47f1-85b4-356b936b8e13","http://resolver.tudelft.nl/uuid:26200b03-9c81-47f1-85b4-356b936b8e13","Shear performance assessment of sand-coated GFRP perforated connectors embedded in concrete","Xiong, Zhihua (Tongji University); Liu, Yuqing (Tongji University); Zuo, Yize (China Construction First Division Group Construction and Development Co.); Xin, H. (TU Delft Steel & Composite Structures; Tongji University)","","2019","In order to evaluate the shear performance of sand-coated glass fiber-reinforced polymer (GFRP) perforated connectors (SCGPC) embedded in concrete, 8 pull-out tests were conducted. Finite element (FE) analysis considering GFRP failure and cohesion between GFRP and concrete of SCGPC were conducted for parametric analysis. Effects of surface treatment, hole's radius, embedment length, and multi holes were examined. The test and theoretical analysis revealed that the strength of SCGPC is considerably larger than GFRP Perforated Connector (GPC). The stiffness of SCGPC is determined by the adhesion between concrete and GFRP. When GFRP plate's thickness is less than the critical thickness, the embedment length plays a major role in the strength of SCGPC. When embedment length is less than the effective bond length, the shear strength of SCGPC is governed by both the adhesion and GPC's shear capacity; otherwise, the strength of SCGPC is governed by the adhesion strength. Furthermore, an empirical equation was suggested to predict the shear strength of SCGPC. The equation involves the failure mechanism of both bond and GPC and deals the strength of SCGPC into two ranges according to the embedment length. Good agreement was achieved between the strength prediction by the suggested equation and the parametric analysis result.","Concrete; GFRP; Pull-out test; Sand coated; Shear behavior","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:c02521c1-5e01-493b-92cc-2b7b1b24d1d6","http://resolver.tudelft.nl/uuid:c02521c1-5e01-493b-92cc-2b7b1b24d1d6","Singlet Oxygen Sensor Green is not a Suitable Probe for 1O2 in the Presence of Ionizing Radiation","Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Carter, Philippe J.H. (Student TU Delft); Laan, A.C. (TU Delft RST/Technici Pool); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2019","A great number of fluorescent probes have been developed for detecting singlet oxygen (1O2), which is considered to be one of the most effective reactive oxygen species (ROS), especially in clinical applications. The commercially available fluorescent probe Singlet Oxygen Sensor Green (SOSG) is widely used due to its reported high selectivity to 1O2. In this study, we carried out systemic experiments to determine the activation of SOSG in the presence of ionizing radiation. The results show that the SOSG probe exhibits a pronounced fluorescence increase as a function of radiation dose delivered by gamma-rays as well as X-rays, in conditions where the formation of singlet oxygen is not expected. Furthermore, scavenger tests indicate that hydroxyl radicals may be involved directly or indirectly in the activation process of SOSG although the exact mechanism remains unknown.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:55c3facc-0897-4ab5-9857-1664d0d4a69e","http://resolver.tudelft.nl/uuid:55c3facc-0897-4ab5-9857-1664d0d4a69e","Numerical investigation of rubber swelling in bitumen","Wang, H. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2019","Crumb rubber modified bitumen (CRMB) has been utilized in the asphalt paving industry for decades due to its various benefits. The main mechanisms of bitumen-crumb rubber interaction include rubber particle swelling and chemical degradation. Crumb rubber modifier (CRM) swelling plays a dominant role in controlling the property development of CRMB during the traditional interaction process. To have a better understanding of the swelling behavior of rubber in bitumen, this study developed a finite element model capable to simulate the multiphysics swelling phenomenon consisting of mass diffusion and volume expansion. The effects of various factors including material characteristics and process conditions on the rubber swelling in bitumen were investigated. The results indicate that the coupled diffusion-expansion model can predict the swelling behavior of rubber in bitumen. A good correlation between the simulation results and the previously reported evidences was observed. The effects of bitumen composition, rubber type and size, interaction temperature and time on swelling were successfully demonstrated by using the developed model with dedicated input parameters. With this study as a foundation, the estimated rubber swelling behavior in bitumen can be implemented into suitable micromechanical models to predict the viscoelastic properties of CRMB and consequently to optimize the design and process of bitumen-rubber blends.","Crumb rubber modified bitumen; Diffusion; Finite element method; Multiphysics; Swelling","en","journal article","","","","","","Accepted author manuscript","","2021-04-23","","","Pavement Engineering","","",""
"uuid:0e97ceea-d37c-4e85-93ef-20ae0ef9931c","http://resolver.tudelft.nl/uuid:0e97ceea-d37c-4e85-93ef-20ae0ef9931c","Mechanical characterization of a unidirectional pultruded composite lamina using micromechanics and numerical homogenization","Xin, H. (TU Delft Steel & Composite Structures; Tongji University); Mosallam, Ayman (University of California); Liu, Yuqing (Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); He, Jun (Changsha University of Science and Technology)","","2019","In this paper, analytical and numerical homogenization methods are proposed to effectively simulate the macroscopic characteristics of a pultruded composite lamina. A continuum damage model was implemented via user material subroutine to model fiber failure, while the Mohr-Coulomb plastic criterion is employed to model matrix damage. In order to simulate the damage of the fiber-matrix interface, the relationship between traction and displacement is established. The proposed theoretical and numerical models were verified by tensile, compressive, and shear test results. The outcomes of this study indicated that both theoretical, numerical prediction values agree well with experimental verification results confirming the validity of the proposed methodology in providing a reliable reference for structural design of pultruded fiber reinforced polymeric (FRP) composite structures.","Engineering constants; Micro-mechanic analysis; Mohr-Coulomb plastic criterion; Numerical homogenization; Pultruded unidirectional GFRP lamina","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-11-06","","","Steel & Composite Structures","","",""
"uuid:1207904a-5f35-44e6-83d5-2c72071fdc8d","http://resolver.tudelft.nl/uuid:1207904a-5f35-44e6-83d5-2c72071fdc8d","Pricing Options and Computing Implied Volatilities using Neural Networks","Liu, S. (TU Delft Numerical Analysis); Oosterlee, C.W. (TU Delft Numerical Analysis; Centrum Wiskunde & Informatica (CWI)); Bohte, Sander M. (Centrum Wiskunde & Informatica (CWI))","","2019","This paper proposes a data-driven approach, by means of an Artificial Neural Network (ANN), to value financial options and to calculate implied volatilities with the aim of accelerating the corresponding numerical methods. With ANNs being universal function approximators, this method trains an optimized ANN on a data set generated by a sophisticated financial model, and runs the trained ANN as an agent of the original solver in a fast and efficient way. We test this approach on three different types of solvers, including the analytic solution for the Black-Scholes equation, the COS method for the Heston stochastic volatility model and Brent’s iterative root-finding method for the calculation of implied volatilities. The numerical results show that the ANN solver can reduce the computing time significantly.","Black-Scholes; Computational finance; GPU; Heston; Implied volatility; Machine learning; Neural networks; Option pricing","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:b9f2e8bd-5954-4977-b496-7411dcd98c67","http://resolver.tudelft.nl/uuid:b9f2e8bd-5954-4977-b496-7411dcd98c67","Conjugated donor-acceptor (D-A) supramolecule catalyst for visible-light-driven photocatalytic removal of bromate in water","Liu, G. (TU Delft Sanitary Engineering; Harbin Institute of Technology); You, Shijie (Harbin Institute of Technology); Zhang, Yujian (Huzhou University); Huang, Hong (Harbin Institute of Technology); Spanjers, H. (TU Delft Sanitary Engineering)","","2019","To guarantee drinking water security, removal of bromate (BrO3 −) has garnered plenty of attention in water treatment. In current study, we have developed a novel conjugated donor-acceptor (D-A) photocatalyst (4,4′'-bis(diphenylamino)-[1,1′:4′,1′'-terphenyl]-2′,5′-dicarbaldehyde, BDTD) with supramolecule architecture assembling via intermolecular C–H···O hydrogen bonds and C–H···π interactions. Both diffuse reflectance spectrum (DRS) and density functional theoretical (DFT) calculations gave the bandgap of Eg = 2.21 eV, clearly indicating the visible-light response of BDTD supramolecule. The calculations showed that BDTD supramolecule could induce nearly 100% removal of BrO3 − stably at pH-neutral condition driven by visible light, accounting for a first-order kinetic constant being one order of magnitude higher than most of the photocatalysts previous reported. As demonstrated by our electron scavenger experiment and DFT calculations, the BDTD supramolecule should undergo the photocatalytic reduction of BrO3 − through direct reduced by the lowest unoccupied molecular orbital of conduction band (potential of −1.705 V versus standard hydrogen electrode) electron. The BDTD supramolecule may serve as an attractive photocatalyst by virtue of response to visible light, efficient charge transfer and separation as well as high photocatalytic activity, which will make the removal of BrO3 − in water much easier, more economical and more sustainable.","BDTD supramolecule; Bromate; Photocatalyst; Visible light","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-21","","","Sanitary Engineering","","",""
"uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","http://resolver.tudelft.nl/uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","Seasonal behaviour of tidal damping and residual water level slope in the Yangtze River estuary: Identifying the critical position and river discharge for maximum tidal damping","Cai, Huayang (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai); East China Normal University); Savenije, Hubert (TU Delft Water Resources); Garel, Erwan (University of the Algarve); Zhang, Xianyi (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Guo, Leicheng (East China Normal University); Zhang, Min (Shanghai Normal University); Liu, Feng (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Yang, Qingshu (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai))","","2019","As a tide propagates into the estuary, river discharge affects tidal damping, primarily via a friction term, attenuating tidal motion by increasing the quadratic velocity in the numerator, while reducing the effective friction by increasing the water depth in the denominator. For the first time, we demonstrate a third effect of river discharge that may lead to the weakening of the channel convergence (i.e. landward reduction of channel width and/or depth). In this study, monthly averaged tidal water levels (2003-2014) at six gauging stations along the Yangtze River estuary are used to understand the seasonal behaviour of tidal damping and residual water level slope. Observations show that there is a critical value of river discharge, beyond which the tidal damping is reduced with increasing river discharge. This phenomenon is clearly observed in the upstream part of the Yangtze River estuary (between the Maanshan and Wuhu reaches), which suggests an important cumulative effect of residual water level on tide-river dynamics. To understand the underlying mechanism, an analytical model has been used to quantify the seasonal behaviour of tide-river dynamics and the corresponding residual water level slope under various external forcing conditions. It is shown that a critical position along the estuary is where there is maximum tidal damping (approximately corresponding to a maximum residual water level slope), upstream of which tidal damping is reduced in the landward direction. Moreover, contrary to the common assumption that larger river discharge leads to heavier damping, we demonstrate that beyond a critical value tidal damping is slightly reduced with increasing river discharge, owing to the cumulative effect of the residual water level on the effective friction and channel convergence. Our contribution describes the seasonal patterns of tide-river dynamics in detail, which will, hopefully, enhance our understanding of the nonlinear tide-river interplay and guide effective and sustainable water management in the Yangtze River estuary and other estuaries with substantial freshwater discharge.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:7b35feb2-7745-4971-b501-4a2c29a612a8","http://resolver.tudelft.nl/uuid:7b35feb2-7745-4971-b501-4a2c29a612a8","Distributed coordination for collision avoidance of multiple ships considering ship maneuverability","Li, S. (Wuhan University of Technology); Liu, J. (Wuhan University of Technology); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2019","Over the past two decades, a number of methods have been proposed for solving maritime collision avoidance problems. Most of these works take a single ship's perspective and focus on one-to-one or one-to-many situations. To more complicated many-to-many situations, less attention has been paid. To deal with the many-to-many collision avoidance problem, this paper proposes a distributed coordination strategy which consists of two phases: firstly, predictions of ship trajectories are made based on ship dynamics, giving different candidate rudder angles, and potential collision risks that may be caused by each rudder angle selection are evaluated based on calculations of collision risk parameters; secondly, an optimization strategy is adopted to find the most efficient collision avoidance plan for the ships, namely, the rudder angles that each ship should take, and the corresponding operation time for rudder steering, with the overall objective to minimize the sum of time that each ship spends in avoiding collisions with the other ships. Simulation experiments are carried out to evaluate the effectiveness of the proposed method, as well as the corresponding communication and computation costs.","Collision avoidance; Decision making; Distributed coordination; Ship maneuverability","en","journal article","","","","","","Accepted Author Manuscript","","2021-04-16","","","Transport Engineering and Logistics","","",""
"uuid:d4db9aa9-227f-49d2-a4b0-a1c2e2b7fb25","http://resolver.tudelft.nl/uuid:d4db9aa9-227f-49d2-a4b0-a1c2e2b7fb25","Electronic Effects Determine the Selectivity of Planar Au-Cu Bimetallic Thin Films for Electrochemical CO 2 Reduction","Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Ma, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Wu, Longfei (Eindhoven University of Technology); Valenti, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Cardenas-Morcoso, Drialys (Universitat Jaume I); Hofmann, Jan P. (Eindhoven University of Technology); Bisquert, Juan (Universitat Jaume I); Gimenez, Sixto (Universitat Jaume I); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2019","Au-Cu bimetallic thin films with controlled composition were fabricated by magnetron sputtering co-deposition, and their performance for the electrocatalytic reduction of CO 2 was investigated. The uniform planar morphology served as a platform to evaluate the electronic effect isolated from morphological effects while minimizing geometric contributions. The catalytic selectivity and activity of Au-Cu alloys was found to be correlated with the variation of electronic structure that was varied with tunable composition. Notably, the d-band center gradually shifted away from the Fermi level with increasing Au atomic ratio, leading to a weakened binding energy ofCO, which is consistent with low CO coverage observed in CO stripping experiments. The decrease in theCO binding strength results in the enhanced catalytic activity for CO formation with the increase in Au content. In addition, it was observed that copper oxide/hydroxide species are less stable on Au-Cu surfaces compared to those on the pure Cu surface, where the surface oxophilicity could be critical to tuning the binding strength ofOCHO. These results imply that the altered electronic structure could explain the decreased formation of HCOO - on the Au-Cu alloys. In general, the formation of CO and HCOO - as main CO 2 reduction products on planar Au-Cu alloys followed the shift of the d-band center, which indicates that the electronic effect is the major governing factor for the electrocatalytic activity of CO 2 reduction on Au-Cu bimetallic thin films.","Au-Cu alloy; bimetallic catalyst; d-band center; electrochemical carbon dioxide reduction; electronic effect; thin film","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:845ff0b2-e5e9-428b-a6f8-e1bcf5962866","http://resolver.tudelft.nl/uuid:845ff0b2-e5e9-428b-a6f8-e1bcf5962866","Efficient Li-Metal Plating/Stripping in Carbonate Electrolytes Using a LiNO3-Gel Polymer Electrolyte, Monitored by Operando Neutron Depth Profiling","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Cheng, Z. (TU Delft RST/Storage of Electrochemical Energy; Nanjing University); Qian, K. (TU Delft RST/Storage of Electrochemical Energy); Verhallen, T.W. (TU Delft RST/Storage of Electrochemical Energy); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2019","The development of safe and high-performance Li-metal anodes is crucial to meet the demanded increase in energy density of batteries. However, severe reactivity of Li metal with typical electrolytes and dendrite formation leads to a poor cycle life and safety concerns. Therefore, it is essential to develop electrolytes that passivate the reactivity toward Li metal and suppress dendrite formation. Carbonate electrolytes display severe reactivity toward Li metal; however, they are preferred above the more volatile ether-based electrolytes. Here, a carbonate electrolyte gel polymer approach is combined with LiNO3 as an additive to stabilize Li-metal plating. This electrolyte design strategy is systematically monitored by operando neutron depth profiling (NDP) to follow the evolution of the plated Li-metal density and the inactive lithium in the solid electrolyte interface (SEI) during cycling. Individually, the application of the LiNO3 electrolyte additive and the gel polymer approach are shown to be effective. Moreover, when used in conjunction, the effects are complementary in increasing the plated Li density, reducing inactive Li species, and reducing the overpotentials. The LiNO3 additive leads to more compact plating; however, it results in a significant buildup of inactive Li species in a double-layer SEI structure, which challenges the cell performance over longer cycling. In contrast, the gel polymer strongly suppresses the buildup of inactive Li species by immobilizing the carbonate electrolyte species; however, the plating is less dense and occurs with a significant overpotential. Combining the LiNO3 additive with the gel polymer approach results in a thin and homogeneous SEI with a high conductivity through the presence of Li3N and a limited buildup of inactive Li species over cycling. Through this approach, even high plating capacities, reaching 7 mAh/cm2, can be maintained at a high efficiency. The rational design strategy, empowered by monitoring the Li-density evolution, demonstrates the possibilities of achieving stable operation of Li metal in carbonate-based electrolytes.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:223b5f58-e21d-4690-bf8e-7a257cd80adf","http://resolver.tudelft.nl/uuid:223b5f58-e21d-4690-bf8e-7a257cd80adf","Navigating transitions for sustainable infrastructures - The case of a new high-speed railway station in Jingmen, China","Liu, X. (TU Delft Integral Design & Management); Schraven, D.F.J. (TU Delft Integral Design & Management); de Bruijne, M.L.C. (TU Delft Organisation & Governance); de Jong, Martin (Rotterdam School of Management; Fudan University); Hertogh, M.J.C.M. (TU Delft Integral Design & Management)","","2019","Sustainable development and Circular Economy (CE) have drawn massive attention worldwide. Construction practices consume large amounts of materials, resources and energy. Sustainability and CE could play a big role in reduction efforts. However, the potential influence of both concepts on the planning and construction of large infrastructures remains unexplored. This paper investigates how professionals involved in a high-speed railway station project in the Chinese city of Jingmen envision the use of sustainability and CE for the planning and construction of the railway station and its surrounding areas. We reviewed policy documents and interviewed local professionals with the Triple Bottom Line (TBL) framework. The analysis reveals opportunities for improvement towards sustainability and the interdependence between the dimensions in the TBL framework for the railway station and its surroundings. The case shows that local professionals identify ample opportunities for improvement (presented as ""sustainability ideas""), but none appear truly sustainable. These insights provide evidence that the hierarchical introduction of transition(s) creates a cognitive silo for local professionals when envisioning sustainability ideas. In the TBL framework, this study finds a useful and novel approach to break down the silos, because the TBL stresses the interdependence between the various sustainability dimensions.","China; Circular Economy (CE); Jingmen; Railway station; Sustainability; Transport infrastructure; Triple Bottom Line (TBL)","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:e2f116fb-5ce4-42d7-ba00-f10a11181bf5","http://resolver.tudelft.nl/uuid:e2f116fb-5ce4-42d7-ba00-f10a11181bf5","A dispersive homogenization model for composites and its RVE existence","Liu, Y. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design)","","2019","An asymptotic homogenization model considering wave dispersion in composites is investigated. In this approach, the effect of the microstructure through heterogeneity-induced wave dispersion is characterised by an acceleration gradient term scaled by a “dispersion tensor”. This dispersion tensor is computed within a statistically equivalent representative volume element (RVE). One-dimensional and two-dimensional elastic wave propagation problems are studied. It is found that the dispersive multiscale model shows a considerable improvement over the non-dispersive model in capturing the dynamic response of heterogeneous materials. To test the existence of an RVE for a realistic microstructure for unidirectional fiber-reinforced composites, a statistics study is performed to calculate the homogenized properties with increasing microstructure size. It is found that the convergence of the dispersion tensor is sensitive to the spatial distribution pattern. A calibration study on a composite microstructure with realistic spatial distribution shows that convergence is found although only with a relatively large micromodel.","Composites; Homogenization; RVE; Spatial distribution; Wave dispersion","en","journal article","","","","","","","","","","Materials- Mechanics- Management & Design","Applied Mechanics","","",""
"uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","http://resolver.tudelft.nl/uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","First principles study of gas molecules adsorption on monolayered β-SnSe","Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","For the purpose of exploring the application of two-dimensional (2D) material in the field of gas sensors, the adsorption properties of gas molecules, CO, CO2, CH2O, O2, NO2, and SO2 on the surface of monolayered tin selenium in β phase (β-SnSe) has been researched by first principles calculation based on density functional theory (DFT). The results indicate that β-SnSe sheet presents weak physisorption for CO and CO2 molecules with small adsorption energy and charge transfers, which show that a β-SnSe sheet is not suitable for sensing CO and CO2. The adsorption behavior of CH2O molecules adsorbed on a β-SnSe monolayer is stronger than that of CO and CO2, revealing that the β-SnSe layer can be applied to detect CH2O as physical sensor. Additionally, O2, NO2, and SO2 are chemically adsorbed on a β-SnSe monolayer with moderate adsorption energy and considerable charge transfers. All related calculations reveal that β-SnSe has a potential application in detecting and catalyzing O2, NO2, and SO2 molecules.","Adsorption behavior; First principles; Gas molecules; Gas sensor; β-SnSe","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:fc070775-148d-4e50-bdca-d7f398fc09ef","http://resolver.tudelft.nl/uuid:fc070775-148d-4e50-bdca-d7f398fc09ef","Compositional alterations in soil bacterial communities exposed to TiO2 nanoparticles are not reflected in functional impacts","Zhai, Yujia (Universiteit Leiden); Hunting, Ellard R. (University of Bristol); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Baas, Elise (Universiteit Leiden); Peijnenburg, Willie J.G.M. (Universiteit Leiden; Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Vijver, Martina G. (Universiteit Leiden)","","2019","Titanium dioxide nanoparticles (TiO2NP) are increasingly released in soil ecosystems, while there is limited understanding of the impacts of TiO2NP on soil bacterial communities. Here we investigated the effects of TiO2NP on the taxonomic composition and functional profile of a soil bacterial community over a 60-day exposure period. In short-term exposure (1-day), contradictory effects on the taxonomic composition of soil bacterial communities were found after exposure to a low realistic environmental concentration of TiO2NP at 1 mg/kg as compared to the effects induced by medium and high concentrations of TiO2NP at 500 and 2000 mg/kg. After long-term exposure (60-day), the negative effects of TiO2NP at the low concentration disappeared, and the inhibition by TiO2NP of the abundance of core taxa was enhanced along with increasing exposure concentrations. However, although significant alterations were observed in the taxonomic composition over time and exposure concentrations, no significant change was observed in the community functional profile as well as enzyme activity after 60-day exposure, indicating that functional redundancy likely contributed to the bacterial community tolerance after the exposure to TiO2NP. Our study highlighted the importance of assessing bacterial community compositional and functional responses in assessing the environmental risk of nanoparticles on soil ecosystems.","Functional redundancy; Soil bacterial community; Taxonomic composition; Time-dependent impacts; TiONP","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e302b9b7-bf1e-4181-9eae-20f4a2086a8d","http://resolver.tudelft.nl/uuid:e302b9b7-bf1e-4181-9eae-20f4a2086a8d","Mechanistic Complexity of Asymmetric Transfer Hydrogenation with Simple Mn-Diamine Catalysts","van Putten, R. (TU Delft ChemE/Inorganic Systems Engineering); Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering); Gonzalez De Castro, Angela (InnoSyn B.V., Geleen); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Weber, Manuela (Freie Universität Berlin); Müller, Christian (Freie Universität Berlin); Lefort, Laurent (InnoSyn B.V., Geleen); Pidko, E.A. (TU Delft ChemE/Algemeen; TU Delft ChemE/Inorganic Systems Engineering; ITMO University)","","2019","The catalytic asymmetric transfer hydrogenation (ATH) of ketones is a powerful methodology for the practical and efficient installation of chiral centers. Herein, we describe the synthesis, characterization, and catalytic application of a series of manganese complexes bearing simple chiral diamine ligands. We performed an extensive experimental and computational mechanistic study and present the first detailed experimental kinetic study of Mn-catalyzed ATH. We demonstrate that conventional mechanistic approaches toward catalyst optimization fail and how apparently different precatalysts lead to identical intermediates and thus catalytic performance. Ultimately, the Mn-N,N complexes under study enable quantitative ATH of acetophenones to the corresponding chiral alcohols with 75-87% ee.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:9bf935d7-1a7f-40e9-b7bb-77184bebbfee","http://resolver.tudelft.nl/uuid:9bf935d7-1a7f-40e9-b7bb-77184bebbfee","Numerical parametric study on ultimate load and ductility of concrete encased equal-leg angle steel composite columns","Zhang, Youyou (University of California); Liu, Yuqing (Tongji University); Xin, H. (TU Delft Steel & Composite Structures; Tongji University); He, Jun (Changsha University of Science and Technology)","","2019","Steel-concrete composite high bridge pier has been applied increasingly in China and around the world. Most applied steel type in the composite piers are H-shaped steel and steel pipe, while seldom research or practice is associated with angle steel. This paper conducted parametric study on the composite column with equal-leg angle steel and aimed to investigate the ultimate load and displacement ductility of the composite columns with different parameters. The parameters include the type of shear connector (stud and perfobond connectors), the type of structural steel (H-shaped steel and angle steel), steel-plate hooping ratio, shear-span ratio, and axial compression ratio. Finite element analysis was conducted for each specimen, which incorporated the concrete confinement effect, as well as the inelastic behavior of concrete, structural steel, and longitudinal and transverse steel bars. The equal-leg angle steel composite column was found to have slightly higher strength and displacement ductility than H-shaped steel composite column. The increase of steel-plate hooping result in larger strength and displacement ductility for the composite column, and the increase of shear-span ratio and axial compression ratio decrease the displacement ductility. Research results suggest stud and perfobond shear connectors should be applied as axial compression ratio being larger than 0.2 and 0.3, respectively. This paper provides reference for research and engineering practice of the concrete encased angle steel composite columns and bridge piers.","Confined concrete; Equal-leg angle steel composite columns; Finite element analysis; Perfobond connector; Stud connector; Ultimate load and ductility","en","journal article","","","","","","Accepted Author Manuscript","","2021-09-20","","","Steel & Composite Structures","","",""
"uuid:43685fe4-4548-4e5e-999d-5b610ff75d5d","http://resolver.tudelft.nl/uuid:43685fe4-4548-4e5e-999d-5b610ff75d5d","Tandem Interface and Bulk Li-Ion Transport in a Hybrid Solid Electrolyte with Microsized Active Filler","Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Cheng, Z. (TU Delft RST/Storage of Electrochemical Energy; Nanjing University); Ganapathy, S. (TU Delft RST/Storage of Electrochemical Energy); Wang, C. (TU Delft RST/Storage of Electrochemical Energy); Haverkate, Lucas A. (TNO); Tułodziecki, Michał (TNO); Unnikrishnan, Sandeep (TNO); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2019","In common hybrid solid electrolytes (HSEs), either the ionic conductivity of the polymer electrolyte is enhanced by the presence of a nanosized inorganic filler, which effectively decrease the glass-transition temperature, or the polymer solid electrolyte acts mostly as a flexible host for the inorganic solid electrolyte, the latter providing the conductivity. Here a true HSE is developed that makes optimal use of the high conductivity of the inorganic solid electrolyte and the flexibility of the polymer matrix. It is demonstrated that the LAGP (Li1.5Al0.5Ge1.5(PO4)3) participates in the overall conductivity and that the interface environment between the poly(ethylene oxide) (PEO) and LAGP plays a key role in utilizing the high conductivity of the LAGP. This HSE demonstrates promising cycling versus Li-metal anodes and in a full Li-metal solid-state battery. This strategy offers a promising route for the development of Li-metal solid-state batteries, aiming for safe and reversible high-energy-density batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:84176c3b-9d3f-44ef-ac1b-ce5579440c64","http://resolver.tudelft.nl/uuid:84176c3b-9d3f-44ef-ac1b-ce5579440c64","The role of storm scale, position and movement in controlling urban flood response","ten Veldhuis, Marie-claire (TU Delft Water Resources; Princeton University); Zhou, Zhengzheng (Princeton University; Tongji University; UNEP-Tongji Institute of Environment for Sustainable Development); Yang, Long (Princeton University); Liu, Shuguang (UNEP-Tongji Institute of Environment for Sustainable Development); Smith, James (Princeton University)","","2018","The impact of spatial and temporal variability of rainfall on hydrological response remains poorly understood, in particular in urban catchments due to their strong variability in land use, a high degree of imperviousness and the presence of stormwater infrastructure. In this study, we analyze the effect of storm scale, position and movement in relation to basin scale and flow-path network structure on urban hydrological response. A catalog of 279 peak events was extracted from a high-quality observational dataset covering 15 years of flow observations and radar rainfall data for five (semi)urbanized basins ranging from 7.0 to 111.1km2 in size. Results showed that the largest peak flows in the event catalog were associated with storm core scales exceeding basin scale, for all except the largest basin. Spatial scale of flood-producing storm events in the smaller basins fell into two groups: Storms of large spatial scales exceeding basin size or small, concentrated events, with storm core much smaller than basin size. For the majority of events, spatial rainfall variability was strongly smoothed by the flow-path network, increasingly so for larger basin size. Correlation analysis showed that position of the storm in relation to the flow-path network was significantly correlated with peak flow in the smallest and in the two more urbanized basins. Analysis of storm movement relative to the flow-path network showed that direction of storm movement, upstream or downstream relative to the flow-path network, had little influence on hydrological response. Slow-moving storms tend to be associated with higher peak flows and longer lag times. Unexpectedly, position of the storm relative to impervious cover within the basins had little effect on flow peaks. These findings show the importance of observation-based analysis in validating and improving our understanding of interactions between the spatial distribution of rainfall and catchment variability.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:cf63ab57-4fef-4555-a07b-7ec5f7b01177","http://resolver.tudelft.nl/uuid:cf63ab57-4fef-4555-a07b-7ec5f7b01177","Assessment of Aesthetic Preferences in Relation to Vegetation-Created Enclosure in Chinese Urban Parks: A Case Study of Shenzhen Litchi Park","Liu, M. (TU Delft Landscape Architecture); Schroth, Olaf (Weihenstephan-Triesdorf University of Applied Sciences)","","2019","Building on the mystery/complexity/legibility/coherence model of Kaplan and Kaplan (1989) and up-to-date landscape visualization techniques, this paper presents a case study analyzing people's aesthetic preferences for scenes with varying levels of enclosure created through vegetation. Participants were asked to view 48 computer-generated urban park scenes with different levels of enclosure and to rate them for three aesthetic preference factors: coherence, complexity, and legibility. The results are as follows: (1) If the visual and/or physical setting is enclosed, participants will give lower ratings for legibility than in open scenes. (2) Physically open scenes are rated as more coherent than physically enclosed scenes. (3) Participants rate complexity for physically enclosed scenes lower than for physically open scenes. It is concluded that enclosure as a predictor variable for landscape preference has a practical significance for future urban landscape research and designs.","Aesthetic preference; Chinese urban parks; Landscape preference; Landscape visualization; Vegetation-created enclosure","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:6293181b-1df1-49b0-aeaf-ccae1f092b40","http://resolver.tudelft.nl/uuid:6293181b-1df1-49b0-aeaf-ccae1f092b40","Relational model of accidents and vessel traffic using AIS Data and GIS: A case study of the Western port of Shenzhen City","Li, Mengxia (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Mou, Junmin (Hubei Key Laboratory of Inland Shipping Technology; Wuhan University of Technology); Liu, Rongfang (New Jersey Institute of Technology); Chen, P. (TU Delft Safety and Security Science); Dong, Zhuojian (Hubei Key Laboratory of Inland Shipping Technology; Wuhan University of Technology); He, Yixiong (Hubei Key Laboratory of Inland Shipping Technology; Wuhan University of Technology)","","2019","Following the growth in global trade activities, vessel traffic has increased dramatically in some busy waterways and ports. However, such increments have made it more complex to manage the regional vessel traffic, which can increase the risk of an accident in the area. To model and analyze the relationship between vessel traffic and maritime traffic, this paper proposes a gridded geography information system (GIS)-based relation analysis model using the historical automatic identification system (AIS) data and accident records over a 10-year-span. Firstly, the extent of the hazards posed by a maritime accident in terms of hull loss, fatality, and direct economic loss is quantified using set pair analysis. Consequently, the hazardous degree posed by an accident is obtained. The relative consequence of the regional hazard (RCORH) is then estimated by summing up all the relative hazardous degrees of accidents that have occurred in a certain gridded area. Secondly, the vessel traffic in the gridded areas is analyzed using characteristics such as speed, heading variance, and traffic volume as indicators. Based on the analysis of both the maritime traffic accidents and the vessel traffic, the spatial relationships are analyzed with an overlay between the RCORH and vessel traffic data of each grid, as well as a regression analysis. In a case study of the Western port of Shenzhen City, China, the methodology proves to be effective for vessel traffic management and traffic engineering design.","Collision accident; Maritime safety; Relation model; Set pair analysis; Vessel traffic","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f8d68034-b70d-431c-b905-d5b47292749f","http://resolver.tudelft.nl/uuid:f8d68034-b70d-431c-b905-d5b47292749f","A neural network-based framework for financial model calibration","Liu, S. (TU Delft Numerical Analysis); Borovykh, Anastasia (Centrum Wiskunde & Informatica (CWI)); Grzelak, L.A. (TU Delft Numerical Analysis); Oosterlee, C.W. (TU Delft Numerical Analysis; Centrum Wiskunde & Informatica (CWI))","","2019","A data-driven approach called CaNN (Calibration Neural Network) is proposed to calibrate financial asset price models using an Artificial Neural Network (ANN). Determining optimal values of the model parameters is formulated as training hidden neurons within a machine learning framework, based on available financial option prices. The framework consists of two parts: a forward pass in which we train the weights of the ANN off-line, valuing options under many different asset model parameter settings; and a backward pass, in which we evaluate the trained ANN-solver on-line, aiming to find the weights of the neurons in the input layer. The rapid on-line learning of implied volatility by ANNs, in combination with the use of an adapted parallel global optimization method, tackles the computation bottleneck and provides a fast and reliable technique for calibrating model parameters while avoiding, as much as possible, getting stuck in local minima. Numerical experiments confirm that this machine-learning framework can be employed to calibrate parameters of high-dimensional stochastic volatility models efficiently and accurately.","Artificial neural networks; Asset pricing model; Computational finance; Global optimization; Machine learning; Model calibration; Parallel computing","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:d8a41ea5-de49-4c80-a704-2deeb0b54c2c","http://resolver.tudelft.nl/uuid:d8a41ea5-de49-4c80-a704-2deeb0b54c2c","Structure and Reactivity of the Mo/ZSM-5 Dehydroaromatization Catalyst: An Operando Computational Study","Li, G. (TU Delft ChemE/Inorganic Systems Engineering; TU Delft ChemE/Catalysis Engineering); Vollmer, I. (TU Delft ChemE/Catalysis Engineering); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering; King Abdullah University of Science and Technology); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering; TU Delft ChemE/Algemeen; ITMO University)","","2019","Mo/ZSM-5 is one of the most studied and efficient catalysts for the dehydroaromatization of methane (MDA), but the mechanism of its operation remains controversial. Here, we combine an ab initio thermodynamic analysis with a comprehensive mechanistic density functional theory study to address Mo-speciation in the zeolite and identify the active sites under the reaction conditions. We show that the exposure of Mo/ZSM-5 to the MDA conditions yields a range of reduced sites including mono- and binuclear Mo-oxo and Mo-carbide complexes. These sites can catalyze the MDA reaction via two alternative reaction channels, namely, the C-C coupling (ethylene) and the hydrocarbon-pool propagation mechanisms. Our calculations point toward the binuclear Mo-carbide species operating through the hydrocarbon-pool mechanism to be the most catalytically potent species. Although all other Mo sites in the activated catalyst can promote C-H activation in methane, they fail to provide a successful path to the desirable low-molecular-weight products.","computational chemistry; cooperation; heterogeneous catalysis; methane dehydroaromatization; zeolite","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a3612e3a-3b53-41c6-88cb-b6bd68e818f0","http://resolver.tudelft.nl/uuid:a3612e3a-3b53-41c6-88cb-b6bd68e818f0","Effect of Bio-oil on Rheology and Chemistry of Organosolv Lignin–Modified Bitumen","Zhang, Y. (TU Delft Pavement Engineering; Chang'an University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Wang, Xuancang (Chang'an University); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2022","Lignin, one of the most abundant natural polymers, has been extensively studied as an additive in bituminous binders. Even though the lignin improves the overall resistance against oxidative aging of bitumen, it could lead to high thermal cracking sensitivity. In this study, a bio-oil (i.e., rapeseed oil) is implemented in lignin-modified bitumen to ameliorate characteristics, such as the resistance to fatigue and cracking. The long-term aging of bitumens formulated by different proportions of bio-oil was simulated by the pressure aging vessel (PAV) method. Fourier Transform Infrared Spectroscopic (FTIR) results demonstrated lignin has a remarkable antiaging effect, but adding bio-oil will slightly deteriorate the antiaging effect. The Brookfield rotational viscometer showed that the addition of bio-oil was able to reduce the binder’s viscosity observably, thereby improving the workability of bitumen. The frequency sweep tests revealed that lignin increased the stiffness and improved the thermal stability. Also, the multiple stress creep recovery tests corroborated that lignin significantly enhanced the rutting resistance of binders. The fatigue and thermal cracking properties of lignin-modified binder could be strengthened by increasing bio-oil content which is confirmed from both linear amplitude sweep, Glover-Rowe parameter, and Bending Beam Rheometer (BBR) tests. Moreover, the relaxation test results demonstrated that bio-oil decreased the residual stress ratio and relaxation time dramatically. Overall, this study has shown preliminary conclusions on the incorporating of bio-oil to enhance the medium-low temperature properties of lignin-modified binders. In the meantime, bio-oil did not interfere with the improvement influence of lignin on the neat bitumen regarding high-temperature performance.","Bio-oil; Chemistry; Fatigue; Lignin-modified bitumen; Rheology; Thermal cracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:aa595d96-a3f1-45ab-a182-a2c4770df8f5","http://resolver.tudelft.nl/uuid:aa595d96-a3f1-45ab-a182-a2c4770df8f5","Probabilistic analysis of seepage for internal stability of earth embankments","Liu, K. (TU Delft Geo-engineering; Hefei University of Technology); Vardon, P.J. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering)","","2019","Internal erosion, or piping, has been attributed as a major cause of dam and embankment failures. Most prediction models for predicting piping use the hydraulic gradient between the upstream and downstream water levels as an indicator. No explicit consideration is made regarding preferential pathways, although piping usually initiates from a discrete downstream location. The local seepage velocity is investigated here through stochastic seepage analysis incorporating consideration of soil heterogeneity. The results show that when the coefficient of variation of hydraulic conductivity is small, the location of the maximum local velocity is typically near the downstream toe of the embankment, as for a deterministic analysis. In contrast, increasing the coefficient of variation scatters the possible locations of the maximum local velocity. The heterogeneity of hydraulic conductivity also leads to an increase in the average exit hydraulic gradient, as well as having a significant influence on the global kinetic energy and kinetic energy distribution.","finite-element modelling; seepage; statistical analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-22","","","Geo-engineering","","",""
"uuid:cc7c5aa2-289b-490c-bfce-3540681b6e48","http://resolver.tudelft.nl/uuid:cc7c5aa2-289b-490c-bfce-3540681b6e48","Ageing effect on chemo-mechanics of bitumen","Jing, R. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Petroleum Institute); Erkens, S. (TU Delft Pavement Engineering)","","2019","Ageing has a significant impact on the chemical and mechanical properties of bituminous materials. In this study, Fourier Transform Infrared (FTIR) spectrometer and Dynamic Shear Rheometer (DSR) tests were utilised to investigate the effect of ageing on the chemical and rheological properties of bitumen. Bitumen films with thickness of 2 mm were exposed to laboratory ageing at various conditions. Specifically, different combinations of ageing time, temperature and pressure were applied on the bitumen samples. The FTIR results were used to quantify the changes in the chemical functional groups and to calculate the combined ageing index (summation of carbonyl and sulfoxide indices) of bitumen. In addition, the DSR test results were analysed to determine the evolution of the black diagrams, crossover frequency and crossover modulus with ageing. A linear relationship was found between the combined ageing index and the distance in the crossover map, providing thus a chemo-mechanics framework to describe bitumen ageing. The results were validated by using data of field aged samples.","ageing; bitumen; chemo-mechanics; FTIR spectroscopy; rheology","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3c967673-b728-4ef3-ba8a-71d473b59273","http://resolver.tudelft.nl/uuid:3c967673-b728-4ef3-ba8a-71d473b59273","Potential impact of a large-scale cascade reservoir on the spawning conditions of critical species in the Yangtze River, China","Yu, Meixiu (Hohai University; Research Center for Climate Change of Ministry of Water Resources); Yang, Daqing (Environment Canada); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Li, Qiongfang (Hohai University); Wang, Guoqing (Research Center for Climate Change of Ministry of Water Resources)","","2019","Dam building and reservoir operations alter the downstream hydrological regime, and as a result, affect the health of the river aquatic ecosystem, particularly for large-scale cascade reservoirs. This study investigated the impact of the Gezhouba Reservoir (GR) and the Three Gorges Reservoir (TGR) on the spawning conditions of two critical taxa, i.e., the endemic four major carps and the endangered Chinese sturgeon in the Yangtze River. We analyzed the flow, sediment, and thermal regime in these two taxa spawning seasons and compared their features between the predam and postdam periods. Our results revealed that the GR and the TGR had altered the frequency distributions of flow, sediment, and water temperature to different degrees, with the impact by the GR on the carps and Chinese sturgeon ranked as water temperature > flow, sediment > water temperature > flow, and the effect of the TGR on these two taxa were ordered as flow > water temperature, sediment > flow > water temperature. For the GR, the satisfying degree of the suitable flow and water temperature of the carps increased, whilst the suitable flow, sediment, and water temperature for the Chinese sturgeon decreased. These changes in TGR showed a significant ascending (descending) trend in the suitable flow (water temperature) for the carps, and a clear decreasing trend in the flow, sediment, and temperature for Chinese sturgeon. Both the TGR and the GR had negative impacts on the spawning of these two taxa in terms of the rising/falling flow characteristics.","Chinese sturgeon; Flow; Four major carps; Gezhouba Reservoir; Sediment; Three Gorges Reservoir; Water temperature","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:6328df2c-be3e-4db5-b373-8d552f786fee","http://resolver.tudelft.nl/uuid:6328df2c-be3e-4db5-b373-8d552f786fee","A chromatinized origin reduces the mobility of ORC and MCM through interactions and spatial constraint","Sanchez González, H. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Liu, Z. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); van Veen, E.N.W. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); van Laar, T. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Diffley, John F.X. (Francis Crick Institute); Dekker, N.H. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft)","","2023","Chromatin replication involves the assembly and activity of the replisome within the nucleosomal landscape. At the core of the replisome is the Mcm2-7 complex (MCM), which is loaded onto DNA after binding to the Origin Recognition Complex (ORC). In yeast, ORC is a dynamic protein that diffuses rapidly along DNA, unless halted by origin recognition sequences. However, less is known about the dynamics of ORC proteins in the presence of nucleosomes and attendant consequences for MCM loading. To address this, we harnessed an in vitro single-molecule approach to interrogate a chromatinized origin of replication. We find that ORC binds the origin of replication with similar efficiency independently of whether the origin is chromatinized, despite ORC mobility being reduced by the presence of nucleosomes. Recruitment of MCM also proceeds efficiently on a chromatinized origin, but subsequent movement of MCM away from the origin is severely constrained. These findings suggest that chromatinized origins in yeast are essential for the local retention of MCM, which may facilitate subsequent assembly of the replisome.","","en","journal article","","","","","","","","","","","BN/Nynke Dekker Lab","","",""
"uuid:c99e7e01-8498-4132-b86d-099d738b1332","http://resolver.tudelft.nl/uuid:c99e7e01-8498-4132-b86d-099d738b1332","A Numerical Study of Moisture and Ionic Transport in Unsaturated Concrete by Considering Multi-ions Coupling Effect","Meng, Z. (TU Delft Materials and Environment; Shanghai Jiao Tong University); Zhang, Yufei (University of Macau); Chen, Wei kang (Shanghai Jiao Tong University); Fu, Chuan qing (Zhejiang University of Technology); Xiong, Qing Xiang (Shanghai Jiao Tong University); Zhang, Cheng lin (Shanghai Jiao Tong University); Liu, Qing feng (Shanghai Jiao Tong University)","","2023","Understanding the transport mechanisms within unsaturated porous media is essential to the durability problems associated with cement-based materials. However, the involvement of multi-ions electrochemical coupling effect, especially under unsaturated condition makes the transport mechanisms even more complex. In this study, the moisture and multi-ionic transport in unsaturated concrete have been modeled in three-dimensional cases. The contribution from both water vapor and liquid has been considered in moisture transport. By adopting the constitutive electrochemical law, the electrostatic potential induced by inherent charge imbalance was calculated. With parameter calibration, the numerical results agreed well with the experimental data, proving the validity of the presented model. Results from a parametric analysis showed that neglecting multi-ions coupling effect will lead to an underestimated chloride concentration, and saturated degree has an obvious impact on the coupling strength among different ions. In addition, the existence of coarse aggregates will not only block mass transport but also make the discrepancies between two-dimensional model and three-dimensional model results more obvious. Other findings which have not been reported in existing studies are also highlighted.","Chloride transport; Heterogeneous nature; Ionic interaction; Moisture transport; Porous media","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-27","","","Materials and Environment","","",""
"uuid:4e5b2a7b-7067-4ae6-add4-f73ca1ab4ab3","http://resolver.tudelft.nl/uuid:4e5b2a7b-7067-4ae6-add4-f73ca1ab4ab3","Developing Xiong’an New Area: A new regime for space production in China’s national technopole?","Song, Yun (Guangdong University of Technology); de Jong, Martin (Erasmus Universiteit Rotterdam; Fudan University); Stead, D. (TU Delft Spatial Planning and Strategy; Aalto University); Liu, Z. (TU Delft Integral Design & Management)","","2023","Xiong’an New Area is not only a newly emerging and nationally endorsed technopole, it is also regarded as a test-bed for novel forms of governance and financial management in China. Although it is currently only in its starting phase, Xiong’an demonstrates that various institutional features are very different from those found in traditional technopoles, such as National High-tech Industrial Development Zones (NHTIDZs). How such institutional innovation affects feasibility and viability of the construction and maintenance of new high-tech metropolises is under-studied. This article develops a conceptual framework based on the theory of space production and identifies two types of space production, global industrialization and local urbanization, which are then applied to the NHTIDZs. Analysis of the situation in Xiong’an leads to the conclusion that Xiong’an represents a mode of strong state-led space production within China’s governance modernization. This not only opens the door to a reconsideration of current land finance and social management systems but it also implies that the transferability of its institutional innovations is limited to other cities where imposing greater social control through technologies is possible.","China; Governance modernization; Land finance; Technopole; Xiong’an","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Spatial Planning and Strategy","","",""
"uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","http://resolver.tudelft.nl/uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","Molecular dynamics simulations on mechanical behaviors of sintered nanocopper in power electronics packaging","Luo, Runding (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2024","Nano-metal materials have received considerable attention because of their promising performance in wide bandgap semiconductor packaging. In this study, molecular dynamics (MD) simulation was performed to simulate the nano-Cu sintering mechanism and the subsequent mechanical behaviors. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was performed at temperatures from 500 to 650 K. Furthermore, shear and tensile simulations were conducted with constant strain rates on the sintered structure at multiple temperatures. Subsequently, the extracted mechanical properties were correlated with the sintering behavior. The results revealed that the mechanical properties of the nano-Cu sintered structure could be improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response. The shear modulus and shear strength of the sintered structure with NF particles increased to 41.20 and 3.51 GPa respectively. Furthermore, the elastic modulus increased to 55.60, and the tensile strength increased to 4.88 GPa. This result provides insights into the preparation phase of nano-Cu paste for sintering technology.","Molecular dynamics (MD) simulation; Nano-Cu sintering; Nanoflake; Shear simulation; Tensile simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Electronic Components, Technology and Materials","","",""
"uuid:cf959901-933c-4f1e-85da-0876373a573c","http://resolver.tudelft.nl/uuid:cf959901-933c-4f1e-85da-0876373a573c","Policy-based initiatives on promoting China's affordable housing: Challenges and opportunities","Zhang, Guoqiang (Hunan University); Xu, Keyi (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management); Huang, R. (TU Delft Design & Construction Management; Chongqing University); Li, B. (TU Delft Real Estate Management); Wang, Ran (Hunan University)","","2023","The construction industry's commitment to achieving carbon neutrality has underscored the urgency of promoting green and low-carbon sustainable affordable housing. However, the development process has encountered several challenges, including conflicts between the central and local governments arising from differences in value preferences, financial constraints faced by local governments, inadequate access mechanisms, lenient screening processes, insufficient funding, and remote locations. Despite its significance, the policies related to affordable housing, especially in the context of assembly affordable housing, have received limited systematic examination. To address this research gap, this paper presents a comprehensive review and analysis of China's affordable housing policies. Firstly, it compiles and compares recent affordable housing policies in China, serving as a valuable reference for future affordable housing construction endeavors. Secondly, it conducts an in-depth analysis of the barriers and challenges obstructing affordable housing development in China, and proposing corresponding measures for improvement. Moreover, this paper identifies significant opportunities for affordable housing development in the country and explores the potential synergy between the development of assembly buildings and affordable housing by leveraging their respective attributes. By illuminating pertinent policies and associated issues, this research aims to inform policymakers, practitioners, and stakeholders involved in the affordable housing sector. Additionally, it aims to stimulate further research and innovation in the field, contributing to effective and sustainable housing solutions for low-income communities and society at large. This paper systematically analyzes the current status of affordable housing policies, challenges and opportunities. It also discusses the application of assembly building techniques in the realm of affordable housing, proving valuable insights to address traditional housing issues.","Affordable housing; Assembly building; Low carbon; Policy incentive; Sustainable housing","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:4ed35305-d659-4a37-9a43-136fdc6c32e9","http://resolver.tudelft.nl/uuid:4ed35305-d659-4a37-9a43-136fdc6c32e9","Orbital configurations of spaceborne interferometers for studying photon rings of supermassive black holes","Hudson, Ben (KISPE Space Systems Ltd); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Wielgus, Maciek (Max-Planck-Institute for Radio Astronomy); Paragi, Zsolt (Joint Institute for VLBI ERIC); Liu, Lei (Chinese Academy of Sciences); Zheng, Weimin (Chinese Academy of Sciences)","","2023","Recent advances in technology coupled with the progress of observational radio astronomy methods resulted in achieving a major milestone of astrophysics - a direct image of the shadow of a supermassive black hole, taken by the Earth-based Event Horizon Telescope (EHT). The EHT was able to achieve a resolution of ∼20μas, enabling it to resolve the shadows of the black holes in the centres of two celestial objects: the supergiant elliptical galaxy M87 and the Milky Way Galaxy. The EHT results mark the start of a new round of development of next generation Very Long Baseline Interferometers (VLBI) which will be able to operate at millimetre and sub-millimetre wavelengths. The inclusion of baselines exceeding the diameter of the Earth and observation at as short a wavelength as possible is imperative for further development of high resolution astronomical observations. This can be achieved by a spaceborne VLBI system. We consider the preliminary mission design of such a system, specifically focused on the detection and analysis of photon rings, an intrinsic feature of supermassive black holes. Optimised Earth, Sun–Earth L2 and Earth–Moon L2 orbit configurations for the space interferometer system are presented, all of which provide an order of magnitude improvement in resolution compared to the EHT. Such a space-borne interferometer would be able to conduct a comprehensive survey of supermassive black holes in active galactic nuclei and enable uniquely robust and accurate tests of strong gravity, through detection of the photon ring features.","Photon rings; Radio interferometry; Spaceborne astrophysics; Super massive black holes; VLBI","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Astrodynamics & Space Missions","","",""
"uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","http://resolver.tudelft.nl/uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","An analytical framework for the best–worst method","Wu, W. (TU Delft Transport and Logistics; Anhui University; Southeast University); Liu, Xinwang (Southeast University); Zhou, Ligang (Anhui University); Qin, Jindong (Wuhan University of Technology); Rezaei, J. (TU Delft Transport and Logistics)","","2024","Since the development of the best–worst method (BWM) in 2015, it has become a popular research focus in multi-criteria decision-making. The original optimization problem of the BWM is a nonlinear min–max model that can lead to multiple optimal solutions, while the linear model of the BWM produces a unique solution. The two models need to be solved by optimization software packages. In addition, although the linear model of the BWM can obtain a unique solution, it produces different feasible regions than the nonlinear model of the BWM, and it changes the objective function. This study aims to solve the nonlinear model of the BWM mathematically to obtain the analytical forms of the optimal solutions. First, we transform the original nonlinear model of BWM into an equivalent optimization model driven by the optimally modified comparison vectors. The equivalent BWM provides a solid basis for computing the analytical solutions. Second, for not-fully consistent pairwise comparison systems, we strictly prove that there is only one unique optimal solution with three criteria, and there might be multiple optimal solutions with more than three criteria. We further develop the analytical forms of these unique and multiple optimal solutions and the optimal interval weights. Third, we develop a secondary objective function to select a unique solution for the BWM. The secondary objective function retains all the characteristics of the original nonlinear model of the BWM, and we find the unique solution analytically. Finally, some numerical examples are examined, and a comparative analysis is performed to demonstrate the effectiveness of our analytical solution approach.","Analytical solutions; Best–worst method (BWM); Multi-criteria decision-making; Multiple optimal solutions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-25","","","Transport and Logistics","","",""
"uuid:de82924e-5c4a-4bd1-a4c4-742a104654ef","http://resolver.tudelft.nl/uuid:de82924e-5c4a-4bd1-a4c4-742a104654ef","Exploring the Utilization of PHC Pile Waste Concrete as Filler in Asphalt Mastics","Tu, Botao (Guangdong Hongye Building Materials Technology Co., Ltd.); Yang, Xinkui (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Liang, X. (TU Delft Materials and Environment); Liu, C. (TU Delft Materials and Environment); Jiang, Jian (Shenzhen Sez Construction Group Co., Ltd.); Fan, Lulu (Shenzhen Sez Construction Group Co., Ltd.); Tu, Liangliang (Shenzhen Sez Construction Group Co., Ltd.)","","2023","Using solid waste to replace limestone filler in asphalt concrete can not only reduce the cost of road construction, but also improve the utilization rate of solid waste. In this study, PHC pile waste concrete (PPWC) was innovatively used to replace limestone filler in asphalt mixture and its effect on the physical and rheological properties of asphalt mastics was studied. Firstly, PPWC was ground into filler particles with a diameter less than 0.075 mm. The physical properties, particle characteristics and chemical composition of PPWC filler and limestone filler were compared. Asphalt mastics were prepared with different filler-asphalt volume ratios (20%, 30% and 40%) and the physical properties, high-temperature rheological properties and low-temperature cracking resistance of asphalt mastics were tested. The experimental results showed that the surface of PPWC filler is rougher and has lower density and smaller particle size than limestone filler. When the filler content is the same, PPWC filler asphalt mastics have lower penetration and ductility, higher softening point than limestone filler asphalt mastics, and the viscosity of PPWC filler asphalt mastics is more sensitive than limestone filler asphalt mastics. PPWC filler asphalt mastics demonstrated superior high-temperature stability, but poorer low-temperature cracking resistance compared to limestone filler asphalt mastics. In conclusion, PPWC fillers can be used to replace limestone fillers in asphalt mixtures. The finding of this study will provide a new solution for the construction of eco-friendly roads.","asphalt mastics; filler; low-temperature crack resistance; particle characteristics; PHC pile waste concrete; physical properties; rheological properties","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6ad4a21e-e7e1-468a-b123-2861319a1839","http://resolver.tudelft.nl/uuid:6ad4a21e-e7e1-468a-b123-2861319a1839","Structure and dynamics of urban freight truck movements: A complex network theory perspective","Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Bin (Beijing Jiaotong University; Xi'an Technological University); Yan, Xiao Yong (Beijing Jiaotong University); Chen, Y. (Beijing Jiaotong University); Tavasszy, Lorant (TU Delft Transport and Planning); de Bok, M.A. (TU Delft Transport and Planning); Bai, Zhuotong (Tsinghua University); Liu, Erjian (Beijing Jiaotong University); Gao, Ziyou (Beijing Jiaotong University)","","2023","Knowledge of the core structure and inherent dynamics of urban freight transport systems is important for the development of policies, aimed at improving the livability and sustainability of cities. The past decade has witnessed a great deal of efforts into analyzing the geographic structure of urban freight transport systems. However, in-depth studies on the system core structure and underlying dynamics are still absent. This study contributes to the field by analyzing large scale freight truck trip data from Chinese cities, using complex network analysis. We empirically reconstruct and characterize the urban freight truck mobility networks and reveal the underlying spatial interaction patterns. We develop a spatial network growth model which explains how hub-and-spoke core structure of urban freight transport systems are formed. The developed model captures the essential interaction dynamics of freight locations, and explains the effects of spatial distance, economic size and business pattern replication. Inspired by the model, we provide policy implications for land-use planning, transportation planning and sustainable urban development.","Complex networks; Freight truck flows; Interaction dynamics; Structure properties; Urban freight transport system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-02","","","Transport and Planning","","",""
"uuid:d4b69c62-ebab-451d-accf-95d1e33625e3","http://resolver.tudelft.nl/uuid:d4b69c62-ebab-451d-accf-95d1e33625e3","Nanomechanical resonators fabricated by atomic layer deposition on suspended 2D materials","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Basuvalingam, Saravana B. (Eindhoven University of Technology); Lodha, S.V. (TU Delft Dynamics of Micro and Nano Systems; Indian Institute of Technology Bombay); Bol, Ageeth A. (University of Michigan); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2023","Atomic layer deposition (ALD), a layer-by-layer controlled method to synthesize ultrathin materials, provides various merits over other techniques such as precise thickness control, large area scalability and excellent conformality. Here we demonstrate the possibility of using ALD growth on top of suspended 2D materials to fabricate nanomechanical resonators. We fabricate ALD nanomechanical resonators consisting of a graphene/MoS2 heterostructure. Using atomic force microscope indentation and optothermal drive, we measure their mechanical properties including Young’s modulus, resonance frequency and quality factor, showing a lower energy dissipation compared to their exfoliated counterparts. We also demonstrate the fabrication of nanomechanical resonators by exfoliating an ALD grown NbS2 layer. This study exemplifies the potential of ALD techniques to produce high-quality suspended nanomechanical membranes, providing a promising route towards high-volume fabrication of future multilayer nanodevices and nanoelectromechanical systems.","atomic layer deposition; nanomechanical resonator; optomechanical drive; Q factor; resonance frequency","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:f9b9ee72-94d9-4aef-a63f-37e60ff13421","http://resolver.tudelft.nl/uuid:f9b9ee72-94d9-4aef-a63f-37e60ff13421","Fusion protocol for Majorana modes in coupled quantum dots","Liu, Chun Xiao (Kavli institute of nanoscience Delft; QuTech); Pan, Haining (University of Maryland; Cornell University); Setiawan, F. (University of Chicago; Riverlane Research Inc.); Wimmer, M.T. (TU Delft QN/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Sau, Jay D. (University of Maryland)","","2023","In a recent breakthrough experiment [Nature (London) 614, 445 (2023)10.1038/s41586-022-05585-1], signatures of Majorana zero modes have been observed in tunnel spectroscopy for a minimal Kitaev chain constructed from coupled quantum dots. However, as Ising anyons, Majoranas' most fundamental property of non-Abelian statistics is yet to be detected. Moreover, the minimal Kitaev chain is qualitatively different from topological superconductors in that it supports Majoranas only at a sweet spot. Therefore, it is not obvious whether non-Abelian characteristics such as braiding and fusion can be demonstrated in this platform with a reasonable level of robustness. In this work, we theoretically propose a protocol for detecting the Majorana fusion rules in an artificial Kitaev chain consisting of four quantum dots. In contrast with the previous proposals for semiconductor-superconductor hybrid nanowire platforms, here we do not rely on mesoscopic superconducting islands, which are difficult to implement in quantum dot chains. To show the robustness of the fusion protocol, we discuss the effects of three types of realistic imperfections on the fusion outcomes, e.g., diabatic errors, dephasing errors, and calibration errors. We also propose a fermion parity readout scheme using quantum capacitance. Our work will shed light on future experiments on detecting the non-Abelian properties of Majorana modes in a quantum dot chain.","","en","journal article","","","","","","","","","","","QN/Wimmer Group","","",""
"uuid:7a749410-3d5d-4619-9f4b-94d57616fe6d","http://resolver.tudelft.nl/uuid:7a749410-3d5d-4619-9f4b-94d57616fe6d","Simplex-based multinomial logistic regression with diverging numbers of categories and covariate","Fu, Sheng (National University of Singapore); Chen, P. (TU Delft Statistics); Liu, Yufeng (University of North Carolina); Ye, Zhisheng (National University of Singapore)","","2023","Multinomial logistic regression models are popular in multicategory classification analysis, but existing models suffer several intrinsic drawbacks. In particular, the parameters cannot be determined uniquely because of the over-specification. Although additional constraints have been imposed to refine the model, such modifications can be inefficient and complicated. In this paper, we propose a novel and efficient simplex-based multinomial logistic regression technique, seamlessly connecting binomial and multinomial cases under a unified framework. Compared with existing models, our model has fewer parameters, is free of any constraints, and can be solved efficiently using the Fisher scoring algorithm. In addition, the proposed model enjoys several theoretical advantages, including Fisher consistency and sharp comparison inequality. Under mild conditions, we establish the asymptotical normality and convergence for the new model, even when the numbers of categories and covariates increase with the sample size. The proposed framework is illustrated by means of extensive simulations and real applications.","Asymptotics; classification; Fisher consistency; kernel learning; MLR; simplex coding scheme","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Statistics","","",""
"uuid:41a872d7-d65a-401f-9ef4-aa10844327eb","http://resolver.tudelft.nl/uuid:41a872d7-d65a-401f-9ef4-aa10844327eb","De novo fabrication of custom-sequence plasmids for the synthesis of long DNA constructs with extrahelical features","Ramirez Montero, D.F. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Liu, Z. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Dekker, N.H. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft)","","2023","DNA constructs for single-molecule experiments often require specific sequences and/or extrahelical/noncanonical structures to study DNA-processing mechanisms. The precise introduction of such structures requires extensive control of the sequence of the initial DNA substrate. A commonly used substrate in the synthesis of DNA constructs is plasmid DNA. Nevertheless, the controlled introduction of specific sequences and extrahelical/noncanonical structures into plasmids often requires several rounds of cloning on pre-existing plasmids whose sequence one cannot fully control. Here, we describe a simple and efficient way to synthesize 10.1-kb plasmids de novo using synthetic gBlocks that provides full control of the sequence. Using these plasmids, we developed a 1.5-day protocol to assemble 10.1-kb linear DNA constructs with end and internal modifications. As a proof of principle, we synthesize two different DNA constructs with biotinylated ends and one or two internal 3′ single-stranded DNA flaps, characterize them using single-molecule force and fluorescence spectroscopy, and functionally validate them by showing that the eukaryotic replicative helicase Cdc45/Mcm2-7/GINS (CMG) binds the 3′ single-stranded DNA flap and translocates in the expected direction. We anticipate that our approach can be used to synthesize custom-sequence DNA constructs for a variety of force and fluorescence single-molecule spectroscopy experiments to interrogate DNA replication, DNA repair, and transcription.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-14","","","BN/Nynke Dekker Lab","","",""
"uuid:4e8310b5-bee3-46f0-b77d-b40a2adb93cb","http://resolver.tudelft.nl/uuid:4e8310b5-bee3-46f0-b77d-b40a2adb93cb","Improving the strength-ductility balance of medium-Mn Q&P steel by controlling cold-worked ferrite microstructure","Li, Jiayu (Northeastern University; Universiteit Gent); Xu, Yunbo (Northeastern University); Jing, Yi (Northeastern University); Gao, Yijing (Northeastern University); Liu, Hongliang (Technology Research Institute of Bengang Steel Plates Co.); Yu, Yongmei (Shenyang University of Chemical Technology); Banis, Alexandros (Universiteit Gent); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent)","","2023","The phase transformations, microstructure and properties of two Medium-Mn processed via quenching and partitioning steels were compared in this contribution and a new strategy for controlling mechanical properties by introducing and controlling cold-worked ferrite prior to heat treatment is proposed. It was found that during heating, the recovery and recrystallization of cold-worked ferrite compete with austenitization, thereby inhibiting the coarsening of austenite. The cold-worked ferrite interface will significantly delay the austenitization kinetics during the partitioning local equilibrium stage compared to martensite. These results lead to a diverse parent austenite, as well as a refined martensite substructure. As a result, the randomly distributed variants increase the number of effective grain boundaries, thus enhancing yield strength. The intercritical annealing process at a temperature of 860 °C resulted in the formation of fresh martensite-retained austenite (M/RA) constituents exhibiting a remarkably fine (<2 μm) and uniform grain morphology. Such microstructure yielded substantial improvement in both the strength and ductility of the steel. The proposed treatment led to excellent elongation (24%) at fracture, combined with very high ultimate tensile strength and yield strength of 1345 MPa and 1163 MPa, respectively, of the steel, resulting in a product of strength and elongation that exceed 32 GPa%.","Austenitization kinetics; Cold-worked ferrite; Medium‑manganese steel; Microstructure and mechanical properties; Quenching and partitioning process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-09","","","Team Maria Santofimia Navarro","","",""
"uuid:4329b194-7c01-4ecd-849e-8ff3148450f9","http://resolver.tudelft.nl/uuid:4329b194-7c01-4ecd-849e-8ff3148450f9","A conflict cluster-based method for collision avoidance decision-making in multi-ship encounter situations","Liu, Kezhong (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Wu, Xiaolie (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Zhou, Y. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Wuhan University of Technology); Yuan, Zhitao (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Yang, Xing (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Xin, Xuri (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Zhuang, Sujie (Wuhan University of Technology)","","2023","During the process of collision avoidance, especially in a multi-ship encounter situation, the dynamic interactions among individual ships impose a significant impact on collision avoidance decision-making. It is imperative, therefore, that collision avoidance decisions are formulated with a comprehensive consideration of not only the current direct collision conflict but also the potential conflicts due to planned collision avoidance actions. To address this requirement, this paper proposes a dynamic conflict cluster detection method for collision avoidance decision-making in multi-ship encounters. The involved ships are clustered into stable temporal-dependent ship conflict groups taking into account both conflict connectivity and the potential spatiotemporal interactions originating from planned collision avoidance actions. The conflict cluster detection model is implemented within a framework to achieve hierarchical coordinated collision avoidance decision-making. By a simulation experiment of an 11-ship encounter, the proposed method successfully discerns the ships with conflicts and provides feasible collision avoidance decisions. Compared to the non-cluster collision avoidance methods, the proposed method generates the results with acceptable deviating distance and number of collision avoidance actions at minimum computation load. It has been demonstrated that the proposed method is both effective and efficient for officers on board and operators at Vessel Traffic Services centers in real-life navigation.","Collision avoidance; Conflict cluster detection; Decision-making; Multi-ship encounter","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:3c588d63-8900-4375-b60e-204cbe5cafd2","http://resolver.tudelft.nl/uuid:3c588d63-8900-4375-b60e-204cbe5cafd2","Tunable Crossed Andreev Reflection and Elastic Cotunneling in Hybrid Nanowires","Bordin, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Wang, Guanzhong (TU Delft QRD/Wimmer Group; Kavli Institute for Theoretical Sciences; QuTech); Liu, Chun Xiao (Kavli institute of nanoscience Delft; QuTech); ten Haaf, S.L.D. (TU Delft QRD/Goswami Lab; Kavli institute of nanoscience Delft; QuTech); van Loo, N. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Mazur, G.P. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Xu, D. (TU Delft BUS/Quantum Delft; Kavli institute of nanoscience Delft; QuTech); van Driel, D. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Zatelli, F. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Gazibegovic, Sasa (Eindhoven University of Technology); Badawy, Ghada (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Wimmer, M.T. (TU Delft QN/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Dvir, T. (TU Delft Qubit Research Division; TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech)","","2023","A short superconducting segment can couple attached quantum dots via elastic cotunneling (ECT) and crossed Andreev reflection (CAR). Such coupled quantum dots can host Majorana bound states provided that the ratio between CAR and ECT can be controlled. Metallic superconductors have so far been shown to mediate such tunneling phenomena, albeit with limited tunability. Here, we show that Andreev bound states formed in semiconductor-superconductor heterostructures can mediate CAR and ECT over mesoscopic length scales. Andreev bound states possess both an electron and a hole component, giving rise to an intricate interference phenomenon that allows us to tune the ratio between CAR and ECT deterministically. We further show that the combination of intrinsic spin-orbit coupling in InSb nanowires and an applied magnetic field provides another efficient knob to tune the ratio between ECT and CAR and optimize the amount of coupling between neighboring quantum dots.","","en","journal article","","","","","","","","","","Qubit Research Division","QRD/Kouwenhoven Lab","","",""
"uuid:1b016954-6132-41f0-94a7-26b62d3305d2","http://resolver.tudelft.nl/uuid:1b016954-6132-41f0-94a7-26b62d3305d2","Bi-level model predictive control for metro networks: Integration of timetables, passenger flows, and train speed profiles","Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); Xun, Jing (Beijing Jiaotong University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","This paper deals with the train scheduling problem for metro networks taking into account time-dependent passenger origin–destination demands and train speed profiles. The aim is to adjust train schedules online according to time-dependent passenger demands so that passenger satisfaction and operational costs are jointly optimized. An extended passenger absorption model that explicitly includes time-dependent passenger origin–destination demands is developed, where the term “absorption” refers to passengers boarding trains. Then, the passenger absorption model is extended to a bi-level framework, where passenger demands and rolling stock availability are considered at the higher level, and detailed timetables and train speed profiles are included at the lower level. A bi-level model predictive control (MPC) approach is developed for the integrated problem. The optimization problems of both levels of the bi-level MPC approach can be converted into mixed-integer linear programming (MILP) problems, which enables us to solve them with existing MILP solvers. We then show that the recursive feasibility of both the higher-level and the lower-level optimization problems can be guaranteed. In this way, we can achieve real-time train scheduling for the metro system. Numerical experiments, based on real-life data from the Beijing metro network, illustrate the effectiveness of the extended passenger absorption model and the proposed bi-level MPC approach.","Metro network; Model predictive control; Time-dependent passenger origin–destination demand; Train scheduling","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:fc0549e6-376c-47f1-aa5f-df6a8da1da5c","http://resolver.tudelft.nl/uuid:fc0549e6-376c-47f1-aa5f-df6a8da1da5c","Probing Cation Displacements in Antiferroelectrics: A Joint NMR and TEM Approach","Ding, Hui (Technische Universität Darmstadt); Egert, Sonja (Technische Universität Darmstadt); Huang, Binxiang (Technische Universität Darmstadt); Jiang, Tianshu (Technische Universität Darmstadt); Carstensen, Leif (Technische Universität Darmstadt); Šić, Edina (Technische Universität Darmstadt); Liu, Yucheng (Tongji University); Yang, Tongqing (Tongji University); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy)","","2023","High-resolution scanning transmission electron microscopy (STEM) enjoys great advantages for atomic-resolution visualization of the atomic structure, while failing to disclose structural information along the atomic columns. On the other hand, solid-state nuclear magnetic resonance (NMR) spectroscopy is highly sensitive to the three-dimensional, local structure around atoms in the bulk sample but typically cannot provide an intuitive visualization of the structure. Thus, the combination of atomic-resolution (S)TEM and solid-state NMR spectroscopy has the potential to establish an in-depth, multidimensional structural understanding. Here, we explore this novel strategy to probe the structure of antiferroelectric perovskite oxides PbZrO3 and (Pb,La)(Zr,Sn,Ti)O3. We combine complementary information regarding the in-plane displacement vector mapping from STEM with the analysis of local PbO12 environments from 207Pb NMR spectroscopy to provide unprecedented insight into Pb displacements. For PbZrO3, an ordered 4-fold in-plane displacement modulation is clearly revealed via STEM imaging; meanwhile, the out-of-plane information is provided by two discrete 207Pb NMR signals attributed to two crystallographic Pb sites in the 2D-PASS NMR spectrum. In the chemically modified (Pb,La)(Zr,Sn,Ti)O3 system, disorder of the structure manifests in not only an inhomogeneous displacement modulation but also a broad distribution of 207Pb chemical shifts, related to significant disorder of displacement magnitudes and a favoring of larger displacements. We show that the displacement distribution depends on whether both in-plane and out-of-plane displacements or only out-of-plane displacements are considered. Our findings demonstrate the advantages in the structural analysis using combined TEM and NMR approaches, hence laying the foundation work for controlling and optimizing functional properties.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-17","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","http://resolver.tudelft.nl/uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","Effect of microporous layer structural parameters on heat and mass transfer in proton exchange membrane fuel cells","Zhang, Zhenya (Zhengzhou University of Light Industry); Mao, Jia (Zhengzhou University of Light Industry); Wei, Houyu (Zhengzhou University of Light Industry); Cheng, Chuanxiao (Zhengzhou University of Light Industry); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","Proton exchange membrane fuel cells offer promising clean energy solutions for various applications. However, their performance relies heavily on the properties of the microporous layer, which plays a crucial role in transporting and distributing the components in the fuel cell. To date, the potential for optimising the microporous layer material structural parameters to enhance the fuel cell performance remains largely unexplored. This study aims to fill this research gap by conducting a comprehensive investigation of the effects of different microporous layer material structural parameters on the heat and mass transfer in the membrane electrode assembly. MATLAB was used for optimising the performance of the fuel cell components. The results show that increasing the microporous layer thickness from 5 to 50 μm significantly affects the species transport, leading to a substantial reduction in the molar fraction of H2 and O2 at the electrochemical reaction sites. Furthermore, the distribution of the liquid water saturation inside the fuel cell is influenced by the porosity and permeability of the microporous layer. By increasing the porosity from 0.3 to 0.6, the liquid water saturation at the interface of the catalyst layer and microporous layer decreases by 0.52 % and 1.12 % at output voltages of 0.5 V and 0.7 V, respectively. This reduction enhances the efficiency of internal water transport. Moreover, reducing the permeability of the microporous layer from 2 × 10-12 to 1 × 10-13 at 0.5 V and 0.7 V leads to an increase in liquid water saturation at the interface of the proton exchange membrane and the catalyst layer by 1.49 % and 0.74 %, respectively, causing hindrance to the transport of internal liquid water. This study provides valuable insights into the interplay between the properties of the microporous layer material properties and heat and mass transfer characteristics in proton exchange membrane fuel cell.","Fuel cell efficiency; Heat and mass transfer; Microporous layer; Performance optimization; Proton exchange membrane fuel cells","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","http://resolver.tudelft.nl/uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","High dielectric filler for all-solid-state lithium metal battery","Wang, C. (TU Delft RST/Storage of Electrochemical Energy; The Hong Kong Polytechnic University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Boshuizen, B. (TU Delft ChemE/O&O groep); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Lithium metal with its high theoretical capacity and low negative potential is considered one of the most important candidates to raise the energy density of all-solid-state batteries. However, lithium filament growth and its induced solid electrolyte decomposition pose severe challenges to realize a long cycle life. Here, dendrite growth in solid-state Li metal batteries is alleviated by introducing a high dielectric material, barium titanate, as a filler that removes the electric field gradients that catalyze dendrite formation. In symmetrical Li-metal cells, this results in a very small over-potential of only 48 mV at a relatively high current density of 1 mA cm−2, when cycling a capacity of 2 mA h cm−2 during 1700 h. The high dielectric filler improves the Coulombic efficiency and cycle life of full cells and suppresses electrolyte decomposition as indicated by solid-state nuclear magnetic resonance (NMR) and X-ray photoelectron spectroscopy (XPS) measurements. This indicates that the high dielectric filler can suppress dendrite formation, thereby reducing solid electrolyte decomposition reactions, resulting in the observed low overpotentials and improved cycling efficiency.","All-solid-state batteries; Dendrite-free; Electrolyte decomposition; High dielectric filler; Li-metal anode","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:f03a92f6-37ee-4ac5-97cd-2501401fd19f","http://resolver.tudelft.nl/uuid:f03a92f6-37ee-4ac5-97cd-2501401fd19f","A novel lattice model to predict chloride diffusion coefficient of unsaturated cementitious materials based on multi-typed pore structure characteristics","Tong, Liang-yu (Shanghai Jiao Tong University); Xiong, Qing Xiang (Shanghai Jiao Tong University); Zhang, Zhidong (ETH Zürich); Chen, Xiangsheng (Shenzhen University); Ye, G. (TU Delft Materials and Environment); Liu, Qing feng (Shanghai Jiao Tong University)","","2023","This paper develops a novel lattice diffusive model to quantitatively study the chloride diffusion coefficient in unsaturated cementitious materials, in which the pore voxels are redistributed to make a better representation of a real microstructure of hardened cement paste. Considering the hierarchical microstructure and different drying-wetting cycles, water distributions in multiscale pore structures are modelled and the structure characteristics of water-filled pores, including water connectivity, water tortuosity and effective porosity, are computationally extracted based on that. A lattice diffusion network is established to predict relative chloride diffusion coefficient by combining the effect of both water saturation degree and pore structure characteristics. The predicted results are validated against experimental data, and a concise analytical equation is proposed to predict the relative chloride diffusion coefficient. The equation indicated that the relative chloride diffusion coefficient is proportional to water connectivity but inversely proportional to the square of water tortuosity. Besides, the lattice model's quantitative results reveal that the water connectivity and water tortuosity are highly related to pre-water loading processes, and influenced by the gel pore fraction, which in turn will affect the relative chloride diffusion coefficient. Compared with existing equations and non-redistributed models, the present model could improve the prediction accuracy significantly.","Cementitious materials; Chloride diffusion; Drying-wetting process; Lattice model; Pore structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-07","","","Materials and Environment","","",""
"uuid:7c06d0a0-c381-47ae-baeb-e189f11ec0ff","http://resolver.tudelft.nl/uuid:7c06d0a0-c381-47ae-baeb-e189f11ec0ff","A high resolution interferometric method to measure local swelling due to CO2 exposure in coal and shale","Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Liu, J. (Eindhoven University of Technology; Universiteit Utrecht); Kohler, F. (Universitetet i Oslo); Renard, F. (Universitetet i Oslo; Institut des Sciences de la Terre, Grenoble); Dysthe, DK (Universitetet i Oslo)","","2018","We present an experimental method to study time-dependent, CO2-induced, local topography changes in mm-sized composite samples, plus results showing heterogeneous swelling of coal and shale on the nano- to micrometer scale. These results were obtained using high resolution interferometry measurements of sample topography, combined with a new type of experimental microfluidic device. This device is a custom-built pressure vessel, which can contain any impermeable sample type and can be placed under any microscope. The pressure vessel itself has been tested to handle pressures up to 100 bar at room temperature conditions. For the experiments reported here we used three sample types: i) epoxy and dolomite, ii) coal, epoxy and dolomite and iii) shale. These model systems (thicknesses between 2 and 10 mm) were exposed to pressurized CO2 (20–35 bars) and subsequently deformation over time was monitored with a white light interferometer. This provided a lateral spatial resolution of 979 nm and a vertical spatial resolution of 200 nm, i.e. sufficient resolution so that coal and shale constituents can be tracked individually. Within 72 h epoxy swells homogeneously up to 11 μm, coal swells 4 ± 1 μm and dolomite is unreactive with the dry CO2 injected here, and as such is used as a reference surface. The differential swelling of coal can be correlated in space with the macerals, where macerals with an initial higher topography swell more. The average or bulk swelling exhibits an approximate t½ relation, indicative of diffusion-controlled adsorption of CO2 on the organic matter. Measurements of the differential swelling of both shale samples enabled tracking of individual patches of organic matter within the shale (max. 20 × 20 μm). These patches exhibit finite swelling of on average 250 nm in 4 h (in the Pomeranian shale) and 850 μm in 20 h (in the Green River shale), where total swelling is assumed to be related to the volume of the patches of organic matter.","CO storage; Enhanced coal bed methane (ECBM); Heterogeneous swelling; Shale gas; Surface deformation; Time-dependent deformation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-07-10","","","Applied Geophysics and Petrophysics","","",""
"uuid:710753aa-bdf0-4bf1-8fce-803672ba2417","http://resolver.tudelft.nl/uuid:710753aa-bdf0-4bf1-8fce-803672ba2417","Excitation of unidirectional exchange spin waves by a nanoscale magnetic grating","Chen, Jilei (Beihang University); Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Liu, Chuanpu (Beihang University); Liu, Tao (Colorado State University); Madami, Marco (University of Perugia); Shen, Ka (Beijing Normal University); Zhang, J (Beihang University); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University; Rijksuniversiteit Groningen)","","2019","Magnon spintronics is a prosperous field that promises beyond-CMOS technology based on elementary excitations of the magnetic order that act as information carriers for future computational architectures. Unidirectional propagation of spin waves is key to the realization of magnonic logic devices. However, previous efforts to enhance the magnetostatic surface spin wave nonreciprocity did not realize (let alone control) purely unidirectional propagation. Here we experimentally demonstrate excitation of unidirectional exchange spin waves by a nanoscale magnetic grating consisting of Co nanowires fabricated on an ultrathin yttrium iron garnet film. We explain and model the nearly perfect unidirectional excitation by the chirality of the magneto-dipolar interactions between the Kittel mode of the nanowires and the exchange spin waves of the film. Reversal of the magnetic configurations of film and nanowire array from parallel to antiparallel changes the direction of the excited spin waves. Our results raise the prospect of a chiral magnonic logic without the need to involve fragile surface states.","magnetic interaction; spin dynamics; spin waves; spintronics","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:faf5c6e1-3b93-4c35-b5b4-b0c78b59bb86","http://resolver.tudelft.nl/uuid:faf5c6e1-3b93-4c35-b5b4-b0c78b59bb86","First demonstration of l-band high-power limiter with gan schottky barrier diodes (Sbds) based on steep-mesa technology","Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Kang, Xuanwu (Chinese Academy of Sciences); Deng, Shixiong (National University of Defense Technology; Hebei Semiconductor Research Institute); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Xu, Linwang (Hebei Semiconductor Research Institute); Wu, Hao (Chinese Academy of Sciences); Liu, Xinyu (Chinese Academy of Sciences)","","2021","Gallium nitride (GaN) has attracted increased attention because of superior material properties, such as high electron saturation velocity and high electrical field strength, which are promising for high-power microwave applications. We report on a high-performance vertical GaN-based Schottky barrier diode (SBD) and its demonstration in a microwave power limiter for the first time. The fabricated SBD achieved a very low differential specific on-resistance (RON,sp) of 0.21 mΩ·cm2, attributed to the steep-mesa technology, which assists in reducing the spacing between the edge of the anode and cathode to 2 µm. Meanwhile, a low leakage current of ~10−9 A/cm2@−10 V, a high forward current density of 9.4 kA/cm2 at 3 V in DC, and an ideality factor of 1.04 were achieved. Scattering parameter measurements showed that the insertion loss (S21 ) was lower than −3 dB until 3 GHz. In addition, a microwave power limiter circuit with two anti-parallel diodes was built and measured on an alumina substrate. The input power level reached 40 dBm (10 watts) in continuous-wave mode at 2 GHz, with a corresponding leakage power of 27.2 dBm (0.5 watts) at the output port of the limiter, exhibiting the great potential of GaN SBD in microwave power limiters.","GaN SBD; High power; L band; Schottky diode limiter; Vertical","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:fa187565-2f24-4b6b-9360-ae177687e9ab","http://resolver.tudelft.nl/uuid:fa187565-2f24-4b6b-9360-ae177687e9ab","Low Leakage and High Forward Current Density Quasi-Vertical GaN Schottky Barrier Diode With Post-Mesa Nitridation","Kang, Xuanwu (Fudan University; Chinese Academy of Sciences); Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Wu, Hao (Fudan University; Chinese Academy of Sciences); Zhao, Yuanyuan (Chinese Academy of Sciences); Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (Fudan University)","","2021","In this brief, a high-performance quasi-vertical GaN Schottky barrier diode (SBD) on sapphire substrate with post-mesa nitridation process is reported, featuring a low damaged sidewall with extremely low leakage current. The fabricated SBD with a drift layer of 1 μm has achieved a very high ON/OFF current ratio (Iscriptscriptstyle ON/Iscriptscriptstyle OFF of 1012 with a low leakage current of ∼ 10-9 A/cm2@-10 V, high forward current density of 5.2 kA/cm2 at 3 V in dc, a low differential specific ON-resistance (Rscriptscriptstyle ONsp) of 0.3 m Ω cm2, and ideality factor of 1.04. In addition, a transmission-line-pulse (TLP) I-V test was carried out and 53 kA/cm2 at 30 V in pulsed measurement was obtained without device failure, exhibiting a great potential for high power applications.","GaN; high forward current density; leakage; mesa; quasi; Schottky barrier diode (SBD); transmission-line-pulse (TLP); vertical.","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","http://resolver.tudelft.nl/uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","Nanostructure and damage characterisation of bitumen under a low cycle strain-controlled fatigue load based on molecular simulations and rheological measurements","Gao, Y. (Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Southeast University)","","2024","Bitumen fatigue resistance is critical to determine the overall fatigue performance and service life of asphalt pavements. However, the mechanisms responsible for fatigue damage of bitumen have previously not been well understood. Molecular dynamics (MD) simulation has recently emerged as a powerful computer-aided numerical technique to model the microscopic failure behaviours in materials. This study aims to use the MD method to investigate the molecular origin of bitumen fatigue damage. The molecular models of the virgin and aged PEN70/100 bitumen were firstly constructed based on their saturate, aromatic, resin and asphaltene (SARA) four fractions. An MD equilibrium was run on the developed bitumen models with the assigned interatomic potentials. Following an MD-based tensile simulation, a strain-controlled fatigue simulation was performed to study the nanostructure and damage behaviours of the virgin and aged bitumen under fatigue loading by calculating the stress-strain response, potential energy, molecular structure and nanovoid volumes. Furthermore, a rheometer measurement was also conducted to characterise the fatigue damage of the bitumen directly by a crack length at the macroscale. Results indicate that the bitumen molecules become unfolded and tend to align along the loading direction when fatigue loading was applied. The change in the molecular configuration helped the molecular chains move closer together and thus contributed to the reduction of the intermolecular interactions including the van der Waals and Coulombic energies. With the increasing load cycles, nanovoids were formed and grew in the bitumen through molecular rearrangement and movement, leading to microscopic fatigue damage of the bitumen. It was found that the aged bitumen produced more severe fatigue damage than the virgin bitumen, which was indicated by the MD-based nanovoid volume at the molecular scale and the DSR-based crack length at the macroscale. The findings from MD simulation provide a fundamental understanding of the molecular origin of fatigue damage, that cannot be experimentally detected for bitumen materials.","Bitumen; Fatigue damage; Nanostructure; MD simulation; Rheometer measurement","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:0f9a0d4f-de66-44ef-951e-989d254ec59f","http://resolver.tudelft.nl/uuid:0f9a0d4f-de66-44ef-951e-989d254ec59f","Modeling a voltage source converter assisted resonant current DC breaker for real time studies","Mirhosseini, S.S. (TU Delft Intelligent Electrical Power Grids; Iran University of Science and Technology); Liu, S. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Liu, Z. (Aalborg University); Jamali, Sadegh (Iran University of Science and Technology); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2020","In order to test protection performance of future multi-terminal HVDC grids where DC circuit breakers (DC CBs) play an important role, a DC CB model in real time test environment should be developed. It is well known that a DC CB needs to interrupt DC faults very quickly in order to avoid converter damages and to ensure security of supply. The total current interruption time consists of a fault detection time, which is needed for the DC protection to provide a trip command to the DC CB, and a DC CB interruption time. Thus, it is necessary to demonstrate the performance of associated protective devices through real time simulations, before these devices can be implemented and commissioned in practice. This paper presents a detailed modeling of the voltage source converter assisted resonant current DC circuit breaker (VARC DC CB) in real time simulation environment based on RTDS. The proposed model provides sufficient representation of the circuit breaker for system level studies. External current-voltage characteristics of the proposed VARC DC CB models replicate the ones of the device in the real world. The proposed model of the breaker is tested in a simple test circuit including a DC voltage source and a T-scheme HVDC cable. Additionally, a case study has been presented by making use of a protection algorithm in a multi-terminal HVDC grid with frequency dependent parameters of the HVDC cables to show both protection performance and current interruption.","Circuit breaker performance; MTDC grid; RTDS model; VARC DC circuit breaker","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","http://resolver.tudelft.nl/uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","Asymmetric α-benzylation of cyclic ketones enabled by concurrent chemical aldol condensation and biocatalytic reduction","Liu, Yunting (Hebei University of Technology); Ma, Teng (Hebei University of Technology); Guo, Zhongxu (Hebei University of Technology); Zhou, Liya (Hebei University of Technology); Liu, Guanhua (Hebei University of Technology); He, Ying (Hebei University of Technology); Ma, Li (Hebei University of Technology); Gao, Jing (Hebei University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis)","","2024","Chemoenzymatic cascade catalysis has emerged as a revolutionary tool for streamlining traditional retrosynthetic disconnections, creating new possibilities for the asymmetric synthesis of valuable chiral compounds. Here we construct a one-pot concurrent chemoenzymatic cascade by integrating organobismuth-catalyzed aldol condensation with ene-reductase (ER)-catalyzed enantioselective reduction, enabling the formal asymmetric α-benzylation of cyclic ketones. To achieve this, we develop a pair of enantiocomplementary ERs capable of reducing α-arylidene cyclic ketones, lactams, and lactones. Our engineered mutants exhibit significantly higher activity, up to 37-fold, and broader substrate specificity compared to the parent enzyme. The key to success is due to the well-tuned hydride attack distance/angle and, more importantly, to the synergistic proton-delivery triade of Tyr28-Tyr69-Tyr169. Molecular docking and density functional theory (DFT) studies provide important insights into the bioreduction mechanisms. Furthermore, we demonstrate the synthetic utility of the best mutants in the asymmetric synthesis of several key chiral synthons.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","http://resolver.tudelft.nl/uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","Design and modelling of a reversible shape memory alloy torsion hinge actuator","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2024","Conventional hinge actuators often face limitations including excessive weight, large size and unpleasant noise. Shape memory alloys (SMAs) offer a solution to address these issues due to their favorable characteristics, such as lightweight, high actuation force and small form factor. However, most existing SMA-based hinge actuators rely on the tension loading mode. Achieving an ideal actuation angle thereby necessitates the inclusion of long SMA wires, which inadvertently constrains the actuator size. Notably, the full potential of SMAs’ deformation capacities, encompassing torsion and bending, remains largely untapped and underutilized. In this research, a reversible torsion SMA hinge actuator is studied, which can reversibly open 60° during heating and cooling. The actuator weighs 2 g, and can produce actuation forces of up to 5 N. The mechanical performances of nitinol at different temperatures are measured. Based on the measurements, a model which can predict the opening and closing angle is proposed, with deviations of 13.5 ± 8.2 %. Gripper and butterfly demonstrators constructed by the hinge actuators are given as application examples. The actuators hold potential in many fields like soft robotics, aerospace and medical instruments.","Nitinol; Phenomenological model; Reversible hinge actuator; Shape memory alloy; Torsion deformation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:d19e79cd-83f4-4ca9-8f47-d9b925814d9c","http://resolver.tudelft.nl/uuid:d19e79cd-83f4-4ca9-8f47-d9b925814d9c","Characterizing two types of zonation within slag rims of aged alkali-activated slag pastes through SEM and TEM","Zhang, Y. (TU Delft Materials and Environment; Southeast University); Zhang, Shizhe (TU Delft Materials and Environment; Renewi Mineralz & Water); Liu, C. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","In this paper, the authors characterized two types of zonation within slag rims in aged alkali-activated slag (AAS) systems through SEM and TEM technology. These two elemental zonation were closely related to the pore structure of AAS pastes, thus providing strong implication for the transport- and durability-related performance of AAS systems. The first type of zonation occurred in the rims of AAS specimens under sealed curing. It was found that lath-like hydrotalcite-like phase accumulated near the boundary while a generally homogeneous and foil-like C-(N-)A-S-H gel phases precipitated in the following sub-zone. When slag rims were thick enough, a new Mg-rich region occurred. The second type of zonation was noticed in the carbonated AAS pastes. For this kind of distribution pattern, C-(N-)A-S-H gel phases were observed near the boundary. Following, the accumulation of Mg and Ca occurred alternatively. Additionally, transformation mechanism between these two types of zonation was also proposed.","Alkali-activated slag; Capillary pore; Carbonation; SEM and TEM; Slag rim; Zonation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:61868d82-e498-4bb0-8352-616415580c4f","http://resolver.tudelft.nl/uuid:61868d82-e498-4bb0-8352-616415580c4f","Unravelling microstructure-electroactivity relationships in free-standing polycrystalline boron-doped diamond: A mapping study","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Hall, Clive E. (Mintres B.V.); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2024","In this work, four different techniques were concurrently applied to study the interplay between local electroactivity and electrode surface characteristics of free-standing, polycrystalline boron-doped diamond (BDD). Scanning electron microscopy, electron back-scatter diffraction, Raman mapping and scanning electrochemical microscopy were used to probe the electrode morphology, grain orientation and boundaries, composition, and local electrochemical activity, respectively. Both nucleation and growth BDD surfaces together with the cross-section area were carefully investigated for the first time in a single study using the combination of all four techniques. This enabled us to obtain significant insights into the highly heterogeneous nature of the polycrystalline BDD material. Notably, boron dopants were confirmed to be non-uniformly distributed over the BDD material, which is characterized by a distinct columnar structure and composition of grains of various orientations. Particularly, the highest electrochemical activity was recorded on the highest doped (111) crystal orientation. In contrast, the averagely boron-doped (100)-oriented facet showed non-conductive nature. This highlights that the local electrochemical activity of the BDD surface is strongly grain-dependent and the most significant factors governing the obtained responses are crystallographic orientation and boron doping. Moreover, increased boron and sp2 carbon content in the boundary regions was recognized by Raman mapping. However, such localized enrichment in impurities did not translate into enhanced electrochemical activity, which implies that boron atoms at the inter-grain areas are predominantly inactive. Finally, it is crucial to consider all characteristics of the polycrystalline BDD including crystal orientation, which is particularly relevant if micro- and nanoscale probing is intended.","Boron-doped diamond (BDD); Electron backscatter diffraction; Grain boundaries; Raman mapping; Scanning electrochemical microscopy (SECM)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","http://resolver.tudelft.nl/uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","Random organic framework membranes with hierarchical channels for H2 separation","Luan, Liping (Tianjin University); Shi, Puxin (Tianjin University); Wang, Zhi (Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Liu, Xinlei (Tianjin University)","","2024","Novel membrane materials for H2 separation are wanted. How to overcome the “trade-off” between membrane permeability and selectivity is a tough challenge. Here we report new random organic framework membranes with benzimidazole and imine linkages to form hierarchical channels. Both high H2-selective and fast H2 transport pathways are created. The preparation parameters are thoroughly studied and the membrane structures are well characterized by SEM, AFM, NMR, XPS, gas sorption, etc. Effect of feed conditions on membrane performance, such as composition, pressure and temperature, is investigated. The membrane performance transcends the upper bounds of H2/CO2, H2/N2 and H2/CH4 with excellent stability.","Benzimidazole and imine linked polymer; H separation; Hierarchical channels; Random organic framework membranes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","ChemE/Catalysis Engineering","","",""
"uuid:22824b37-1333-4610-9072-46bb06a172c2","http://resolver.tudelft.nl/uuid:22824b37-1333-4610-9072-46bb06a172c2","A critical assessment and summary on the low carbon energy pile technologies based on the life-cycle perspective: Challenges and prospects","Zhang, Linfeng (Southeast University); Han, Haozhe (The Hong Kong Polytechnic University); Li, Wenxin (Southeast University); Guo, Kewei (Southeast University); Yuan, Minglu (Southeast University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2024","Energy piles, a technology integrating the heat exchange component within building pile foundations for shallow geothermal energy utilization, have proven economically efficient. They outperform conventional ground source heat pumps by mitigating additional borehole costs and space requirements. This paper systematically examines low-carbon considerations and optimization measures throughout the planning, design, construction, and operation stages of energy piles, considering the entire lifecycle. Furthermore, this paper discusses potential challenges associated with decarbonizing energy piles, offering solutions based on case studies and environmental impact assessments. Through a comprehensive critical review and analysis of existing knowledge, this paper presents a systematic theory and methodology for optimal decarbonization of energy piles, serving as a valuable resource for building practitioners and researchers in this field. The findings not only contribute to a solid theoretical foundation but also provide technical support for the advancement and application of energy pile systems.","Building carbon emissions; Energy piles; Full life-cycle; Low carbonization; Optimize design; Shallow geothermal energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Design & Construction Management","","",""
"uuid:8775cff7-5db3-43b4-ba04-f7b25e13bdd7","http://resolver.tudelft.nl/uuid:8775cff7-5db3-43b4-ba04-f7b25e13bdd7","Temperature-Dependent Interplay between Structural and Charge Carrier Dynamics in CsMAFA-Based Perovskites","Zhao, J. (TU Delft ChemE/Opto-electronic Materials); Liu, X. (TU Delft ChemE/O&O groep); Wu, Zijin (Eindhoven University of Technology); Ibrahim, B. (TU Delft ChemE/O&O groep); Thieme, J. (TU Delft ChemE/O&O groep); Brocks, Geert (Eindhoven University of Technology; University of Twente); Tao, Shuxia (Eindhoven University of Technology); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","State-of-the-art triple cation, mixed halide perovskites are extensively studied in perovskite solar cells, showing very promising performance and stability. However, an in-depth fundamental understanding of how the phase behavior in Cs0.05FA0.85MA0.10Pb(I0.97Br0.03)3 (CsMAFA) affects the optoelectronic properties is still lacking. The refined unit cell parameters a and c in combination with the thermal expansion coefficients derived from X-ray diffraction patterns reveal that CsMAFA undergoes an α–β phase transition at ≈280 K and another transition to the γ-phase at ≈180 K. From the analyses of the electrodeless microwave photoconductivity measurements it is shown that shallow traps only in the γ-phase negatively affect the charge carrier dynamics. Most importantly, CsMAFA exhibits the lowest amount of microstrain in the β-phase at around 240 K, corresponding to the lowest amount of trap density, which translates into the longest charge carrier diffusion length for electrons and holes. Below 200 K a considerable increase in deep trap states is found most likely related to the temperature-induced compressive microstrain leading to a huge imbalance in charge carrier diffusion lengths between electrons and holes. This work provides valuable insight into how temperature-dependent changes in structure affect the charge carrier dynamics in FA-rich perovskites.","charge carrier dynamics; metal halide perovskites; microstrain; phase structure; shallow trap states; time-resolved microwave conductivity","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:6f6a1c63-4362-46bb-a7f9-1417ff52a3ad","http://resolver.tudelft.nl/uuid:6f6a1c63-4362-46bb-a7f9-1417ff52a3ad","A sustainable battery scheduling and echelon utilization framework for electric bus network with photovoltaic charging infrastructure","Liu, Xiaohan (Beihang University); Shang, Wen Long (Beijing University of Technology; Beijing Jiaotong University; Imperial College London); Correia, Gonçalo (TU Delft Transport and Planning); Liu, Zhengke (Beihang University); Ma, Xiaolei (Beihang University; Ministry of Education Hangzhou)","","2023","Battery capacity degradation in battery electric buses (BEBs) poses a significant operational challenge for transit agencies. This study presents a sustainable battery scheduling and echelon utilization framework considering battery capacity fading and charging infrastructure integrated with solar photovoltaic (PV) and energy storage systems. The framework aims to minimize the sum of bus charging, battery replacement, and carbon emission costs during the BEB lifespan. We first present a power battery replacement problem for a single bus fleet, then extend it to a joint power battery replacement and fleet-depot matching problem. Finally, we propose a power battery replacement and fleet-depot problem by introducing solar PV and energy storage systems. Dynamic programming, Lagrange relaxation, and a two-step approach are adopted to solve the three problems. A case study involving six bus depots in Beijing demonstrates that optimal battery replacement schedules can significantly lower charging costs. Moreover, integrating solar PV and energy storage is shown to considerably reduce both charging costs and carbon emissions.","Battery capacity degradation; Dynamic programming; Energy storage system; Public transport; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-19","","","Transport and Planning","","",""
"uuid:90ee313f-a926-49b0-b915-5664b463f122","http://resolver.tudelft.nl/uuid:90ee313f-a926-49b0-b915-5664b463f122","Role of Surface Roughness in Surface Energy Calculation of Aggregate Minerals","Gao, Y. (TU Delft Pavement Engineering; Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Yuanyuan (Wuhan Institute of Technology); Zhang, Yuqing (Southeast University)","","2023","Surface energy is a key material property and can work as a crucial parameter in various mechanical models to predict the moisture sensitivity and fatigue damage of asphalt mixtures. The calculated surface energy values of the aggregate minerals strongly depend on their surface roughness. Therefore, it is very relevant for accurate calculation of surface energy to study the relationship between roughness and surface energy. This study aims to investigate the relationship between surface roughness and surface energy of aggregate minerals. Two minerals—quartz and calcite—were used for this study. The surfaces of the mineral specimens were treated to achieve four levels of roughness. Their surface roughness was described by three roughness parameters. Based on the sessile drop method, an optical tensiometer with a 3D topography module was employed to measure the contact angle and the surface energy of the minerals with different roughness. The influences of surface roughness on the contact angle and the surface energy were then analyzed. The results showed that the contact angle for both quartz and calcite decreases with the increasing surface roughness when it is less than 90° and increases when it is greater than 90°. The Wenzel equation can remove the effect of surface roughness on the contact angles of the minerals. The surface energy of quartz and calcite in the presence of roughness at the microscale would be underestimated when using the measured (apparent) contact angle. The corrected surface energy based on the Wenzel equation must be applied to represent the real surface energy of the minerals.","aggregate minerals; contact angle; sessile drop (SD) method; surface energy; surface roughness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-25","","","Pavement Engineering","","",""
"uuid:a80b1d8d-6711-4b19-95b4-fc11dcadf64f","http://resolver.tudelft.nl/uuid:a80b1d8d-6711-4b19-95b4-fc11dcadf64f","Effects of temperature on autogenous deformation and early-age stress evolution in cement pastes with low water to cement ratio","Liang, M. (TU Delft Materials and Environment); Liu, C. (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Chang, Z. (Eindhoven University of Technology); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","This paper investigates the influence of temperature on autogenous deformation and early-age stress (EAS) evolution in ordinary Portland cement paste using a recently developed Mini Temperature Stress Testing Machine (Mini-TSTM) and Mini Autogenous Deformation Testing Machine (Mini-ADTM). In the Mini-TSTM/ ADTM, CEM I 42.5 N paste with a water-cement ratio of 0.30 was tested under a curing temperature of 10, 15, 20, 25, 30, and 40 °C. X-Ray diffraction (XRD) tests were conducted to measure the amount of ettringite and calcium hydroxide, which reveals the micro-scale mechanisms of autogenous expansion. The applicability of the Maturity Concept (MC) for the prediction of autogenous deformation and relaxation modulus under different temperatures was also examined by the experimental data and the viscoelastic model. This paper leads to the following findings: 1) The autogenous deformation of ordinary Portland cement paste is a four-stage process comprising the initial shrinkage, autogenous expansion, plateau, and autogenous shrinkage; 2) Higher temperature leads to higher early-age cracking (EAC) risk because it accelerates the transitions through the first three stages and causes the autogenous shrinkage stage to start earlier. Moreover, higher temperatures also result in increased rates of autogenous shrinkage and EAS in the autogenous shrinkage stage; 3) Autogenous expansion and plateau are attributed to the crystallization pressure induced by CH. Temperature-dependent CH formation rates determine the duration of the plateau stage; 4) Low-temperature curing can delay but not completely prevent the EAC induced by autogenous deformation; 5) The MC cannot predict the autogenous deformation at different temperatures but can be used to calculate the relaxation modulus, which in turn aids in EAS prediction based on autogenous deformation data.","Autogenous deformation; Creep/ relaxation; Early-age cracking; Stress evolution; Temperature","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","http://resolver.tudelft.nl/uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","Investigation of the first quasi-rectangular metro tunnel constructed by the 0−θ method","Li, Peinan (Donghua University); Liu, Xue (Donghua University); Jiang, Xi (University of Tennessee); Zhang, X. (TU Delft Geo-engineering); Wu, Jun (Shanghai University of Engineering Science); Chen, Peixin (Shanghai Tunnel Engineering Co. Ltd.)","","2024","Quasi-rectangular shield tunneling is a cutting-edge trenchless method for constructing metro tunnels with double tubes, owing to its advantages in saving underground space and reducing ground disturbance. However, the conventional quasi-rectangular shield tunneling method is not applicable when constructing a tunnel without a center pillar, such as a scissor crossover section of a metro line. Therefore, the 0−θ tunneling method, which combines the quasi-rectangular shield and pipe jacking methods, was investigated in this study to solve the aforementioned construction challenges. This study presents a case study of the Sijiqing Station of the Hangzhou Metro Line 9 in China, in which the 0−θ method was first proposed and applied. Key techniques such as switching between two types of tunneling modes and the tunneling process control in complex construction environments were investigated. The results demonstrated that the 0−θ method can address the technical challenges presented by the post-transition line with a high curvature and a scissors crossover line. In addition, the adoption of the 0−θ method ensured that the transformation between shield tunneling and pipe jacking was safe and efficient. The ground settlement monitoring results demonstrated that the disturbance to the surrounding environment can be limited to a safe level. This case study contributes to the construction technology for a metro tunnel containing both post-transition lines with a small turning radius and a scissors crossover line. A practical construction experience and theoretical guidance were provided in this study, which are of significance for both the industry and academia.","0−θ method; pipe jacking; quasi-rectangular tunnel; shield tunneling; underground space","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Geo-engineering","","",""
"uuid:26200c27-caee-41ca-8359-19565724e26f","http://resolver.tudelft.nl/uuid:26200c27-caee-41ca-8359-19565724e26f","Numerical study on the chemical and electrochemical coupling mechanisms for concrete under combined chloride-sulfate attack","Meng, Z. (TU Delft Materials and Environment; Shanghai Jiao Tong University); Liu, Qing feng (Shanghai Jiao Tong University); Ukrainczyk, Neven (Technische Universität Darmstadt); Mu, Song (Jiangsu Research Institute of Building Science); Zhang, Yufei (University of Macau); De Schutter, Geert (Universiteit Gent)","","2023","Cementitious materials exposed to marine and saline environments are commonly threatened by a combined attack of sulfate and chloride ions. This study developed a numerical framework to investigate two combined coupling mechanisms of 1) coupled solid-liquid chemical reactions for competitive chloride-sulfate attack and 2) electrostatic multi-ion coupling effect on reactive-transport mechanisms. Various chemical reactions including sulfate attack with anhydrous calcium aluminates, secondary precipitation of expansive minerals, competitive binding, and calcium leaching have been quantified. The electrostatic potential caused by multi-ions coupling was solved according to constitutive electrochemical laws. After model validation, the chemical coupling mechanisms for solid-liquid reactions during competitive chloride-sulfate binding were investigated. On this foundation, the influence of electrostatic multi-ionic coupling effects on ionic transport and its interaction with chemical coupling were disclosed. It was found that neglecting multi-ions coupling effect would result in an underestimated chemical coupling strength in competitive chloride-sulfate binding.","Chloride ingress; Competitive binding; Electrostatic potential; Numerical modelling; Reactive-transport; Sulfate attack","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Materials and Environment","","",""
"uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","http://resolver.tudelft.nl/uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","Effects of Grain Boundaries and Surfaces on Electronic and Mechanical Properties of Solid Electrolytes","Xie, Weihang (National University of Singapore); Deng, Zeyu (National University of Singapore); Liu, Zhengyu (National University of Singapore); Famprikis, T. (TU Delft RST/Storage of Electrochemical Energy); Butler, Keith T. (University College London (UCL)); Canepa, Pieremanuele (National University of Singapore; University of Houston)","","2024","Extended defects, including exposed surfaces and grain boundaries (GBs), are critical to the properties of polycrystalline solid electrolytes in all-solid-state batteries (ASSBs). These defects can alter the mechanical and electronic properties of solid electrolytes, with direct manifestations in the performance of ASSBs. Here, by building a library of 590 surfaces and grain boundaries of 11 relevant solid electrolytes—including halides, oxides, and sulfides— their electronic, mechanical, and thermodynamic characteristics are linked to the functional properties of polycrystalline solid electrolytes. It is found that the energy required to mechanically “separate” grain boundaries can be significantly lower than in the bulk region of materials, which can trigger preferential cracking of solid electrolyte particles in the grain boundary regions. The brittleness of ceramic solid electrolytes, inferred from the predicted low fracture toughness at the grain boundaries, contributes to their cracking under local pressure imparted by lithium (sodium) penetration in the grain boundaries. Extended defects of solid electrolytes introduce new electronic interfacial states within bandgaps of solid electrolytes. These states alter and possibly increase locally the availability of free electrons and holes in solid electrolytes. Factoring effects arising from extended defects appear crucial to explain electrochemical and mechanical observations in ASSBs.","electronic properties; first-principles calculations; grain boundaries; mechanical properties; solid electrolytes; surfaces","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a97d32fe-e891-4424-a238-4916a7254d5a","http://resolver.tudelft.nl/uuid:a97d32fe-e891-4424-a238-4916a7254d5a","Structural evolution of calcium sodium aluminosilicate hydrate (C-(N-)A-S-H) gels induced by water exposure: The impact of Na leaching","Liu, C. (TU Delft Materials and Environment); Li, Zhenming (Harbin Institute of Technology; University of Sheffield); Nie, Shuai (Aarhus University); Skibsted, Jørgen (Aarhus University); Ye, G. (TU Delft Materials and Environment)","","2024","Calcium sodium aluminosilicate hydrate C-(N-)A-S-H gels, formed through the alkali-activation of calcium silicate-based materials, may exhibit greater susceptibility to aqueous environments when compared to traditional C-(A-)S-H phases formed by hydration of blended Portland cements. This study investigates structural changes in synthesized C-(N-)A-S-H gels triggered by water immersion. Three gels have been examined, each with stoichiometrically controlled ratios of Ca/Si (0.8 and 1.2), Al/Si (0.1 and 0.3), and Na/Si (0.1, 0.2, and 0.3). The gel with a higher Ca/Si ratio demonstrated enhanced resistance to water leaching and only experienced marginal decalcification whereas the gels with lower Ca/Si ratios exhibited more pronounced effects including leaching losses of Si. Notably, all gels displayed rapid and substantial sodium leaching, contributing to an increased degree of polymerization for the aluminosilicate tetrahedra in the gels. A plausible mechanism for this change is that Na leaches out from the interlayer and Ca ions progressively take over the role of charge compensators in the interlayer of the C-(N-)A-S-H structure.","Na, Al and Si NMR; C-(N-)A-S-H gel; Leaching; Structural evolution; Water immersion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-28","","","Materials and Environment","","",""
"uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","http://resolver.tudelft.nl/uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","Adsorption characteristics and mechanisms of water-soluble polymers (PVP and PEG) on kaolin and montmorillonite minerals","Wang, Xintu (Guilin University of Technology; Chinese Academy of Sciences); Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Chen, Wenwen (Guilin University of Technology); van der Meer, W.G.J. (University of Twente; Oasen); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2024","The excessive use and accumulation of water-soluble polymers (WSPs, known as “liquid plastics”) in the environment can pose potential risks to both ecosystems and human health, but the environmental fate of WSPs remains unclear. Here, the adsorption behavior of WSPs with different molecular weight on kaolinite (Kaol) and montmorillonite (Mt) were examined. The results showed that the adsorption of PEG and PVP on minerals were controlled by hydrogen bond and van der Waals force. The Fourier transform infrared (FTIR) spectra and two-dimensional correlation spectroscopy (2D-COS) analysis revealed that there were interactions between the Al-O and Si-O groups of the minerals and the polar O- or N-containing functional groups as well as the alkyl groups of PEG and PVP. The adsorption characteristics of WSPs were closely related to their molecular weight and the pore size of minerals. Due to the relatively large mesopore size of Kaol, both PEG and PVP were absorbed into inner spaces, for which the adsorption capacity increased with molecular weight of the polymers. For Mt, all types of PEG could enter its micropores, while PVP with larger molecular weights appeared to be confined externally, leading to a decrease in the adsorption capacity of PVP with increasing molecular weight. The findings of this study provide a theoretical basis for scientific evaluation of environmental processes of WSPs.","Adsorption; Hydrogen bond; Minerals; Molecular weight; Water-soluble polymers","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","http://resolver.tudelft.nl/uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","Substrate-Specific Evolution of Amine Dehydrogenases for Accessing Structurally Diverse Enantiopure (R)-β-Amino Alcohols","Yin, Xinjian (Sun Yat-sen University); Gong, Wenzhong (Sun Yat-sen University); Zeng, Yujing (Sun Yat-sen University); Qiu, Hulin (Sun Yat-sen University); Liu, Lan (Sun Yat-sen University); Hollmann, F. (TU Delft BT/Biocatalysis); Chen, Bishuang (Sun Yat-sen University)","","2024","The biocatalytic oxidative deamination of β-amino alcohols holds significant practical potential in kinetic resolution and/or deracemization process to access (R)-β-amino alcohols. This study exemplifies a notable instance of acquisition and utilization of this valuable oxidative deamination activity. Initially, the mutation N261M (M0) was identified to endow a native valine dehydrogenase with oxidative deamination activity toward a few (S)-β-amino alcohols. Subsequently, a phylogenetic analysis-guided, double-code saturation mutagenesis strategy was proposed to engineer M0's side-chain binding site. This strategy facilitated the substrate-specific evolution of M0, resulting in the creation of a panel of mutants (M1-M4) with noteworthy oxidative deamination activity toward structurally diverse (S)-β-amino alcohols. Using these engineered amine dehydrogenases, termed as β-amino alcohol dehydrogenases (β-AADHs), the complete kinetic resolution and even deracemization of a range of β-amino alcohols have been achieved. This work reports distinct biocatalysts and a synthetic strategy for the synthesis of enantiopure (R)-β-amino alcohols and offers an innovative approach for substrate-specificity engineering of enzymes.","amine dehydrogenases; biocatalysis; enantiopure β-amino alcohols; oxidative deamination; protein engineering","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","http://resolver.tudelft.nl/uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","FedViT: Federated continual learning of vision transformer at edge","Zuo, Xiaojiang (Beijing Institute of Technology); Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2024","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral part of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning (FCL) is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID (non-Independent and Identically Distributed) data, and the limited scalability on the tasks and edge devices. Moreover, existing FCL techniques are designed for convolutional neural networks (CNNs), which have not utilized the full potential of newly emerged powerful vision transformers (ViTs). Considering ViTs depend heavily on training data diversity and volume, we hypothesize ViTs are well-suited for FCL where data arrives continually. In this paper, we propose FedViT, an accurate and scalable federated continual learning framework for ViT models, via a novel concept of signature task knowledge. FedViT is a client-side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedViT is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients’ current tasks through the global model. We implement FedViT in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedViT improves model accuracy by 88.61% without increasing model training time, reduces communication cost by 61.55%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex ViT models.","Catastrophic forgetting; Continual learning; Edge computing; Federated learning; Knowledge transfer negative; Vision transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-22","","","Data-Intensive Systems","","",""
"uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","http://resolver.tudelft.nl/uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","Vanadium-Containing Chloroperoxidase-Catalyzed Versatile Valorization of Phenols and Phenolic Acids","Li, Huanhuan (Xi’an Jiaotong University); Duan, Peigao (Xi’an Jiaotong University); Huang, Yawen (Chinese Academy of Sciences); Cui, Chengsen (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Ma, Yunjian (South China University of Technology); Wang, Yonghua (South China University of Technology); Zhang, Jie (Chinese Academy of Sciences); Liu, Weidong (Chinese Academy of Sciences); Zhang, Wuyuan (Chinese Academy of Sciences)","","2024","The downstream product transformation of lignin depolymerization is of great interest in the production of high-value aromatic chemicals. However, this transformation is often impeded by chemical oxidation under harsh reaction conditions. In this study, we demonstrate that hypohalites generated in situ by the vanadium-containing chloroperoxidase from Curvularia inaequalis (CiVCPO) can halogenate various electron-rich and electron-poor phenol and phenolic acid substrates. Specifically, CiVCPO enabled decarboxylative halogenation, deformylative halogenation, halogenation, and direct oxidation reactions. The versatile transformation routes for the valorization of phenolic compounds showed up to 99% conversion and 99% selectivity, with a turnover number of 60,700 and a turnover frequency of 60 s-1 for CiVCPO. This study potentially expands the biocatalytic toolbox for lignin valorization.","biocatalysis; decarboxylation; halogenation; lignin valorization; vanadium-containing chloroperoxidase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","BT/Biocatalysis","","",""
"uuid:1177749f-3205-428b-8fce-12746f6ef21a","http://resolver.tudelft.nl/uuid:1177749f-3205-428b-8fce-12746f6ef21a","Scenario-Based MPC for Real-Time Passenger-Centric Timetable Scheduling of Urban Rail Transit Networks","Liu, X. (TU Delft Team Bart De Schutter); Dabiri, A. (TU Delft Team Azita Dabiri); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Effective timetable scheduling strategies are essential for passenger satisfaction in urban rail transit networks. Most existing passenger-centric timetable scheduling approaches generate a timetable according to deterministic passenger origin-destination (OD) demands. As passenger OD demands in urban rail transit networks generally show a high level of uncertainty, an effective timetable scheduling approach should take the uncertain passenger flows into account to generate a reliable timetable. In this paper, a scenario-based model predictive control (SMPC) approach is presented to handle uncertain passenger flows based on a passenger absorption model, where uncertainties are captured by several representative scenarios according to historical data. In each SMPC step, the optimization problem for generating the timetable can be reformulated as a mixed-integer linear programming (MILP) problem, which can be efficiently solved using current MILP solvers. A probabilistic performance level can be then determined based on the performance of SMPC under the representative scenarios. Numerical experiments based on the Beijing subway network are conducted to evaluate the efficacy of the proposed approach.","Model predictive control; Passenger-centric timetable scheduling; Scenario approach; Uncertain passenger flows; Urban rail transit network","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","http://resolver.tudelft.nl/uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","Tunable visible emission and persistent luminescence of BaGa2O4:Cu2+","Wang, Lei (Hefei University of Technology); Zhao, Ning (Hefei University of Technology); Zhu, Changrui (Hefei University of Technology); Chen, Lei (Hefei University of Technology); Jiang, Yang (Hefei University of Technology); Zhou, Rulong (Hefei University of Technology); Liu, Yanfang (Hefei University of Technology); Qu, Bingyan (Hefei University of Technology); Hintzen, H.T.J.M. (TU Delft RST/Luminescence Materials)","","2024","In the field of solid-state luminescence, Cu2+ has long been widely acknowledged for its capacity to emit infrared light. However, the occurrence of visible emission from Cu2+ ions had been infrequently observed and reported. In this study, we made an intriguing discovery by examining the behavior of Cu2+ within an irregular coordination environment of Ba in BaGa2O4. When excited by UV light, Cu2+ unexpectedly gave a vibrant yellow–red emission, covering a wavelength range spanning from 500 to 750 nm. More noteworthy, by simply manipulating the excitation wavelength or adjusting the temperature, the peak wavelength of the emission could be effectively tuned from approximately 600 to 660 nm, which could be attributed to the luminescence nature of the charge transfer (CT) between O2− and Cu2+. Moreover, the phosphor material displayed a remarkable persistent luminescence (PerL) lasting up to 12 h after UV light excitation. Through thermoluminescence (TL) measurements and first-principle calculations, we found that the intrinsic defects, such as vacancies of oxygen and gallium (VO and VGa″), played important roles for the PerL phenomena. These findings highlighted the exceptional tunability and PerL properties of BaGa2O4:Cu2+. Our study provided a new potential guideline for the design of Cu2+-activated phosphors in visible region, and opened up new avenues for the research in related functional luminescence materials.","Cu luminescence; Mechanism; Persistent luminescence; Phosphors; Tunable emission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","RST/Luminescence Materials","","",""
"uuid:79e91102-4da0-451a-bfc3-91a951d79d34","http://resolver.tudelft.nl/uuid:79e91102-4da0-451a-bfc3-91a951d79d34","Junction temperature and luminous flux prediction for white LED array based on electrical-photo-thermal modeling","Liu, Minne (Fudan University); Li, Wenyu (Fudan University); Chen, Wei (Fudan University); Ibrahim, Mesfin S. (New Territories); Xiong, Jingkang (Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Fudan Zhangjiang Institute; Chinese Academy of Sciences)","","2024","During the operation of an LED array, its thermal and optical performances are always not equal to the superposition of the individual LED's characteristics because of a significant thermal coupling effect between the arrays. Based on this, this paper proposes an electrical–photo-thermal model, with considering both junction temperature and luminous flux, to predict the both the thermal and optical performances of LED arrays operated under different currents, case temperatures, and lighting methods. The junction temperature and luminous flux of a single LED operating under different driving currents and case temperature conditions are firstly collected to establish the luminous flux response surface model of a single chip. Then it is used to predict the luminous flux of an array, whose junction temperature is predicted using both thermal coupling matrix (TCM) and numerical models. Experiments verify the luminous flux of the LED array under different operation conditions and show that the proposed electrical–photo-thermal modeling can be used to predict the thermal and optical parameters of LED arrays with 95 % accuracy. Thus, it is effective for the fast prediction of the junction temperature and luminous flux of large LED systems with array structures, i.e. intelligent automotive lightings and displays.","Electrical-photo-thermal modeling; Junction temperature; Light-emitting diode; Luminous flux; Multiple-chip array; Thermal coupling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","http://resolver.tudelft.nl/uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","Machine learning assisted discovery of high-efficiency self-healing epoxy coating for corrosion protection","Liu, Tong (University of Science and Technology Beijing; Shenyang University of Chemical Technology); Chen, Zhuoyao (University of Science and Technology Beijing); Yang, Jingzhi (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2024","Machine learning is a powerful means for the rapid development of high-performance functional materials. In this study, we presented a machine learning workflow for predicting the corrosion resistance of a self-healing epoxy coating containing ZIF-8@Ca microfillers. The orthogonal Latin square method was used to investigate the effects of the molecular weight of the polyetheramine curing agent, molar ratio of polyetheramine to epoxy, molar content of the hydrogen bond unit (UPy-D400), and mass content of the solid microfillers (ZIF-8@Ca microfillers) on the low impedance modulus (lg|Z|0.01Hz) values of the scratched coatings, generating 32 initial datasets. The machine learning workflow was divided into two stages: In stage I, five models were compared and the random forest (RF) model was selected for the active learning. After 5 cycles of active learning, the RF model achieved good prediction accuracy: coefficient of determination (R 2) = 0.709, mean absolute percentage error (MAPE) = 0.081, root mean square error (RMSE) = 0.685 (lg(Ω·cm2)). In stage II, the best coating formulation was identified by Bayesian optimization. Finally, the electrochemical impedance spectroscopy (EIS) results showed that compared with the intact coating ((4.63 ± 2.08) × 1011 Ω·cm2), the |Z|0.01Hz value of the repaired coating was as high as (4.40 ± 2.04) × 1011 Ω·cm2. Besides, the repaired coating showed minimal corrosion and 3.3% of adhesion loss after 60 days of neutral salt spray testing.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","http://resolver.tudelft.nl/uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","Gate-tunable kinetic inductance parametric amplifier","Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Andersen, C.K. (TU Delft Andersen Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Superconducting parametric amplifiers play a crucial role in the preparation and readout of quantum states at microwave frequencies, enabling high-fidelity measurements of superconducting qubits. Most existing implementations of these amplifiers rely on the nonlinearity from Josephson junctions, superconducting quantum interference devices, or disordered superconductors. Additionally, frequency tunability arises typically from either flux or current biasing. In contrast, semiconductor-based parametric amplifiers are tunable by local electric fields, which impose a smaller thermal load on the cryogenic setup than current and flux biasing and lead to vanishing crosstalk to other on-chip quantum systems. In this work, we present a gate-tunable parametric amplifier that operates without Josephson junctions, using a proximitized semiconducting nanowire. This design achieves near-quantum-limited performance, featuring more than 20-dB gain and a 30-MHz gain-bandwidth product. The absence of Josephson junctions results in advantages, including substantial saturation powers of -120 dBm, magnetic field compatibility up to 500mT, and frequency tunability over a range of 15 MHz. Our realization of a parametric amplifier supplements efforts towards gate-controlled superconducting electronics, further advancing the abilities for high-performing quantum measurements of semiconductor-based and superconducting quantum devices.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:960886bc-328f-407a-bf2c-faf9c63700df","http://resolver.tudelft.nl/uuid:960886bc-328f-407a-bf2c-faf9c63700df","Hygrothermal effects on fatigue delamination behavior in composite laminates","Yao, Liaojun (Harbin Institute of Technology); Wang, Jiexiong (Harbin Institute of Technology); He, Yonglyu (National University of Defense Technology); Zhao, Xiuhui (National University of Defense Technology); Chen, Xiangming (Aircraft Strength Research Institute); Liu, J. (Harbin Institute of Technology); Guo, Licheng (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Group Alderliesten)","","2024","Fatigue delamination growth (FDG) is an important failure in composite structures during their long-term operations. Hygrothermal aging can have significant effects on interlaminar resistance. It is therefore really necessary to explore FDG behavior in composite laminates with hygrothermal aging. Dynamic mechanical thermal analysis (DMTA), mode I FDG experiments and fractographic examinations were conducted to fully investigate hygrothermal aging effects and the corresponding mechanisms on FDG behavior. The DMTA results indicated that environmental aging can induce obvious Tg decrease. Mode I experimental fatigue data interpreted via different Paris-type correlations demonstrated that: Bridging has obvious retardation effects on FDG behavior via the Paris interpretations; The modified Paris relation can well characterize the intrinsic FDG behavior around the crack front; The use of the two-parameter Paris-type relation can appropriately account for R-ratio effects, contributing to a master resistance curve in determining mode I FDG behavior. According to these interpretations, it can be concluded that hygrothermal aging can have adverse effects on mode I FDG behavior. SEM examinations demonstrated that moisture absorption can cause fibre/matrix debonding and resin matrix pores/voids in the composite. However, no obvious difference in damage mechanisms was identified in mode I fatigue delamination for composite with/without environmental conditioning. Both fibre/matrix debonding and matrix brittle fracture were identified on fatigue fracture surfaces. Accordingly, it was concluded that fibre/matrix interface and matrix degradation induced by water absorption were the main reasons for a faster mode I fatigue crack growth in environmental aged composite.","Composite laminates; Delamination; Fatigue; Hygrothermal aging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Group Alderliesten","","",""
"uuid:4c245dab-1f47-4146-bc1a-0fb714183224","http://resolver.tudelft.nl/uuid:4c245dab-1f47-4146-bc1a-0fb714183224","Image-based modelling for Adolescent Idiopathic Scoliosis: Mechanistic machine learning analysis and prediction","Tajdari, Mahsa (Northwestern University); Pawar, Aishwarya (Carnegie Mellon University); Li, Hengyang (Northwestern University); Tajdari, F. (TU Delft Mechatronic Design); Maqsood, Ayesha (Ann & Robert H. Lurie Children’s Hospital); Cleary, Emmett (University of Southern California); Saha, Sourav (Northwestern University); Zhang, Yongjie Jessica (Carnegie Mellon University); Sarwark, John F. (Northwestern University Feinberg School of Medicine; Ann & Robert H. Lurie Children’s Hospital); Liu, Wing Kam (Northwestern University)","","2021","Scoliosis, an abnormal curvature of the human spinal column, is characterized by a lateral deviation of the spine, accompanied by axial rotation of the vertebrae. Adolescent Idiopathic Scoliosis (AIS) is the most common type, affecting children between ages 8 to 18 when bone growth is at its maximum rate. We propose a mechanistic machine learning algorithm in order to study patient-specific AIS curve progression, which is associated with the bone growth and other genetic and environmental factors. Two different frameworks are used to analyse and predict curve progression, one with implementing clinical data extracted from 2D X-ray images and the other one with incorporating both clinical data and physical equations governing the non-uniform bone growth. The physical equations governing bone growth are affiliated with calculating all stress components at each region. The stress values are evaluated through a surrogate finite element simulation and a bone growth model on a detailed patient-specific geometry of the human spine. We also propose a patient-specific framework to generate the volumetric model of human spine which is partitioned into different tissues for both vertebra and intervertebral disc. It is shown that implementing physical equations governing bone growth into the prediction framework will notably improve the prediction results as compared to only using clinical data for prediction. In addition, we can predict curve progression at ages outside the range of training samples.","Adolescent idiopathic scoliosis of the human spine; X-ray images; Patient-specific geometry; Surrogate finite element and bone growth models; Predictive models; Mechanistic machine learning","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","http://resolver.tudelft.nl/uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","DaisyRec 2.0: Benchmarking Recommendation for Rigorous Evaluation","Sun, Zhu (Institute of High Performance Computing); Fang, Hui; Yang, J. (TU Delft Web Information Systems); Qu, Xinghua (Bytedance AI Lab); Liu, Hongyang (Yanshan University); Yu, Di (Singapore Management University); Ong, Yew Soon (Nanyang Technological University); Zhang, Jie (Nanyang Technological University)","","2023","Recently, one critical issue looms large in the field of recommender systems - there are no effective benchmarks for rigorous evaluation - which consequently leads to unreproducible evaluation and unfair comparison. We, therefore, conduct studies from the perspectives of practical theory and experiments, aiming at benchmarking recommendation for rigorous evaluation. Regarding the theoretical study, a series of hyper-factors affecting recommendation performance throughout the whole evaluation chain are systematically summarized and analyzed via an exhaustive review on 141 papers published at eight top-tier conferences within 2017-2020. We then classify them into model-independent and model-dependent hyper-factors, and different modes of rigorous evaluation are defined and discussed in-depth accordingly. For the experimental study, we release DaisyRec 2.0 library by integrating these hyper-factors to perform rigorous evaluation, whereby a holistic empirical study is conducted to unveil the impacts of different hyper-factors on recommendation performance. Supported by the theoretical and experimental studies, we finally create benchmarks for rigorous evaluation by proposing standardized procedures and providing performance of ten state-of-the-arts across six evaluation metrics on six datasets as a reference for later study. Overall, our work sheds light on the issues in recommendation evaluation, provides potential solutions for rigorous evaluation, and lays foundation for further investigation.","Benchmarks; fair comparison; recommender systems; reproducible evaluation; standardized procedures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Web Information Systems","","",""
"uuid:4db85814-789b-4bea-8d22-37245045117e","http://resolver.tudelft.nl/uuid:4db85814-789b-4bea-8d22-37245045117e","Degradation of alkali-activated slag subjected to water immersion","Liu, C. (TU Delft Materials and Environment); Liang, X. (TU Delft Materials and Environment); Chen, Y. (TU Delft DC systems, Energy conversion & Storage; South China University of Technology); Li, Z. (TU Delft Materials and Environment; University of Sheffield); Ye, G. (TU Delft Materials and Environment)","","2023","In this study, the impacts of tap water immersion on the pore solution, phase assemblages, gel chemistry and structure, and pore structure of alkali-activated slag (AAS) pastes were studied. AAS degrades under such condition and the potential mechanisms can be concluded as lower reaction rates, gel decomposition and carbonation. The leaching of Na+ and OH− at early stages hinders the reaction of slag, which leads to a slower formation of reaction products. Long-term leaching can result in gel decomposition after 90 d. Coarsened gel pores and capillary pores are both identified in water-immersed samples. Additionally, the leached Ca2+ can react with the dissolved CO2 in tap water to form calcium carbonate. A calcium carbonate layer is observed surrounding the paste while the inner matrix is free of carbonation. The insights provided by this paper contribute to understanding the behaviors and durability of AAS in underwater conditions.","Alkali-activated slag; Carbonation; Curing; Leaching; Water immersion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Materials and Environment","","",""
"uuid:43d6ac40-1fff-4a8e-8864-7920731468a2","http://resolver.tudelft.nl/uuid:43d6ac40-1fff-4a8e-8864-7920731468a2","Association analysis of accident factors in petrochemical storage tank farms","Liu, Zhihao (Guangdong University of Technology); Zhou, Jianfeng (Guangdong University of Technology); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","In order to identify and clarify the association between the factors leading to accidents in a petrochemical tank area, this study analyzes investigation reports of 212 petrochemical tank farm accidents and combines this with the “association rule” mining and science related to complex networks. The main risk factors are determined and a risk factor data set is constructed; 75 association rules are extracted from the factor data set based on the Apriori algorithm. Then the obtained association rules are used to construct an accident factors network of the petrochemical storage tank area, and the topology characteristics of the network are further analyzed to reveal the importance of factors. Factors with large node degree, betweenness, and clustering coefficients are obtained, such as “violation of operating regulations”, “high concentration of flammable gas in the air”, “lack of experience and professional skills”, etc. These factors play an important role in the formation and development of accidents. The results also show that the accident cause network of the petrochemical storage tank area has a small average shortest path length and a large cluster coefficient, indicating a relatively close connection between the accident factors. The contributions of this study is not only extracting the hidden relationships among contributory factors to tank farm accidents using association analysis, but also revealing which factors are more important for the tank farm safety through the complex network.","Association rule mining; Complex networks; Important accident factors; Tank farm accidents","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Safety and Security Science","","",""
"uuid:dc2b3fef-e786-4cd4-823c-42d94521f8e7","http://resolver.tudelft.nl/uuid:dc2b3fef-e786-4cd4-823c-42d94521f8e7","Influence of SBS on the Aging Properties of High-Content Terminal Blend Rubber Modified Asphalt","Wang, Sheng (Tongji University); Huang, Weidong (Tongji University); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering)","","2023","The goal of this study was to investigate the effect of styrene-butadiene-styrene (SBS) polymer on the aging properties of high-content terminal blend rubber modified asphalt (HCTBMA). All asphalt was tested for chemo-rheological properties using an attenuated total reflection-Fourier transform infrared spectroscopy (ATR-FTIR) test, temperature sweep test, frequency sweep tests, and multiple stress creep recovery (MSCR) test. According to ATR-FTIR observations, SBS can retard the oxidation effect of HCTBMA during short-term aging, but its inhibitory effect is reduced during long-term aging. Furthermore, aging aggravates the degree of desulfurization of crumb rubber in HCTBMA as the SBS content increases. Compared with HCTBMA, neat asphalt has a lower elasticity at high temperatures and a higher elasticity at low temperatures. The addition of SBS to HCTBMA improves the elasticity of the material. The elasticity of HCTBMA decreases and then increases after aging, and SBS can reduce the aging degree of HCTBMA after aging. Moreover, based on Pearson correlation analysis, the correlation between the desulfurization of rubber and the degradation of polybutadiene in HCTBMA during aging is high.","Aging properties; Chemo-rheological properties; High-content terminal blend rubber modified asphalt (HCTBMA); Oxidation effect; Pearson correlation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Pavement Engineering","","",""
"uuid:26c0c979-5676-4119-853c-82fcedf655b4","http://resolver.tudelft.nl/uuid:26c0c979-5676-4119-853c-82fcedf655b4","Why do major chemical accidents still happen in China: Analysis from a process safety management perspective","Bai, Mingqi (China University of Petroleum (East China)); Qi, Meng (China University of Petroleum (East China)); Shu, Chi Min (National Yunlin University of Science and Technology); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Khan, Faisal (Texas A and M University); Chen, Chao (Southwest Petroleum University); Liu, Yi (China University of Petroleum (East China))","","2023","As an important consideration in the chemical industry, chemical process safety has received notable attention in China. However, catastrophic chemical accidents still occur. To better understand why accidents continue to occur, this paper presented a diagnostic analysis of 14 major chemical accidents in China from 2012 to 2022 based on VOSviewer software. The authors analysed the correlation between the accident causation and their relationship with the safety management elements. The study observed that inferior process safety culture, intentional violation (rule-breaking) of procedure, inadequate safety training, and illegal operations were the most frequent causes of accidents. These causes highlighted the prominent gaps in PSM in China in the process safety culture, compliance with standards, the conduct of operations, process safety competency, and training & performance assurance. The results based on co-occurrence analysis indicated a strong correlation between these gaps in PSM. Enterprises should pay attention to collaborative management among them. These deficiencies in the enterprise's PSM system showed that the essential defects in China's chemical industry are a poor safety culture, inadequate accident investigation, inadequate training, and a lack of chemical safety personnel. The study recommended that the chemical industry establish superior process safety culture and competency for all personnel, monitor leading and lagging process safety indicators, apply inherent safety, and practice advanced safety management concepts. We hope that the findings can provide China's perspectives and strengths for global chemical safety.","Accident causation; Accident investigation; Chemical process safety; Process safety culture; Safety management elements","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Safety and Security Science","","",""
"uuid:88d92b03-24c7-46c8-a31a-072c75245515","http://resolver.tudelft.nl/uuid:88d92b03-24c7-46c8-a31a-072c75245515","Fast DRL-based scheduler configuration tuning for reducing tail latency in edge-cloud jobs","Wen, Shilin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2023","Edge-cloud applications are rapidly prevailing in recent years and pose the challenge of using both resource-strenuous edge devices and elastic cloud resources under dynamic workloads. Efficient resource allocation on edge-cloud jobs via cluster schedulers (e.g. Kubernetes/Volcano scheduler) is essential to guarantee their performance, e.g. tail latency, and such allocation is sensitive to scheduler configurations such as applied scheduling algorithms and task restart/discard policy. Deep reinforcement learning (DRL) is increasingly applied to optimize scheduling decisions. However, DRL faces the conundrum of achieving high rewards at a dauntingly long training time (e.g. hours or days), making it difficult to tune the scheduler configurations online in accordance to dynamically changing edge-cloud workloads and resources. For such an issue, this paper proposes EdgeTuner, a fast scheduler configuration tuning approach that efficiently leverages DRL to reduce tail latency of edge-cloud jobs. The enabling feature of EdgeTuner is to effectively simulate the execution of edge-cloud jobs under different scheduler configurations and thus quickly estimate these configurations’ influence on job performance. The simulation results allow EdgeTuner to timely train a DRL agent in order to properly tune scheduler configurations in dynamic edge-cloud environment. We implement EdgeTuner in both Kubernetes and Volcano schedulers and extensively evaluate it on real workloads driven by Alibaba production traces. Our results show that EdgeTuner outperforms prevailing scheduling algorithms by achieving much lower tail latency while accelerating DRL training speed by an average of 151.63x.","DRL; Edge-cloud jobs; Kubernetes and Volcano; Scheduler configurations; Tail latency","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:92bc922c-49eb-4ca7-904c-890516a1b2ec","http://resolver.tudelft.nl/uuid:92bc922c-49eb-4ca7-904c-890516a1b2ec","Optical Simulation-Aided Design and Engineering of Monolithic Perovskite/Silicon Tandem Solar Cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Datta, Kunal (Eindhoven University of Technology); Paggiaro, Giulia (Student TU Delft); Liu, Hanchen (Student TU Delft); Fardousi, Mohua (Student TU Delft); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO Energy Transition); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Monolithic perovskite/c-Si tandem solar cells have attracted enormous research attention and have achieved efficiencies above 30%. This work describes the development of monolithic tandem solar cells based on silicon heterojunction (SHJ) bottom- and perovskite top-cells and highlights light management techniques assisted by optical simulation. We first engineered (i)a-Si:H passivating layers for (100)-oriented flat c-Si surfaces and combined them with various (n)a-Si:H, (n)nc-Si:H, and (n)nc-SiOx:H interfacial layers for SHJ bottom-cells. In a symmetrical configuration, a long minority carrier lifetime of 16.9 ms was achieved when combining (i)a-Si:H bilayers with (n)nc-Si:H (extracted at the minority carrier density of 1015 cm-3). The perovskite sub-cell uses a photostable mixed-halide composition and surface passivation strategies to minimize energetic losses at charge-transport interfaces. This allows tandem efficiencies above 23% (a maximum of 24.6%) to be achieved using all three types of (n)-layers. Observations from experimentally prepared devices and optical simulations indicate that both (n)nc-SiOx:H and (n)nc-Si:H are promising for use in high-efficiency tandem solar cells. This is possible due to minimized reflection at the interfaces between the perovskite and SHJ sub-cells by optimized interference effects, demonstrating the applicability of such light management techniques to various tandem structures.","optical simulations; perovskite; silicon heterojunction; tandem solar cells; two-terminal","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:ab9002c8-475b-43a9-9455-b92727e8f965","http://resolver.tudelft.nl/uuid:ab9002c8-475b-43a9-9455-b92727e8f965","Selective Peroxygenase-Catalysed Oxidation of Toluene Derivates to Benzaldehydes","Wang, Y. (TU Delft BT/Biocatalysis; Tianjin University); Teetz, Niklas (University of Applied Sciences Mittelhessen); Holtmann, Dirk (University of Applied Sciences Mittelhessen); Alcalde, Miguel (Institute of Catalysis, CSIC, Madrid); van Hengst, J.M.A. (TU Delft BT/Biocatalysis); Liu, Xiaoxiao (Chinese Academy of Sciences); Wang, Mengfan (Tianjin University); Qi, Wei (Tianjin University); Zhang, Wuyuan (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis)","","2023","Biocatalytic oxidation reactions of toluene derivates to the corresponding aldehydes are typically challenged by regio- and chemoselectivity issues. In this contribution we address both challenges by a combined reactant- and reaction engineering approach. We demonstrate that the peroxygenase-catalysed transformation of ring-substituted toluenes proceeds highly regioselectively in benzylic position. Furthermore, neat reaction conditions not only enable attractive product concentrations (up to 185 mM) but also result in highly chemoselective oxidations to the aldehyde level.","Benzaldehydes; Biocatalytic oxidation; Peroxygenase; Selective oxyfunctionalisation; Solvent-free biocatalysis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:60ac95f2-0a58-4844-87a3-3bda01eda2bb","http://resolver.tudelft.nl/uuid:60ac95f2-0a58-4844-87a3-3bda01eda2bb","Pressure-induced nonlinear resonance frequency changes for extracting Young’s modulus of nanodrums","Sarafraz, A. (TU Delft Dynamics of Micro and Nano Systems); Givois, A.P.P. (TU Delft Dynamics of Micro and Nano Systems); Roslon, I.E. (TU Delft Dynamics of Micro and Nano Systems); Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Brahmi, Hatem (ASML); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2023","The resonance frequency of ultra-thin layered nanomaterials changes nonlinearly with the tension induced by the pressure from the surrounding gas. Although the dynamics of pressurized nanomaterial membranes have been extensively explored, recent experimental observations show significant deviations from analytical predictions. Here, we present a multi-mode continuum model that captures the nonlinear pressure-frequency response of pre-tensioned membranes undergoing large deflections. We validate the model using experiments conducted on polysilicon nanodrums excited opto-thermally and subjected to pressure changes in the surrounding medium. We demonstrate that considering the effect of pressure on the nanodrum tension is not sufficient for determining the resonance frequencies. In fact, it is essential to also account for the change in the membrane’s shape in the pressurized configuration, the mid-plane stretching, and the contributions of higher modes to the mode shapes. Finally, we show how the presented high-frequency mechanical characterization method can serve as a fast and contactless method for determining Young’s modulus of ultra-thin membranes.","Experimental characterization; Large deflections; Nonlinear pressure-frequency response; Reduced-order modelling; Suspended nanodrums","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:2a70a853-e797-485e-a3af-d972fff41336","http://resolver.tudelft.nl/uuid:2a70a853-e797-485e-a3af-d972fff41336","Antecedents and outcomes of work-related flow: A meta-analysis","Liu, Wei (TU Delft Design Aesthetics); Lu, Hairong (Erasmus Universiteit Rotterdam); Li, Peikai (Universiteit Gent); van der Linden, Dimitri (Erasmus Universiteit Rotterdam); Bakker, A.B. (Erasmus Universiteit Rotterdam; University of Johannesburg)","","2023","Flow is an optimal state that contributes positively to individual well-being and performance. Despite growing evidence of its antecedents and outcomes at work, few efforts have been made to systematically examine and synthesize the extant findings to advance the theoretical and empirical development of flow. Combining different perspectives (e.g., job demands and resources theory, proactivity and leadership literatures), we aim to identify the key antecedents and outcomes relevant to work-related flow, and (a) provide a nomological network and (b) spot areas for future research on flow. We conducted a meta-analysis to synthesize findings (N = 60,110, k = 113). Results showed that several factors, including job characteristics, individual characteristics, individual behaviors, and leadership characteristics were significantly related to flow. Individual behavior displayed the strongest association with flow (ρ = 0.55). In addition, flow was not only related to job outcomes but also to personal outcomes. We also investigated the relative contribution of sub-dimensions of flow to well-being and performance. The relationships between flow and its associates hold across different measures of flow and culture. Our findings suggest that employees can use more proactive strategies to foster flow rather than only respond to their environment. Despite the short-run side effects of flow (e.g., risk-taking behavior), flow is worth pursuing in the long run as it benefits both work and personal well-being. We encourage future flow studies to investigate additional social and situational factors and various types of proactive behaviors in a multilevel process.","Job demands; Job resources; Meta-analysis; Proactive behaviors; Well-being; Work-related flow","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:53bafd7d-1382-431a-a5f5-86c7c7db0d56","http://resolver.tudelft.nl/uuid:53bafd7d-1382-431a-a5f5-86c7c7db0d56","Mechanical Response of Nanocrystalline Ice-Contained Methane Hydrates: Key Role of Water Ice","Cao, Pinqiang (China University of Geosciences, Wuhan; The George Washington University; Xiamen University); Ning, Fulong (China University of Geosciences, Wuhan; Qingdao National Laboratory for Marine Science and Technology); Wu, Jianyang (Xiamen University; Norwegian University of Science and Technology (NTNU)); Cao, Boxiao (The George Washington University); Li, Tianshu (The George Washington University); Sveinsson, Henrik Andersen (Universitetet i Oslo); Liu, Z. (China University of Geosciences, Wuhan; National Center for International Research on Deep Earth Drilling and Resource Development); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Hyodo, Masayuki (Yamaguchi University)","","2020","Water ice and gas hydrates can coexist in the permafrost and polar regions on Earth and in the universe. However, the role of ice in the mechanical response of ice-contained methane hydrates is still unclear. Here, we conduct direct million-atom molecular simulations of ice-contained polycrystalline methane hydrates and identify a crossover in the tensile strength and average compressive flow stress due to the presence of ice. The average mechanical shear strengths of hydrate-hydrate bicrystals are about three times as large as those of hydrate-ice bicrystals. The ice content, especially below 70%, shows a significant effect on the mechanical strengths of the polycrystals, which is mainly governed by the proportions of the hydrate-hydrate grain boundaries (HHGBs), the hydrate-ice grain boundaries (HIGBs), and the ice-ice grain boundaries (IIGBs). Quantitative analysis of the microstructure of the water cages in the polycrystals reveals the dissociation and reformation of various water cages due to mechanical deformation. These findings provide molecular insights into the mechanical behavior and microscopic deformation mechanisms of ice-contained methane hydrate systems on Earth and in the universe.","grain boundary; ice; mechanical property; methane hydrate; molecular dynamics simulations; nanograined polycrystal","en","journal article","","","","","","Accepted Author Manuscript","","2021-03-05","","","Engineering Thermodynamics","","",""
"uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","http://resolver.tudelft.nl/uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","Unraveling the hydrogen sulfide aging mechanism on electrical-thermal–mechanical property degradation of sintered nanocopper interconnects used in power electronics packaging","Chen, Wei (Fudan University); Liu, Xu (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhu, Xi (Fudan University; Research Institute of Fudan University, Ningbo); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2024","During operation in environments containing hydrogen sulfide (H2S), such as in offshore and coastal environments, sintered nanoCu in power electronics is susceptible to degradation caused by corrosion. In this study, experimental and molecular dynamics (MD) simulation analyses were conducted to investigate the evolution and mechanism of H2S-induced corrosion of sintered nanoCu, and bulk Cu was used as the reference. The following results are obtained: (1) Both sintered nanoCu and bulk Cu reacted with O2 prior to reacting with H2S, forming Cu2O, Cu2S, CuO, and CuS. In addition, sintered nanoCu exhibited more severe corrosion. (2) For both sintered nanoCu and bulk Cu, H2S-induced corrosion resulted in the deterioration of electrical, thermal, and mechanical properties, and sintered nanoCu experienced a greater extent of deterioration. (3) As was ascertained through Reactive Force Field (ReaxFF) MD simulations, the penetration of H2S and O2 combined with the upward migration of Cu resulted in the formation of a corrosion film. In addition, compared to bulk Cu, the H2S and O2 penetration in the sintered nanoCu structure was observed to occur to a greater depth, accounting for the more pronounced performance degradation.","HS corrosion; Performance degradation; ReaxFF; Shear strength; Sintered nanocopper","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8852ee21-5f8c-43be-b7d5-d29c2274fb69","http://resolver.tudelft.nl/uuid:8852ee21-5f8c-43be-b7d5-d29c2274fb69","Air entrainment and free-surface fluctuations in A-type hydraulic jumps with an abrupt drop","Luo, Maoyi (Sichuan University); Wang, Hang (Sichuan University); Zheng, Xiaohui (Sichuan University); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Bai, Ruidi (Sichuan University); Liu, Shanjun (Sichuan University)","","2023","In high dam construction projects in China, stilling basin design with an abrupt bottom drop is sometimes introduced to reduce the bottom velocity and pressure loads by generating A-type hydraulic jumps. Although the stilling basin design is not new, A-type hydraulic jumps have not been studied taking into account the air entrainment and evolution of internal air–water flow structures. This paper presents an experimental study of self-aerated A-type jumps in terms of bubble transport and free-surface fluctuations over the bottom drop. Four Froude numbers from 4.1 to 10.3 are tested for three drop heights, in addition to the flat-bottom case. Compared to the classic hydraulic jumps, A-jumps are observed with longer jump lengths and weaker free-surface fluctuations. The downward deflection of the jet-shear flow and formation of a bottom roller in the step cavity require a modification to the analytical expression of velocity and void fraction distributions. The relationship between the bubble diffusivity and jump spreading rate differs from that in classic hydraulic jumps, suggesting a faster expansion of the bubble diffusion layer than the turbulent shear flow downstream of the drop, especially for large drop heights. At large approach velocities, the reattachment of the deflected jet-shear flow to the lowered bed may cause a local rise in bubble counts downstream the bottom roller. Further increase in drop height results in a W-jump with overwhelming bottom roller over the surface roller and an arced surface jet, which is beyond the scope of this study.","A-jump; abrupt drop; air entrainment; bottom roller; negative step","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-19","","","Hydraulic Structures and Flood Risk","","",""
"uuid:b4baafb7-9288-4efb-a62f-e5c5d821bf05","http://resolver.tudelft.nl/uuid:b4baafb7-9288-4efb-a62f-e5c5d821bf05","撞击位置与风扇转速对鸟撞过程的影响","Zhang, Junhong (Tianjin University); Liu, Zhiyuan (Tianjin University); Dai, Huwei (Tianjin University); Hedayati, R. (TU Delft Novel Aerospace Materials); Yuan, Y. (TU Delft Sanitary Engineering; State Key Laboratory of Engine; Tianjin University); Zhang, Guichang (Civil Aviation University of China)","","2020","Bird impact on fan blades poses a serious threat to the operational safety of aircraft engines.In this study, a real bird model of mallard duck was developed using the smooth particle hydrodynamics method based on a CT scan of a mallard duck.The accuracy of the real bird model was verified by comparing the simulation results of the impact on a plate of real bird model and simplified traditional bird model with the results of Wilbeck's tests.The transient impact responses of bird body and fan blade as the bird was striking a static and a rotating fan blade were comparatively analyzed.To study the effect of fan rotational speed on the bird-impact process, 836r/min, 1984r/min, 3344r/min, and 3772r/min were selected as fan rotational speed.To study the effects of impact location on the bird-impact process, 1/6, 2/6, 3/6, 4/6 and 5/6 of the blade height were selected as impact locations.The results show that blade rotation has a direct impact on the number of bird block cuts, the mass of a single bird block, and the number of impacted blades.Without considering the blade rotation conditions, the contact force, blade root stress, and blade leading edge stress are significantly lower than that when the blade rotation conditions are considered, which makes the prediction of blade stress and damage conservative and inadequate for use in the design of blade strength.Therefore, the blade rotation motion should be considered in the study of bird impact.The interaction mode between the bird and blade at 836r/min speed obviously differs from that at other rotational speeds.The kinetic energy of the bird decreases at a rotational speed of 836r/min, and increases at other rotational speeds, and the increment of the kinetic energy of the bird increases with increases in rotational speed.The leading-edge peak stress at 836r/min is greater than that at 1984r/min;at other rotational speeds, the peak stress of the leading edge increases with increases in the rotational speed.The contact force and blade root stress increase with increases in the rotational speed.With increases in the impact height, the contact force, kinetic-energy increment of the bird body, peak stress of the blade root, kinetic energy of the bird body, and the stress on the leading edge of blade all increase first and then decrease under the combined action of the relative velocity of the impact point and twist angle of the blade.The peak stress of the leading edge and the increment of the kinetic energy of the bird are greatest when impact occurs at 3/6 blade height, and the peak stress of the blade root and the contact force are greatest when impact occurs at 4/6 blade height.","Bird impact; Fan rotation speed; Impact position; Impact response; Mallard","zh","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","http://resolver.tudelft.nl/uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","A multi-step fast charging-based battery capacity estimation framework of real-world electric vehicles","Zhang, D. (TU Delft DC systems, Energy conversion & Storage; Beijing Institute of Technology); Wang, Zhenpo (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); She, Chengqi (Hunan University of Science and Technology); Wang, Qiushi (Beijing Institute of Technology); Zhou, Litao (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2024","Accurately evaluating battery degradation is not only crucial for ensuring the safe and reliable operation of electric vehicles (EVs) but also fundamental for their intelligent management and maximum utilization. However, the non-linearity, non-measurability, and multi-stress coupled operating conditions have posed significant challenges for battery health prediction. This paper proposes a battery capacity estimation framework based on real-world operating data. Firstly, a comprehensive feature pool is constructed from the direct external features extracted during multi-step fast charging processes and the quantitative representation of operating conditions. Subsequently, a two-step feature engineering is introduced to select the most relevant features and eliminate the interference components. The battery capacity estimation framework is then implemented using machine learning methods. Validation results demonstrate that the proposed framework achieves superior estimation accuracy with lower computational expense compared to the modelling process without feature engineering. The MAPE and RMSE reach 1.18% and 1.98 Ah, respectively, representing reductions in errors of up to 8.53% and 11.21%. Collectively, the proposed framework paves the foundation for online health prognostics of batteries under practical operating conditions.","Lithium-ion battery; Capacity estimation; Multi-step fast charging; Machine learning; Real-world data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","DC systems, Energy conversion & Storage","","",""
"uuid:e588574b-de3e-40f8-8f1e-032ce54f12a5","http://resolver.tudelft.nl/uuid:e588574b-de3e-40f8-8f1e-032ce54f12a5","Continuous electron shuttling by sulfide oxidizing bacteria as a novel strategy to produce electric current","de Rink, Rieks (Paqell B.V.; Wageningen University & Research); Lavender, Micaela (Wageningen University & Research); Liu, Dandan (Paqell B.V.); Klok, Johannes B.M. (Paqell B.V.; Wetsus, European Centre of Excellence for Sustainable Water Technology); Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Winogradsky Institute of Microbiology); ter Heijne, Annemiek (Wageningen University & Research); Buisman, Cees J.M. (Wetsus, Centre for Sustainable Water Technology)","","2022","Sulfide oxidizing bacteria (SOB) are widely applied in industry to convert toxic H2S into elemental sulfur. Haloalkaliphilic planktonic SOB can remove sulfide from solution under anaerobic conditions (SOB are ‘charged’), and release electrons at an electrode (discharge of SOB). The effect of this electron shuttling on product formation and biomass growth is not known. Here, we study and demonstrate a continuous process in which SOB remove sulfide from solution in an anaerobic ‘uptake chamber’, and shuttle these electrons to the
anode of an electrochemical cell, in the absence of dissolved sulfide. Two experiments over 31 and 41 days were performed. At a sulfide loading rate of 1.1 mmolS/day, electricity was produced continuously (3 A/m2) without
dissolved sulfide in the anolyte. The main end product was sulfate (56% in experiment 1% and 78% in experiment 2), and 87% and 77% of the electrons in sulfide were recovered as electricity. It was found that the current density was dependent on the sulfide loading rate and not on the anode potential. Biological growth occurred, mainly at the anode as biofilm, in which the delta-proteobacterial genus Desulfurivibrio was dominating. Our results demonstrate a novel strategy to produce electricity from sulfide in an electrochemical system.","Bio-anode; Bioelectrochemistry; Microbial electrochemical system; Sulfide oxidizing bacteria (SOB); Sulfide removal","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:3f609a0a-b277-4595-9061-88160f8a80ef","http://resolver.tudelft.nl/uuid:3f609a0a-b277-4595-9061-88160f8a80ef","Optomechanical methodology for characterizing the thermal properties of 2D materials","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Brahmi, Hatem (ASML); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Heat transport in two dimensions is fundamentally different from that in three dimensions. As a consequence, the thermal properties of 2D materials are of great interest, from both scientific and application points of view. However, few techniques are available for the accurate determination of these properties in ultrathin suspended membranes. Here, we present an optomechanical methodology for extracting the thermal expansion coefficient, specific heat, and thermal conductivity of ultrathin membranes made of 2H-TaS2, FePS3, polycrystalline silicon, MoS2, and WSe2. The obtained thermal properties are in good agreement with the values reported in the literature for the same materials. Our work provides an optomechanical method for determining the thermal properties of ultrathin suspended membranes, which are difficult to measure otherwise. It provides a route toward improving our understanding of heat transport in the 2D limit and facilitates engineering of 2D structures with a dedicated thermal performance.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","http://resolver.tudelft.nl/uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","Release of phosphorus through pretreatment of waste activated sludge differs essentially from that of carbon and nitrogen resources: Comparative analysis across four wastewater treatment facilities","Deng, Shaoyu (Beijing Forestry University); Liu, J. (Beijing Forestry University); Yang, Xiaofan (Beijing Forestry University); Sun, Dezhi (Beijing Forestry University); Wang, Aijie (Harbin Institute of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Cheng, Xiang (Beijing Forestry University)","","2024","The accumulation of phosphorus in activated sludge in wastewater treatment plants (WWTPs) provides potential for phosphorus recovery from sewage. This study delves into the potential for releasing phosphorus from waste activated sludge through two distinct treatment methods—thermal hydrolysis and pH adjustment. The investigation was conducted with activated sludge sourced from four WWTPs, each employing distinct phosphorus removal strategies. The findings underscore the notably superior efficacy of pH adjustment in solubilizing sludge phosphorus compared to the prevailing practice of thermal hydrolysis, widely adopted to enhance sludge digestion. The reversibility of phosphorus release within pH fluctuations spanning 2 to 12 implies that the release of sludge phosphorus can be attributed to the dissolution of phosphate precipitates. Alkaline sludge treatment induced the concurrent liberation of COD, nitrogen, and phosphorus through alkaline hydrolysis of sludge biomass and the dissolution of iron or aluminium phosphates, offering potential gains in resource recovery and energy efficiency.","Activated sludge; Alkaline treatment; Resource recovery; Thermal hydrolysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","BT/Environmental Biotechnology","","",""
"uuid:a17e1e76-90a2-4db2-9e30-230155679c2a","http://resolver.tudelft.nl/uuid:a17e1e76-90a2-4db2-9e30-230155679c2a","Data-Driven Fault Diagnosis of Lithium-Ion Battery Overdischarge in Electric Vehicles","Gan, Naifeng (Beijing Institute of Technology); Sun, S.Z. (Beijing Institute of Technology); Zhang, Zhaosheng (Beijing Institute of Technology); Xu, Shiqi (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2022","The overdischarge can significantly degrade a lithium-ion (Li-ion) battery's lifetime. Therefore, it is important to detect the overdischarge and prevent severe damage of the Li-ion battery. Depending on the battery technology, there is a minimum voltage (cutoff voltage) that the battery is allowed to be discharged in common practice. Once the battery voltage is below the cutoff voltage, it is considered as overdischarge. However, overdischarge will not lead to immediate failure of the battery, and if it is not detected, the battery voltage can increase above the cutoff voltage during charging process. How to detect an overdischarge has happened, while the current voltage is larger than the cutoff voltage, thus becomes very challenging. In this article, a machine learning based two-layer overdischarge fault diagnosis strategy for Li-ion batteries in electric vehicles is proposed. The first layer is to detect the overdischarge by comparing the battery voltage with cutoff voltage, like what is utilized in common practice. If the battery voltage is larger than the cutoff voltage, the second layer, which is a detection approach based on eXtreme Gradient Boosting algorithm, is triggered. The second layer is employed to detect the previous overdischarge. The proposed method is validated by real electric vehicle data.","Electric vehicle (EVS); extreme gradient boosting (XGboost); fault diagnosis; lithium-ion battery (LIB); overdischarge","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:5b9959c8-9a61-4e6c-b8e8-67d31733dd1c","http://resolver.tudelft.nl/uuid:5b9959c8-9a61-4e6c-b8e8-67d31733dd1c","Chemical boundary engineering: A new route toward lean, ultrastrong yet ductile steels","Ding, Ran (Tsinghua University); Liu, Geng (Tsinghua University); Wan, Xinhao (Tsinghua University); Ponge, Dirk (Max-Planck-Institut für Eisenforschung); Raabe, Dierk (Max-Planck-Institut für Eisenforschung); Godfrey, Andy (Tsinghua University); Furuhara, Tadashi (Tohoku University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2020","For decades, grain boundary engineering has proven to be one of the most effective approaches for tailoring the mechanical properties of metallic materials, although there are limits to the fineness and types of microstructures achievable, due to the rapid increase in grain size once being exposed to thermal loads (low thermal stability of crystallographic boundaries). Here, we deploy a unique chemical boundary engineering (CBE) approach, augmenting the variety in available alloy design strategies, which enables us to create a material with an ultrafine hierarchically heterogeneous microstructure even after heating to high temperatures. When applied to plain steels with carbon content of only up to 0.2 weight %, this approach yields ultimate strength levels beyond 2.0 GPa in combination with good ductility (>20%). Although demonstrated here for plain carbon steels, the CBE design approach is, in principle, applicable also to other alloys.","","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:fdd49527-1a39-4a27-abdd-6c6c52eb73e2","http://resolver.tudelft.nl/uuid:fdd49527-1a39-4a27-abdd-6c6c52eb73e2","State-of-the-art of data collection, analytics, and future needs of transmission utilities worldwide to account for the continuous growth of sensing data","Segundo Sevilla, Felix Rafael (Zurich University of Applied Science (ZHAW)); Liu, Yanli (Tianjin University); Barocio, Emilio (University of Guadalajara); Korba, Petr (Zurich University of Applied Science (ZHAW)); Andrade, Manuel (Universidad Autónoma de Nuevo León); Chaudhuri, Balarko (Imperial College London); Cremer, Jochen (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2022","Nowadays, transmission system operators require higher degree of observability in real-time to gain situational awareness and improve the decision-making process to guarantee a safe and reliable operation. Digitalization of energy systems allows utilities to monitor the system dynamic performance in real-time at fast time scales. The use of such technologies has unlocked new opportunities to introduce new data driven algorithms for improving the stability assessment and control of the system. Motivated by these challenges, a group of experts have worked together to highlight and establish a baseline set of these common concerns, which can be used as motivation to propose innovative analytics and data-driven solutions. In this document, the results of a survey on 10 transmission system operators around the world are presented and it aims to understand the current practices of the participating companies, in terms of data acquisition, handling, storage, modelling and analytics. The overall objective of this document is to capture the actual needs from the interviewed utilities, thereby laying the groundwork for setting valid assumptions for the development of advanced algorithms in this field.","Data analytics; Data handling; Grid operation and management; Phasor measurement units; Stability assessment; Survey; System dynamic performance; Transmission system operator; Wide-area monitoring","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:938f6c61-3f54-44dd-95c4-494d75f568ae","http://resolver.tudelft.nl/uuid:938f6c61-3f54-44dd-95c4-494d75f568ae","Achieving superelasticity in additively manufactured Ni-lean NiTi by crystallographic design","Zhu, Jia-Ning (TU Delft Team Vera Popovich); Liu, K. (TU Delft Team Marcel Sluiter); Riemslag, A.C. (TU Delft Team Vera Popovich); Tichelaar, F.D. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft); Borisov, Evgenii (Peter the Great Saint-Petersburg Polytechnic University); Yao, Xiyu (Southern University of Science and Technology); Popovich, Anatoly (Peter the Great Saint-Petersburg Polytechnic University); Huizenga, R.M. (TU Delft Team Amarante Bottger); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Popovich, V. (TU Delft Team Vera Popovich)","","2023","Superelastic metallic materials possessing large recoverable strains are widely used in automotive, aerospace and energy conversion industries. Superelastic materials working at high temperatures and with a wide temperature range are increasingly required for demanding applications. Until recently, high-temperature superelasticity has only been achievable with multicomponent alloys fabricated by complex processes. In this study, a novel framework of multi-scale models enabling texture and microstructure design is proposed for high-performance NiTi fabrication via laser powder bed fusion. Based on the developed framework, a Ni-lean Ni(49.4 at.%)-Ti alloy is, for the first time, endowed with a 4% high-temperature compressive superelasticity. A 001 texture, unfavorable for plastic slip, is created to realize enhanced functionality. The unprecedented superelasticity can be maintained up to 453 K, which is comparable with but has a wider superelastic temperature range (∼110 K) than rare earth alloyed NiTi alloys, previously only realizable with grain refinement, and other complicated post-processing operations. At the same time, its shape memory stability is also improved due to existing textured 100 martensite and intergranular precipitation of Ti2NiOx. This discovery reframes the way that we design superior performance NiTi based alloys through directly tailoring crystallographic orientations during additive manufacturing.","Anisotropy; Laser powder bed fusion; NiTi; Shape memory alloys; Superelasticity","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:1a997c5a-df01-48f8-b5ad-aea533d854ea","http://resolver.tudelft.nl/uuid:1a997c5a-df01-48f8-b5ad-aea533d854ea","Highly efficient carbon assimilation and nitrogen/phosphorus removal facilitated by photosynthetic O2 from algal-bacterial aerobic granular sludge under controlled DO/pH operation","Li, Zejiao (University of Tsukuba); Wang, Jixiang (University of Tsukuba); Liu, Jialin (University of Tsukuba); Chen, Xingyu (University of Tsukuba); Lei, Zhongfang (University of Tsukuba); Yuan, Tian (University of Tsukuba); Lee, Duu Jong (City University of Hong Kong; Yuan Ze University, Chung-Li); Lin, Y. (TU Delft Environmental Fluid Mechanics); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2023","Reducing CO2 emission and energy consumption is crucial for the sustainable management of wastewater treatment plants (WWTPs). In this study, an algal-bacterial aerobic granular sludge (AGS) system was developed for efficient carbon (C) assimilation and nitrogen (N)/phosphorus (P) removal without the need for mechanical aeration. The photosynthetic O2 production by phototrophic organisms maintained the dissolved oxygen (DO) level at 3-4 mg/L in the bulk liquid, and an LED light control system reduced 10–30% of light energy consumption. Results showed that the biomass assimilated 52% of input dissolved total carbon (DTC), and the produced O2 simultaneously facilitated aerobic nitrification and P uptake with the coexisting phototrophs serving as a C fixer and O2 supplier. This resulted in a stably high total N removal of 81 ± 7% and an N assimilation rate of 7.55 mg/(g-MLVSS∙d) with enhanced microbial assimilation and simultaneous nitrification/denitrification. Good P removal of 92–98% was maintained during the test period at a molar ∆P/∆C ratio of 0.36 ± 0.03 and high P release and uptake rates of 10.84 ± 0.41 and 7.18 ± 0.24 mg/(g- MLVSS∙h), respectively. Photosynthetic O2 was more advantageous for N and P removal than mechanical aeration. This proposed system can contribute to a better design and sustainable operation of WWTPs using algal-bacterial AGS.","Algal-bacterial aerobic granular sludge; Carbon fixation; Nitrogen assimilation; Photosynthetic oxygen; Simultaneous nitrogen and phosphorus removal","en","journal article","","","","","","","","2023-12-30","","","Environmental Fluid Mechanics","","",""
"uuid:3b699bac-c7ce-41c0-b8ae-acca10114ba5","http://resolver.tudelft.nl/uuid:3b699bac-c7ce-41c0-b8ae-acca10114ba5","Deconstructing Organizational Capabilities of Megaproject Owners: Dimensions and Levels","Zhang, X. (TU Delft Design & Construction Management; Tongji University); Liu, Mingqiang (Tongji University; Tenth People's Hospital Affiliated Tongji Univ. and Shanghai Tenth People's Hospital); Le, Yun (Tongji University); Wei, Jianjun (Shanghai Shenkang Hospital); Zhu, Yongsong (Tenth People's Hospital Affiliated Tongji Univ. and Shanghai Tenth People's Hospital); Li, Yongkui (Tongji University)","","2023","Given that a strong owner has been identified as an important factor in the success of megaprojects, there has been a growing recognition of the importance of megaproject owner organizational capabilities. However, the questions of what organizational capabilities do owners need to foster successful megaprojects, and whether these capabilities are the stronger the better, have yet to be addressed. To answer these questions, this study identified the dimensions of owner organizational capabilities based on literature and interviews, and developed several sets of parallel hypotheses. Based on the 229 valid questionnaire data collected, the relationships between owner organizational capabilities and megaproject success were analyzed through hierarchical multiple regression, and the results were discussed through expert interviews. The findings show that owner coordination, dynamic, and system integration capabilities have positive impacts on megaproject success, with coordination capabilities being the most significant. While the impact of motivational capabilities on megaproject success is inverted U-shaped. This study provides an actionable dimensional framework for megaproject owner capabilities, moving the field of owner organizational capabilities beyond the conceptual level. This study provides empirical evidence for the importance of megaproject owner organizational capabilities and takes a more dialectical view of a strong owner. The empirical results can provide insights and guidance on the configuration and development of megaproject owner capabilities.","Coordination capabilities; Dynamic capabilities; Megaproject success; Motivational capabilities; Owner organizational capabilities; System integration capabilities","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Design & Construction Management","","",""
"uuid:76d1528b-cb72-468b-a615-10dfc1373390","http://resolver.tudelft.nl/uuid:76d1528b-cb72-468b-a615-10dfc1373390","Air infiltration and related building energy consumption: A case study of office buildings in Changsha, China","Hu, Jie (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Ma, Guochuan (China Southwest Architectural Design and Research Institute); Zhang, Guoqiang (Hunan University); Ai, Zhengtao (Hunan University)","","2023","Past studies reveal that air infiltration through the building envelope and its impact on the indoor environment and energy consumption are significantly influenced by climate characteristics. However, little relevant information is available for buildings in southern China, where the building design traditionally follows a philosophy of being open and shaded. The present study employs both experimental measurements and numerical simulations to investigate the airtightness of buildings in Hot Summer and Cold Winter (HSCW) climate region of southern China and the associated energy consumption. The measurements and simulations are based on a typical office building in Changsha. Measurement results show that the air infiltration rate of six tested spaces at the natural pressure difference ranges from 0.10 to 0.30 h−1 with an average of 0.17 h−1 in summer, and from 0.09 to 0.32 h−1 with an average of 0.16 h−1 in winter. The operation of the air-conditioning system affects largely air infiltration, and each unit change in setpoint air temperature can result in an average of one-third or more change in air infiltration rate. Simulation results show that a decrease in air infiltration rate from 0.17 h−1 to 0.01 h−1 reduces the infiltration-related cooling energy consumption from 14.29 to 0.75 kWh/m2·year and heating energy consumption from 8.20 to 0.39 kWh/m2·year. The same change in the setpoint air temperature of air-conditioning system in summer and winter results in different infiltration-related energy consumption. The findings would contribute to an improved energy simulation and assessment of buildings in southern China.","Air-conditioning system; Airtightness; EnergyPlus; Infiltration-related energy consumption; Tracer gas method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-16","","","Design & Construction Management","","",""
"uuid:16547a07-b17b-4690-881a-ce5fd280a91e","http://resolver.tudelft.nl/uuid:16547a07-b17b-4690-881a-ce5fd280a91e","Study on the controllability of the fabrication of single-crystal silicon nanopores/nanoslits with a fast-stop ionic current-monitored TSWE method","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Lei, Xin (Beihang University); Chen, Haiyun (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2023","The application of single-crystal silicon (SCS) nanopore structures in single-molecule-based analytical devices is an emerging approach for the separation and analysis of nanoparticles. The key challenge is to fabricate individual SCS nanopores with precise sizes in a controllable and reproducible way. This paper introduces a fast-stop ionic current-monitored three-step wet etching (TSWE) method for the controllable fabrication of SCS nanopores. Since the nanopore size has a quantitative relationship with the corresponding ionic current, it can be regulated by controlling the ionic current. Thanks to the precise current-monitored and self-stop system, an array of nanoslits with a feature size of only 3 nm was obtained, which is the smallest size ever reported using the TSWE method. Furthermore, by selecting different current jump ratios, individual nanopores of specific sizes were controllably prepared, and the smallest deviation from the theoretical value was 1.4 nm. DNA translocation measurement results revealed that the prepared SCS nanopores possessed the excellent potential to be applied in DNA sequencing. [Figure not available: see fulltext.]","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:c20567fd-4abe-4995-b7dd-df5f151f96f1","http://resolver.tudelft.nl/uuid:c20567fd-4abe-4995-b7dd-df5f151f96f1","Comparison of breaking models in envelope-based surface gravity wave evolution equations","Liu, Yuxuan (University of Oxford); Eeltink, D. (University of Oxford; Massachusetts Institute of Technology); Tang, Tianning (University of Oxford); Barratt, D. (University of Oxford); Li, Ye (Shanghai Jiao Tong University); Adcock, T. A.A. (University of Oxford); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2023","Wave breaking is the main mechanism that dissipates energy from ocean waves by wind. Its effects on the frequency spectrum cause a downshift of the spectral peak and dissipation of the total energy of the spectrum. Various reduced-form wave breaking models have been developed to capture wave breaking in envelope-based wave evolution equations for perturbed plane-wave systems, but their applicability to waves with a continuous spectrum has not been examined. In this paper we perform modified nonlinear Schrödinger equation simulations to study four existing wave breaking models and compare the results with new experimental data for breaking unidirectional wave groups. We first compare the different wave breaking models for perturbed plane-wave simulations and then examine their potential extension to waves with a continuous spectrum. We find that most existing models are able to model breaking in perturbed plane waves, but none produce the correct spectral dissipation for focused wave groups. We propose a modification to the breaking model by Kato and Oikawa [J. Phys. Soc. Jpn. 64, 4660 (1995)0031-901510.1143/JPSJ.64.4660] in order to model breaking in focused wave groups. The modified model incorporates both a breaking criterion, which activates and deactivates the dissipation term proposed by Kato and Oikawa, and a heuristic spectral weighting function that is obtained by fitting to experimental data. The modified model also predicts breaking in perturbed plane waves well.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:5d572dd3-19f6-48be-a875-cff8e1ade875","http://resolver.tudelft.nl/uuid:5d572dd3-19f6-48be-a875-cff8e1ade875","Direct manipulation of a superconducting spin qubit strongly coupled to a transmon qubit","Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Heck, Bernard (Universiteit Leiden; Universita degli Studi di Roma, La Sapienza); Andersen, C.K. (TU Delft Andersen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Spin qubits in semiconductors are a promising platform for producing highly scalable quantum computing devices. However, it is difficult to realize multiqubit interactions over extended distances. Superconducting spin qubits provide an alternative by encoding a qubit in the spin degree of freedom of an Andreev level. These Andreev spin qubits have an intrinsic spin–supercurrent coupling that enables the use of recent advances in circuit quantum electrodynamics. The first realization of an Andreev spin qubit encoded the qubit in the excited states of a semiconducting weak link, leading to frequent decay out of the computational subspace. Additionally, rapid qubit manipulation was hindered by the need for indirect Raman transitions. Here we use an electrostatically defined quantum dot Josephson junction with large charging energy, which leads to a spin-split doublet ground state. We tune the qubit frequency over a frequency range of 10 GHz using a magnetic field, which also enables us to investigate the qubit performance using direct spin manipulation. An all-electric microwave drive produces Rabi frequencies exceeding 200 MHz. We embed the Andreev spin qubit in a superconducting transmon qubit, demonstrating strong coherent qubit–qubit coupling. These results are a crucial step towards a hybrid architecture that combines the beneficial aspects of both superconducting and semiconductor qubits.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-22","","","QRD/Kouwenhoven Lab","","",""
"uuid:76c7256e-56a4-4574-b82c-237686bcc76a","http://resolver.tudelft.nl/uuid:76c7256e-56a4-4574-b82c-237686bcc76a","Data frame aware optimized Octomap-based dynamic object detection and removal in Mobile Laser Scanning data","Liu, Zhenyu (Rheinisch-Westfälische Technische Hochschule; Student TU Delft); van Oosterom, P.J.M. (TU Delft Digital Technologies); Balado Frías, J. (TU Delft Digital Technologies; Universidade de Vigo); Swart, Arjen (Cyclomedia Technology B.V.); Beers, Bart (Cyclomedia Technology B.V.)","","2023","The Mobile Laser Scanning (MLS) data inevitably includes dynamic objects because there are always other vehicles (e.g., other cars, motorbikes, bikes, etc.) moving in the area near the MLS data collection vehicle on the road. These dynamic objects need to be removed in advance for many point cloud applications. This paper designs an efficient and memory-friendly data frame aware optimized Octomap-based dynamic object detection and removal method for MLS data. Firstly, the input MLS data is split into multiple data frames based on the timestamp. Each data frame is inserted into a separate Octomap with part of its neighbouring data frames. A statistics-based method is applied to each data frame to find the passable voxel cell space (free space) in Octomap and all points in the free space are extracted as free points. Second, the region of interest (ROI) related to the dynamic object is delineated to retain free points related to dynamic objects. Then the free-point rate and the multi-return rate are calculated to further remove noise and vegetation points from free points. Finally, the fixed radius search is used to extract dynamic objects from the filtered free points. The proposed method is tested in four case sites in Delft, the Netherlands. Results show that 84.98% of dynamic objects are detected and extracted correctly. The proposed method is 18.27% more efficient on average than the original Octomap method, can be further accelerated by parallel computing, and only needs 39.40% of the maximum memory consumption.","Dynamic Object Detection; Dynamic Object Removal; LiDAR Data; Mobile Laser Scanning; Octomap; Point Cloud","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:b40bad58-614c-41f4-8660-21a56ade6fb6","http://resolver.tudelft.nl/uuid:b40bad58-614c-41f4-8660-21a56ade6fb6","Stresses at grain boundaries: The maximum incompatibility stress in an infinitely extended elastic bicrystal under uniaxial loading","Liu, K. (TU Delft Team Marcel Sluiter); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter; Universiteit Gent)","","2023","In a material under stress, grain boundaries may give rise to stress discontinuities. Stress localization is crucial to materials' behavior such as segregation, precipitation, and void nucleation. Here, the stress state at a grain boundary perpendicular to a uniaxial external stress is studied systematically. The grain boundary with the most extreme stress discontinuity is determined for cubic materials within the elastic limit for a bicrystal model. Additionally, grain boundaries with negligible stress discontinuity are identified. The influence of the elastic tensor components, C11, C12, and C44, and grain orientation is studied quantitatively.","Anisotropy; Grain boundary; Incompatibility stress; Orientation; Texture","en","journal article","","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:51aea123-9bad-4e6f-83db-83ebd2b716ee","http://resolver.tudelft.nl/uuid:51aea123-9bad-4e6f-83db-83ebd2b716ee","Experimental and numerical study on the mitigation of autogenous shrinkage of cementitious material","Lu, T. (TU Delft Materials and Environment; Southwest Petroleum University); Liang, X. (TU Delft Materials and Environment); Liu, C. (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment; South China University of Technology); Li, Z. (University of Sheffield)","","2023","This study experimentally investigated the effects of surfactants and water-repelling agents on the hydration process, relative humidity, and mechanical properties of Portland cement pastes. Based on the measurement results, the degree of hydration, degree of saturation, capillary tension of autogenous shrinkage, and magnitude of autogenous shrinkage were simulated using a numerical model. In the numerical model, the elastic and creep components of autogenous shrinkage were calculated separately, and the creep component was simulated based on the solidification theory. The simulation results indicated that adding admixtures led to lower degrees of hydration and saturation. The capillary tension of the pure Portland cement was larger than that of the other mixtures. This can be attributed to several factors, including the smaller surface tension of mixtures with surfactants, larger contact angle of mixtures with water-repelling agents, and a lower degree of hydration of mixtures with both admixtures. Analyses of the simulated and measured results for different mixtures also show that creep plays an indispensable role in autogenous shrinkage. Adding a surfactant and a water-repelling agent can effectively mitigate autogenous shrinkage. However, when an excessive amount of water-repelling agent was added, its influence on the mitigation of autogenous shrinkage was insignificant.","Autogenous shrinkage; Cement paste; Simulation; Surfactant; Water-repelling agent","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","http://resolver.tudelft.nl/uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","Ultrasmall Gold Nanoparticles Radiolabeled with Iodine-125 as Potential New Radiopharmaceutical","Wang, R. (TU Delft RST/Applied Radiation & Isotopes); Liu, Huanhuan (Zhengzhou University); Antal, Bas (Student TU Delft); Wolterbeek, H.T. (TU Delft Reactor Institute Delft); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2024","The relatively high linear energy transfer of Auger electrons, which can cause clustered DNA damage and hence efficient cell death, makes Auger emitters excellent candidates for attacking metastasized tumors. Moreover, gammas or positrons are usually emitted along with the Auger electrons, providing the possibility of theragnostic applications. Despite the promising properties of Auger electrons, only a few radiopharmaceuticals employing Auger emitters have been developed so far. This is most likely explained by the short ranges of these electrons, requiring the delivery of the Auger emitters to crucial cell parts such as the cell nucleus. In this work, we combined the Auger emitter 125I and ultrasmall gold nanoparticles to prepare a novel radiopharmaceutical. The 125I labeled gold nanoparticles were shown to accumulate at the cell nucleus, leading to a high tumor-killing efficiency in both 2D and 3D tumor cell models. The results from this work indicate that ultrasmall nanoparticles, which passively accumulate at the cell nucleus, have the potential to be applied in targeted radionuclide therapy. Even better tumor-killing efficiency can be expected if tumor-targeting moieties are conjugated to the nanoparticles.","Auger electron; Auger therapy; iodine-125; radionuclide therapy; ultrasmall gold nanoparticle","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:97368040-5c8a-4976-bde9-072948310626","http://resolver.tudelft.nl/uuid:97368040-5c8a-4976-bde9-072948310626","Ground-based validation of the Copernicus Sentinel-5P TROPOMI NO2 measurements with the NDACC ZSL-DOAS, MAX-DOAS and Pandonia global networks","Verhoelst, Tijl (Royal Belgian Institute for Space Aeronomy (BIRA-IASB)); Compernolle, Steven (Royal Belgian Institute for Space Aeronomy (BIRA-IASB)); Pinardi, Gaia (Royal Belgian Institute for Space Aeronomy (BIRA-IASB)); Lambert, Jean Christopher (Royal Belgian Institute for Space Aeronomy (BIRA-IASB)); Eskes, Henk J. (Royal Netherlands Meteorological Institute (KNMI)); Eichmann, Kai Uwe (University of Bremen); Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Liu, Cheng (University of Science and Technology of China); Veefkind, j. Pepijn (Royal Netherlands Meteorological Institute (KNMI))","","2021","This paper reports on consolidated ground-based validation results of the atmospheric NO2 data produced operationally since April 2018 by the TROPOspheric Monitoring Instrument (TROPOMI) on board of the ESA/EU Copernicus Sentinel-5 Precursor (S5P) satellite. Tropospheric, stratospheric, and total NO2 column data from S5P are compared to correlative measurements collected from, respectively, 19 Multi-Axis Differential Optical Absorption Spectroscopy (MAX-DOAS), 26 Network for the Detection of Atmospheric Composition Change (NDACC) Zenith-Scattered-Light DOAS (ZSL-DOAS), and 25 Pandonia Global Network (PGN)/Pandora instruments distributed globally. The validation methodology gives special care to minimizing mismatch errors due to imperfect spatiotemporal co-location of the satellite and correlative data, e.g. by using tailored observation operators to account for differences in smoothing and in sampling of atmospheric structures and variability and photochemical modelling to reduce diurnal cycle effects. Compared to the ground-based measurements, S5P data show, on average, (i) a negative bias for the tropospheric column data, of typically-23 % to-37 % in clean to slightly polluted conditions but reaching values as high as-51 % over highly polluted areas; (ii) a slight negative median difference for the stratospheric column data, of about-0:2 Pmolec cm-2, i.e. approx.-2 % in summer to-15 % in winter; and (iii) a bias ranging from zero to-50 % for the total column data, found to depend on the amplitude of the total NO2 column, with small to slightly positive bias values for columns below 6 Pmolec cm-2 and negative values above. The dispersion between S5P and correlative measurements contains mostly random components, which remain within mission requirements for the stratospheric column data (0.5 Pmolec cm-2) but exceed those for the tropospheric column data (0.7 Pmolec cm-2). While a part of the biases and dispersion may be due to representativeness differences such as different area averaging and measurement times, it is known that errors in the S5P tropospheric columns exist due to shortcomings in the (horizontally coarse) a priori profile representation in the TM5-MP chemical transport model used in the S5P retrieval and, to a lesser extent, to the treatment of cloud effects and aerosols. Although considerable differences (up to 2 Pmolec cm-2 and more) are observed at single ground-pixel level, the near-real-time (NRTI) and offline (OFFL) versions of the S5P NO2 operational data processor provide similar NO2 column values and validation results when globally averaged, with the NRTI values being on average 0.79 % larger than the OFFL values.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:aa6e2f08-436d-43cd-a928-74461628d66d","http://resolver.tudelft.nl/uuid:aa6e2f08-436d-43cd-a928-74461628d66d","Ionizing Radiation-Induced Release from Poly(ϵ-caprolactone- b-ethylene glycol) Micelles","Liu, H. (TU Delft RST/Applied Radiation & Isotopes); Laan, A.C. (TU Delft RST/Technici Pool); Plomp, J. (TU Delft RID/TS/Instrumenten groep); Parnell, S.R. (TU Delft RID/TS/Instrumenten groep); Men, Y. (TU Delft ChemE/Advanced Soft Matter); Dalgliesh, Robert M. (ISIS Facility); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2021","Polymeric micelles, due to their easy preparation and versatile properties, have been widely applied as one of the most popular carriers for chemotherapeutic agents. Such micelles primarily prevent the leakage of drugs during transportation and thus protect healthy tissue. Controlled drug release, which releases the drugs at the site of interest using internal or external stimuli as triggers, can further improve the safety of the drug delivery process. In this paper, we investigate whether ionizing radiation can be used to initiate release, focusing on using Cerenkov light as a possible trigger. For this purpose, micelles composed of the degradable polymer poly(ϵ-caprolactone-b-ethylene glycol) (PCL-PEO) were first loaded with the photosensitizer chlorin e6 (Ce6) and subsequently exposed to gamma or X-ray radiation of varying radiation doses. The results reveal that Ce6 was released from the micelles under radiation, regardless of the energy of incident photons, showing that Cerenkov light was not the driving force behind the observed release. SANS measurements showed that the volume fraction of the micelles containing Ce6 was reduced after exposure to radiation. This change in volume fraction suggests that the number of micelles was reduced, which was probably responsible for the release of Ce6. The exact mechanism, however, remains unclear. Subsequently, the PCL-PEO micelles were loaded with Ce6 and one of the following drugs: doxorubicin (Dox), docetaxel (DTX), and paclitaxel (PTX). Under radiation exposure, Dox, which is quite stable in single-loaded micelles, shows an enhanced release profile in the presence of Ce6, while DTX and PTX remained in the micelles, regardless of the presence of Ce6.","Cherenkov light; Chlorin e6; controlled release; ionizing radiation; PCL-PEO micelles","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:d162d3e1-ba11-4152-a544-704bbbf92436","http://resolver.tudelft.nl/uuid:d162d3e1-ba11-4152-a544-704bbbf92436","Uncoupling growth and succinic acid production in an industrial Saccharomyces cerevisiae strain","Liu, Y. (TU Delft BT/Industriele Microbiologie); Esen, Osman (Student TU Delft); Pronk, J.T. (TU Delft BT/Biotechnologie); van Gulik, W.M. (TU Delft BT/Industriele Microbiologie)","","2021","This study explores the relation between biomass-specific succinic acid (SA) production rate and specific growth rate of an engineered industrial strain of Saccharomyces cerevisiae, with the aim to investigate the extent to which growth and product formation can be uncoupled. Ammonium-limited aerobic chemostat and retentostat cultures were grown at different specific growth rates under industrially relevant conditions, that is, at a culture pH of 3 and with sparging of a 1:1 CO2–air mixture. Biomass-specific SA production rates decreased asymptotically with decreasing growth rate. At near-zero growth rates, the engineered strain maintained a stable biomass-specific SA production rate for over 500 h, with a SA yield on glucose of 0.61 mol mol−1. These results demonstrate that uncoupling of growth and SA production could indeed be achieved. A linear relation between the biomass-specific SA production rate and glucose consumption rate indicated the coupling of SA production rate and the flux through primary metabolism. The low culture pH resulted in an increased death rate, which was lowest at near-zero growth rates. Nevertheless, a significant amount of non-viable biomass accumulated in the retentostat cultures, thus underlining the importance of improving low-pH tolerance in further strain development for industrial SA production with S. cerevisiae.","high CO; low pH; near-zero growth; retentostat; succinic acid","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:f038ff1f-618b-414d-9bc4-3d6a269d64b1","http://resolver.tudelft.nl/uuid:f038ff1f-618b-414d-9bc4-3d6a269d64b1","An indicator system for evaluating operation and maintenance management of mega infrastructure projects in China","Chen, Dan (Chongqing University); Xiang, Pengcheng (Chongqing University); Jia, Fuyuan (Chongqing University); Zhang, Jian (Chongqing University); Liu, Z. (TU Delft Integral Design & Management)","","2020","Mega infrastructure projects provide a basic guarantee for social development, economic construction, and livelihood improvement. Their operation and maintenance (O&M) management are of great significance for the smooth operation and the realization of the value created by the projects. In order to provide an approach for effectively evaluating O&M management, this study develops a holistic indicator system using a mixed-review method from the national macro perspective in China. In this study, literature analysis, policy texts, expert interviews, and grounded theory were used to collect relevant data at home and abroad, and establish an initial evaluation indicator system with 23 indicators covering two dimensions and five aspects. Then the questionnaire survey and factor analysis were used to score and categorize the indicators, and finally an evaluation indicator system for O&M management of mega infrastructure projects was formed. The results show that social relations, environmental benefits, macro policy, and operational capacities play an important role in the evaluation of the O&M of mega infrastructure projects. This study helps the management team to avoid negative impacts in the O&M management of mega infrastructure projects and lays a theoretical foundation for future research. The indicator system in this study is based on the Chinese context, and it remains to be verified whether the indicator system is applicable to other countries due to the differences in political and cultural backgrounds in different regions.","Assessment level; Indicator system; Mega infrastructure projects; Operation and maintenance management","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:ca8feff6-e82d-4394-9186-fcb8d3a5bb25","http://resolver.tudelft.nl/uuid:ca8feff6-e82d-4394-9186-fcb8d3a5bb25","Influence of the inhomogeneous troposphere on GNSS positioning and integer ambiguity resolution","Ma, H. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Xing, Xuhuang (Hainan Meteorological Service Center); Zhao, Qile (Wuhan University); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, Xianglin (Fugro)","","2021","The tropospheric delay is one of many error sources that affect the Global Navigation Satellite System (GNSS) positioning solutions. The widely used troposphere models assume a homogeneous atmosphere so that only the zenith delay needs to be determined and is mapped through an elevation-dependent mapping function. This procedure is to reduce the computational burden and keep the positioning model full-rank. However, this assumption fails for a realistic description of the troposphere, which is always asymmetrical at a certain elevation angle, especially during a weather event when the weather conditions are very complex. These imperfectly modelled tropospheric delays may influence the positioning accuracy and integer ambiguity resolution performance. In this case, this contribution aims to investigate the effects of the model errors due to the asymmetrical troposphere on GNSS estimations. The Numerical Weather Prediction (NWP) model is applied to generate the actual ray-tracing tropospheric delay in Western Europe, and the tropospheric model errors are calculated in a normal weather condition and a weather event condition by comparing the slant delay calculated from the NWP model and the mapping function. Case studies on the same GNSS station are conducted in two weather conditions: a normal troposphere condition and a weather event with heavy rainfall. The results based on the case studies show that the troposphere in the normal weather condition is nearly homogeneous that the azimuthal-dependent discrepancies of the tropospheric delay are less than 1cm at a very low elevation angle; meanwhile, the discrepancies between different azimuthal angles can reach to more than 25cm in the weather event. A single-frequency Single Point Positioning (SPP) model and a Precise Point Positioning (PPP) model that preserves the integer property of ambiguity are chosen for studying the estimation biases caused by the troposphere model errors. It turns out that almost all horizontal positioning biases of SPP and PPP are less than 1cm in the normal weather condition; however, the scales of the horizontal and 3D biases are concentrated in 1 to 10cm in the weather event for these two models. This contribution also contains the study of the actual integer ambiguity resolution success rate in the presence of the tropospheric model errors by applying the Monte Carlo simulation, and the success rates of PPP in the normal weather condition are consistent with the theoretical values calculated with the ideal troposphere which is totally symmetrical. However, the actual success rates in the weather event are extremely low at some epochs due to the tropospheric model errors, which means that wrong fixing may occur since the theoretical values cannot take into account these model errors. Note that the horizontal tropospheric gradients are not involved in the processing, which means that an optimistic performance might be expected if the gradients are considered.","GNSS; Integer ambiguity resolution; Model errors; Monte Carlo simulation; PPP; PPP-AR; Tropospheric delay","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:a2f9a46b-b42f-4f69-977b-3fdc2afc13a2","http://resolver.tudelft.nl/uuid:a2f9a46b-b42f-4f69-977b-3fdc2afc13a2","Assessing sponge cities performance at city scale using remotely sensed LULC changes: Case study Nanjing","Liu, X. (TU Delft Hydraulic Structures and Flood Risk; Southeast University; IHE Delft Institute for Water Education); Fu, Dafang (Southeast University); Zevenbergen, C. (TU Delft Hydraulic Structures and Flood Risk; TU Delft Urban Design; IHE Delft Institute for Water Education); Busker, Tim (Vrije Universiteit Amsterdam); Yu, Meixiu (Hohai University)","","2021","As a result of high-density urbanization and climate change, both the frequency and intensity of extreme urban rainfall are increasing. Drainage systems are not designed to cope with this increase, and as a result, floods are becoming more common in cities, particularly in the rapidly growing cities of China. To better cope with more frequent and severe urban flooding and to improve the water quality of stormwater runoff, the Chinese government launched the national Sponge City Construction (SCC) program in 2014. The current SCC design standards and guidelines are based on static values (e.g., return periods, rainfall intensities, and volume capture ratio (VCR)). They do not fully acknowledge the large differences in climate conditions across the country and assume that the hydraulic conditions will not change over time. This stationary approach stems from the traditional engineering approach designed for grey infrastructure (following a “one size fits all” approach). The purpose of this study was to develop a methodology to assess the VCR baseline (before construction in the pre-development stage) and changes in VCR (difference between the VCR of the pre-and post-development stage). The VCR of the post-development stage is one of the required indicators of the Assessment Standard for Sponge Cities Effects to evaluate SCC projects. In this study, the VCR was derived from remote-sensing-based land use land cover (LULC) change analysis, applying an unsupervised classification algorithm on different Landsat images from 1985 to 2015. A visualization method (based upon Sankey chart, which depicts the flows and their proportions of components) and a novel and practical partitioning method for built-up regions were developed to visualize and quantify the states and change flows of LULC. On the basis of these findings, we proposed a new indicator, referred to as VCRa − L, in order to assess the changes in urban hydrology after SCC construction. This study employed the city of Nanjing as a case study and analyzed detailed information on how LULC changes over time of built-up areas. The surface area of the urban and built-up areas of Nanjing quadrupled from 11% in 1985 to 44% in 2015. In the same period, neither the entire city nor its subregions reached the VCR target of 80%. The proposed new methodology aims to support national, regional, and city governments to identify and prioritize where to invest and implement SCC measures more effectively in cities across China.","Built-up area partitioning method; Google earth engine; Land use change; Modified Sankey chart; Remote sensing; Sponge city construction; Volume capture ratio","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:f97bf288-40f9-475c-98cd-98f68e9b4aad","http://resolver.tudelft.nl/uuid:f97bf288-40f9-475c-98cd-98f68e9b4aad","Conductance asymmetries in mesoscopic superconducting devices due to finite bias","De Almeida Nascimento e Melo, A.A. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Liu, C. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Rozek, P.M. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Rosdahl, T.O. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft); Wimmer, M.T. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2021","Tunneling conductance spectroscopy in normal metal-superconductor junctions is an important tool for probing Andreev bound states in mesoscopic superconducting devices, such as Majorana nanowires. In an ideal superconducting device, the subgap conductance obeys specific symmetry relations, due to particle-hole symmetry and unitarity of the scattering matrix. However, experimental data often exhibits deviations from these symmetries or even their explicit breakdown. In this work, we identify a mechanism that leads to conductance asymmetries without quasiparticle poisoning. In particular, we investigate the effects of finite bias and include the voltage dependence in the tunnel barrier transparency, finding significant conductance asymmetries for realistic device parameters. It is important to identify the physical origin of conductance asymmetries: in contrast to other possible mechanisms such as quasiparticle poisoning, finite-bias effects are not detrimental to the performance of a topological qubit. To that end we identify features that can be used to experimentally determine whether finite-bias effects are the source of conductance asymmetries.","","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:8296b91b-4b84-45f1-ac66-98ca370d6282","http://resolver.tudelft.nl/uuid:8296b91b-4b84-45f1-ac66-98ca370d6282","Nuclear Waste and Biocatalysis: A Sustainable Liaison?","Zhang, W. (TU Delft BT/Biocatalysis; Chinese Academy of Sciences); Liu, H. (TU Delft RST/Applied Radiation & Isotopes; TU Delft RST/Radiation, Science and Technology); van Schie, M.M.C.H. (TU Delft BT/Biocatalysis); Hagedoorn, P.L. (TU Delft BT/Biocatalysis); Alcalde, Miguel (University of the Balearic Islands); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes; TU Delft RST/Radiation, Science and Technology); Djanashvili, K. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis)","","2020","It is well-known that energy-rich radiation induces water splitting, eventually yielding hydrogen peroxide. Synthetic applications, however, are scarce and to the best of our knowledge, the combination of radioactivity with enzyme-catalysis has not been considered yet. Peroxygenases utilize H2O2 as an oxidant to promote highly selective oxyfunctionalization reactions but are also irreversibly inactivated in the presence of too high H2O2 concentrations. Therefore, there is a need for efficient in situ H2O2 generation methods. Here, we show that radiolytic water splitting can be used to promote specific biocatalytic oxyfunctionalization reactions. Parameters influencing the efficiency of the reaction and current limitations are shown. Particularly, oxidative inactivation of the biocatalyst by hydroxyl radicals influences the robustness of the overall reaction. Radical scavengers can alleviate this issue, but eventually, physical separation of the enzymes from the ionizing radiation will be necessary to achieve robust reaction schemes. We demonstrate that nuclear waste can also be used to drive selective, peroxygenase-catalyzed oxyfunctionalization reactions, challenging our view on nuclear waste in terms of sustainability.","biocatalysis; oxyfunctionalization; peroxygenases; radiation; sustainability","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","BT/Biocatalysis","","",""
"uuid:9cc24b59-9a6a-45fc-b6db-547aeaf52691","http://resolver.tudelft.nl/uuid:9cc24b59-9a6a-45fc-b6db-547aeaf52691","Fault-tolerant individual pitch control of floating offshore wind turbines via subspace predictive repetitive control","Liu, Y. (TU Delft Team Jan-Willem van Wingerden); Frederik, J.A. (TU Delft Team Jan-Willem van Wingerden); Ferrari, Riccardo M.G. (TU Delft Team Jan-Willem van Wingerden); Wu, P. (Zhejiang Sci-Tech University); Li, Sunwei (Tsinghua University); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2021","Individual pitch control (IPC) is an effective and widely used strategy to mitigate blade loads in wind turbines. However, conventional IPC fails to cope with blade and actuator faults, and this situation may lead to an emergency shutdown and increased maintenance costs. In this paper, a fault-tolerant individual pitch control (FTIPC) scheme is developed to accommodate these faults in floating offshore wind turbines (FOWTs), based on a Subspace Predictive Repetitive Control (SPRC) approach. To fulfill this goal, an online subspace identification paradigm is implemented to derive a linear approximation of the FOWT system dynamics. Then, a repetitive control law is formulated to attain load mitigation under operating conditions, both in healthy and faulty conditions. Since the excitation noise used for the online subspace identification may interfere with the nominal power generation of the wind turbine, a novel excitation technique is developed to restrict excitation at specific frequencies. Results show that significant load reductions are achieved by FTIPC, while effectively accommodating blade and actuator faults and while restricting the energy of the persistently exciting control action.","FAST simulation; fault-tolerant individual pitch control; floating offshore wind turbine; Subspace Predictive Repetitive Control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:d4d48a96-d622-4f59-b505-9c9ea5a9c7a7","http://resolver.tudelft.nl/uuid:d4d48a96-d622-4f59-b505-9c9ea5a9c7a7","High-power and broadband microwave detection with a quasi-vertical GaN Schottky barrier diode by novel post-mesa nitridation","Sun, Y. (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors; Chinese Academy of Sciences); Kang, Xuanwu (Chinese Academy of Sciences); Deng, Shixiong (National University of Defense Technology; Hebei Semiconductor Research Institute); Zheng, Yingkui (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Xu, Linwang (Hebei Semiconductor Research Institute); Wu, Hao (Chinese Academy of Sciences); Liu, Xinyu (Chinese Academy of Sciences)","","2021","We report a high-performance GaN Schottky barrier diode (SBD) on a sapphire substrate with a novel post-mesa nitridation technique and its application in a high-power microwave detection circuit. The fabricated SBD achieved a very high forward current density of 9.19 kA cm-2 at 3 V, a low specific on-resistance (RON,sp) of 0.22 mO cm2 and breakdown voltage of 106 V. An extremely high output current of 400 mA was obtained when the detected power reached 38.4 dBm@3 GHz in pulsed-wave mode with a small anode diameter of 70 μm. Meanwhile, broadband detection at frequencies ranging from 1 to 6 GHz was achieved at 33 dBm in continuous-wave mode.","GaN; Microwave power detector; Quasi; Schottky barrier diode (SBD); Vertical","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:056ef5d6-21b1-4cce-b668-ad70e84e0e62","http://resolver.tudelft.nl/uuid:056ef5d6-21b1-4cce-b668-ad70e84e0e62","The role of rejuvenators in embedded damage healing for asphalt pavement","Xu, S. (TU Delft Materials and Environment); Liu, X. (TU Delft Pavement Engineering); Tabakovic, A. (TU Delft Materials and Environment; Dublin Institute of Technology; University College Dublin); Lin, P. (TU Delft Pavement Engineering; Tongji University); Zhang, Y. (TU Delft Materials and Environment); Nahar, S. (Latexfalt B.V.; TNO); Lommerts, B. J. (Latexfalt B.V.; Xiyuefa Group); Schlangen, E. (TU Delft Materials and Environment)","","2021","Rejuvenator encapsulation technique showed great potential for extrinsic asphalt pavement damage healing. Once the capsules are embedded within asphalt pavement, the healing is activated on-demand via progressing microcrack. When the microcrack encounters the capsule, the fracture energy at the tip opens the capsule and releases the rejuvenator. Then the released rejuvenator wets the crack surfaces, diffuses into and softens the aged bitumen, allowing two broken edges to come in the contact, preventing further asphalt pavement deterioration. The quality and speed of the damage repair process strongly depend on the quality of rejuvenator, thus it is important to choose a proper rejuvenator with good abilities to restore the lost properties of bitumen from ageing and show a sustainable performance after healing. To this aim, three different rejuvenators were studied and ranked based on the performance of their rejuvenated bitumen, including physical properties, rheological properties, chemical properties and the performance after re-ageing. Furthermore, these rejuvenators were encapsulated in calcium alginate capsules and the tests on these capsules indicate the diameter, mechanical resistance and thermal stability of the capsules are influenced by the encapsulated rejuvenator. The findings will benefit the development of rejuvenator encapsulation technique and the optimization of the capsule healing system towards a better healing effect in asphalt pavement.","Calcium alginate capsules; Re-ageing; Rejuvenator; Self-healing asphalt","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:c3c61846-0acb-41ca-9471-6c88ddc7c797","http://resolver.tudelft.nl/uuid:c3c61846-0acb-41ca-9471-6c88ddc7c797","Reactions of self-healing agents and the chemical binding of aggressive ions in sea water: Thermodynamics and kinetics","Wu, Xintong (South China University of Technology); Huang, Haoliang (South China University of Technology; Guangdong Low Carbon Technologies Engineering Centre for Building Materials); Liu, Hao (South China University of Technology); Hu, Jie (South China University of Technology; Guangdong Low Carbon Technologies Engineering Centre for Building Materials); Wei, Jiangxiong (South China University of Technology; Guangdong Low Carbon Technologies Engineering Centre for Building Materials); Jiang, Zhengwu (Tongji University); Ye, G. (TU Delft Materials and Environment); Yu, Qijun (South China University of Technology; Guangdong Low Carbon Technologies Engineering Centre for Building Materials); Lothenbach, Barbara (Swiss Federal Laboratories for Materials Science and Technology (Empa))","","2021","New self-healing agents that can chemically bind seawater ions invading cracked cementitious materials were proposed. The potential of self-healing and binding of seawater ions were investigated by thermodynamic modeling. It was found that CaO-NaAlO2 and CaO-metakaolin agents can have Cl−, SO42− and Mg2+ chemically bound by reacting with sea water to form Friedel's salt, Kuzel's salt, ettringite and hydrotalcite. The removal of Cl− from seawater firstly increased and then decreased with the increase of Ca/Al molar ratio in both agents, while the removal of Mg2+ and SO42− were hardly influenced and approximated 100%. Because NaAlO2 dissolves and releases Al(OH)4− rapidly, precipitates binding Cl−, SO42− and Mg2+ were formed fast. In comparison, the reaction of metakaolin binding aggressive ions occurred after 3 days. Because of the faster reaction and the capacity to make [Cl−]/[OH−] lower in the solution, CaO-NaAlO2 would be more efficient for self-healing and mitigating reinforcement corrossion than CaO-metakaolin.","Cementitious materials; Ion binding; Marine environment; Self-healing; Thermodynamic modeling","en","journal article","","","","","","Accepted Author Manuscript","","2023-05-03","","","Materials and Environment","","",""
"uuid:ed0657ef-2164-45a2-99d0-7843fd9f4417","http://resolver.tudelft.nl/uuid:ed0657ef-2164-45a2-99d0-7843fd9f4417","Spots, stripes, and spiral waves in models for static and motile cells: GTPase patterns in cells","Liu, Yue (University of British Columbia; University of Oxford); Rens, E.G. (TU Delft Mathematical Physics; University of British Columbia); Edelstein-Keshet, Leah (University of British Columbia)","","2021","The polarization and motility of eukaryotic cells depends on assembly and contraction of the actin cytoskeleton and its regulation by proteins called GTPases. The activity of GTPases causes assembly of filamentous actin (by GTPases Cdc42, Rac), resulting in protrusion of the cell edge. Mathematical models for GTPase dynamics address the spontaneous formation of patterns and nonuniform spatial distributions of such proteins in the cell. Here we revisit the wave-pinning model for GTPase-induced cell polarization, together with a number of extensions proposed in the literature. These include introduction of sources and sinks of active and inactive GTPase (by the group of A. Champneys), and negative feedback from F-actin to GTPase activity. We discuss these extensions singly and in combination, in 1D, and 2D static domains. We then show how the patterns that form (spots, waves, and spirals) interact with cell boundaries to create a variety of interesting and dynamic cell shapes and motion.","GTPase; Intracellular signaling; Local perturbation analysis; Pattern formation; Static and moving boundary computation; wave-pinning","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:eb0cc415-feb8-4712-a9d7-1dc0669ba7be","http://resolver.tudelft.nl/uuid:eb0cc415-feb8-4712-a9d7-1dc0669ba7be","Numerical analysis of multi-factors effects on the leakage and gas diffusion of gas drainage pipeline in underground coal mines","Cai, Jitao (China University of Mining and Technology (Beijing)); Wu, Jiansong (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Liu, Zhe (China University of Mining and Technology (Beijing)); Kong, Desheng (China University of Mining and Technology (Beijing))","","2021","Gas drainage system is a critical technique to prevent gas outbursts in the underground coal mine. The leakage of gas drainage pipelines can pose serious threats to the safety production of underground mining. In this paper, a multi-factors gas drainage pipeline leakage and diffusion (GDPLD) model is proposed based on the OpenFOAM platform, which can analyze the leakage and diffusion characteristics inside the pipelines. With field measurement data in a coal mine, the GDPLD model is verified with good practicability. Furthermore, scenario analysis in the context of different leak sizes, locations, and pipeline diameters is presented to evaluate the specific characteristics of gas leakage and diffusion inside the pipeline with negative pressure. The results showed that the leakage accident close to the pump station with a large leak size and small pipeline diameter usually represents the worst case, and when gas sensors are installed downstream of the leakage location, it is helpful to realize effective detection of the leakage accident. This study can help to improve the understanding of the leakage and diffusion characteristics of gas drainage pipelines and provide technical supports for the monitoring system design of the gas drainage pipelines in underground coal mines.","Computational fluid dynamics; Gas drainage pipelines; Gas leakage and diffusion; OpenFOAM; Underground coal mine","en","journal article","","","","","","","","2023-05-19","","","Safety and Security Science","","",""
"uuid:15743967-de12-4544-a8c1-ad25b9001ca1","http://resolver.tudelft.nl/uuid:15743967-de12-4544-a8c1-ad25b9001ca1","Perovskite solar cells with embedded homojunction via nonuniform metal ion doping","Lin, Yuze (University of North Carolina); Li, Tao (University of Nebraska–Lincoln); Liu, Ye (University of North Carolina); Bahrami, Behzad (South Dakota State University, Brookings); Guo, Dengyang (TU Delft ChemE/Opto-electronic Materials); Fang, Yanjun (University of Nebraska); Shao, Yuchuan (University of North Carolina); Wang, Qi (University of North Carolina); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2021","A long photoluminescence decay lifetime has been regarded as a generic indication of long charge carrier recombination lifetime in semiconductors such as metal halide perovskites (MHPs), which have shown tremendous success in solar cells. Here, we report that MHP polycrystalline films with extrinsic metal ions have a very long charge recombination lifetime, but a much shorter photoluminescence decay lifetime, and this huge difference can be explained by a model of lateral homojunction within each individual grain. The lateral homojunction is formed due to the doping along grain boundaries by metal ions, and then verified by nanoscale potential mapping and transient photo-response mapping. The built-in electric field within each grain reduces the recombination of free charge carriers within the perovskite grain and along grain boundaries, while the free electrons and holes are collected to cathode and anode through the grain boundaries and grain interiors, respectively. Then, the efficiencies of MHP polycrystalline solar cells are increased.","charge carrier recombination; grain boundary doping; lateral homojunction; metal ion; nonuniform doping; perovskite solar cell","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:e64b3d1a-cd3d-4605-ad3c-01054cd4db57","http://resolver.tudelft.nl/uuid:e64b3d1a-cd3d-4605-ad3c-01054cd4db57","Nonreciprocal coherent coupling of nanomagnets by exchange spin waves","Wang, Hanchen (Beihang University); Chen, Jilei (Beihang University); Yu, T. (Max Planck Institute for the Structure and Dynamics of Matter, Hamburg); Liu, Chuanpu (Beihang University); Guo, Chenyang (University of Chinese Academy of Sciences); Liu, Song (Southern University of Science and Technology); Shen, Ka (Beijing Normal University); Jia, Hao (Southern University of Science and Technology); Bauer, G.E. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft; Tohoku University; Rijksuniversiteit Groningen)","","2020","Nanomagnets are widely used to store information in non-volatile spintronic devices. Spin waves can transfer information with low-power consumption as their propagations are independent of charge transport. However, to dynamically couple two distant nanomagnets via spin waves remains a major challenge for magnonics. Here we experimentally demonstrate coherent coupling of two distant Co nanowires by fast propagating spin waves in an yttrium iron garnet thin film with sub-50 nm wavelengths. Magnons in two nanomagnets are unidirectionally phase-locked with phase shifts controlled by magnon spin torque and spin-wave propagation. The coupled system is finally formulated by an analytical theory in terms of an effective non-Hermitian Hamiltonian. Our results are attractive for analog neuromorphic computing that requires unidirectional information transmission. [Figure not available: see fulltext.]","coherent coupling; nanomagnets; nonreciprocity; spin waves; spintronics","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-28","","","QN/Bauer Group","","",""
"uuid:b7049a08-b047-4dbf-8931-d28d1f977d86","http://resolver.tudelft.nl/uuid:b7049a08-b047-4dbf-8931-d28d1f977d86","Cluster-based flow control in hybrid software-defined wireless sensor networks","Liu, Qingzhi (Wageningen University & Research); Cheng, Long (North China Electric Power University); Alves, R.C.A.; Alves, Renan (Universidade de São Paulo); Ozcelebi, Tanir (Eindhoven University of Technology); Kuipers, F.A. (TU Delft Embedded Systems); Xu, Guixian (Tampere University); Lukkien, Johan (Eindhoven University of Technology); Chen, Shanzhi (China Academy of Telecommunication Technology)","","2021","Software-defined networking (SDN) is a cornerstone of next-generation networks and has already led to numerous advantages for data-center networks and wide-area networks. However, SDN is not widely adopted in constrained networks, such as Wireless Sensor Networks (WSN), due to excessive control overhead, lossy medium, and in-band control channels. Therefore, a key challenge to enable Software-Defined Wireless Sensor Networks (SD-WSN) is to reduce the number of control messages required to configure the data plane. In this paper, we propose a cluster-based flow control approach in hybrid SDNs. Our approach is hybrid in the sense that it takes advantage of distributed legacy routing and centralized SDN routing. In addition, it makes a trade-off between the granularity of flow control and the communication overhead induced by the SDN controller. The approach partitions a network into clusters with minimum number of border nodes. Instead of handling the individual flows of each node, the SDN controller only manages incoming and outgoing traffic flows of clusters through border nodes, while the flows inside each cluster are controlled by a distributed legacy WSN routing algorithm. Our proof-of-concept implementations in both software and hardware show that our approach is efficient with respect to reducing the number of nodes that must be managed and the number of control messages. In comparison to benchmark solutions with and without clustering, our solution reduces communication costs for flow configuration in an SD-WSN at least by 27% and at most by 88% respectively, without degrading packet delay nor delivery rate.","Flow control; Hybrid SDN; Multi-hop communication; Network cluster; Software-defined wireless sensor networks","en","journal article","","","","","","","","","","","Embedded Systems","","",""
"uuid:ba9d5bde-fc92-4701-902b-0cb7c30b4a2f","http://resolver.tudelft.nl/uuid:ba9d5bde-fc92-4701-902b-0cb7c30b4a2f","Modeling of dynamic mode I crack growth in glass fiber-reinforced polymer composites: fracture energy and failure mechanism","Liu, Y. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design); Ke, L. (TU Delft Applied Mechanics)","","2021","The mode-I dynamic fracture energy and failure mechanisms of glass fiber-reinforced polymer composites are investigated with an embedded cell model of the single-edge-notched-tension (SENT) geometry. Under an applied dynamic loading, a crack may propagate in the embedded microstructure, accompanied by the development of a fracture process zone in which fiber/matrix debonding, matrix cracking and ductile matrix tearing are observed. Reaching a maximum nominal strain rate of 250/s, a series of SENT tests are performed for different loading velocities and specimen sizes while the dynamic energy release rate is evaluated using the dynamic version of the J-integral. The influence and interaction of loading rate, time-dependent material nonlinearity, structural inertia and matrix ligament bridging on the fracture toughness and failure mechanisms of composites are evaluated. It is found that with the given material parameters and studied loading rate range, the failure type is brittle with many microcracks but limited plasticity in the fracture process zone and a trend of increasing brittleness for larger strain rates is observed. The inertia effect is evident for larger strain rates but it is not dominating. An R-curve in the average sense is found to be strain-rate independent before the fracture process zone is fully developed and afterwards a velocity–toughness mechanism is dictating the crack growth.","Composites; Dynamic crack propagation; Embedded cell; Fracture energy","en","journal article","","","","","","","","","","Materials- Mechanics- Management & Design","Applied Mechanics","","",""
"uuid:d0aade8a-d81b-4376-a670-0a4e1e410ae3","http://resolver.tudelft.nl/uuid:d0aade8a-d81b-4376-a670-0a4e1e410ae3","Fracture simulation of partially threaded bolts under tensile loading","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); Liu, Yuqing (Tongji University)","","2021","Failure of bolts exposed to tension is generally avoided in the design of bolted connections due to the smaller deformation capacity of bolts than the connected plates. This is one of the reasons why few studies focus on the tensile failure behaviour of bolts. However, failure behaviour of bolts is essential for the advanced finite element analysis especially relevant to the deformation capacity and failure mode of bolted connections. This paper presents a numerical study on the fracture of partially threaded bolts under tension incorporating damage models, with which the failure mechanism of bolts can be better understood. The post-necking stress–strain relation is firstly calibrated to describe the behaviour of bolt threaded parts at large deformation. Then, direct tension tests on partially threaded bolts with different threaded lengths within the grip are modelled using ABAQUS with the explicit solver. Two criteria for fracture are investigated: the void growth model (VGM) and a model proposed by Bao and Wierzbicki (BW). The former is adopted to simulate the tensile fracture of bolts and the latter is used to predict the thread stripping failure. Results indicate that bolt failure modes of tensile fracture and thread stripping can be well predicted by combining the calibrated post-necking stress–strain relation and a suitable fracture criterion in the analysis. It is revealed that the large plastic strain in the threads introduced by thread rolling process is a major reason for the thread stripping failure.","Bolted connection; Partially threaded bolt; Post-necking stress–strain; Thread rolling; Thread stripping; Void grow model (VGM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-17","","","Steel & Composite Structures","","",""
"uuid:5a772bd5-bedb-4081-a68a-fc7f61b7c849","http://resolver.tudelft.nl/uuid:5a772bd5-bedb-4081-a68a-fc7f61b7c849","Degradation behaviors and in-vivo biocompatibility of a rare earth- and aluminum-free magnesium-based stent","Bian, Dong (Guangdong Academy of Medical Sciences); Zhou, Xiaochen (Peking University); Liu, Jianing (Peking University); Li, Wenting (Peking University); Shen, Danni (Peking University); Zheng, Yufeng (Peking University; Guangdong Academy of Medical Sciences); Gu, Wenda (Guangdong Academy of Medical Sciences); Jiang, Jingjun (Peking University People’s Hospital); Li, Mei (Guangdong Academy of Medical Sciences); Chu, Xiao (Guangdong Academy of Medical Sciences); Ma, Limin (Guangdong Academy of Medical Sciences); Wang, Xiaolan (Guangdong Academy of Medical Sciences); Zhang, Yu (Guangdong Academy of Medical Sciences); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics)","","2021","Biodegradable stents can provide scaffolding and anti-restenosis benefits in the short term and then gradually disappear over time to free the vessel, among which the Mg-based biodegradable metal stents have been prosperously developed. In the present study, a Mg-8.5Li (wt.%) alloy (RE- and Al-free) with high ductility (> 40%) was processed into mini-tubes, and further fabricated into finished stent through laser cutting and electropolishing. In-vitro degradation test was performed to evaluate the durability of this stent before and after balloon dilation. The influence of plastic deformation and residual stress (derived from the dilation process) on the degradation was checked with the assistance of finite element analysis. In addition, in-vivo degradation behaviors and biocompatibility of the stent were evaluated by performing implantation in iliac artery of minipigs. The balloon dilation process did not lead to deteriorated degradation, and this stent exhibited a decent degradation rate (0.15 mm/y) in vitro, but divergent result (> 0.6 mm/y) was found in vivo. The stent was almost completely degraded in 3 months, revealing an insufficient scaffolding time. Meanwhile, it did not induce possible thrombus, and it was tolerable by surrounding tissues in pigs. Besides, endothelial coverage in 1 month was achieved even under the severe degradation condition. In the end, the feasibility of this stent for treatment of benign vascular stenosis was generally discussed, and perspectives on future improvement of Mg-Li-based stents were proposed.","Biocompatibility; Biodegradable stent; Degradation behavior; Iliac artery; Mg-Li alloy","en","journal article","","","","","","Accepted Author Manuscript","","2023-03-20","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:96720569-4beb-4416-bf7e-0cf351db91c0","http://resolver.tudelft.nl/uuid:96720569-4beb-4416-bf7e-0cf351db91c0","Evaluation of short-term streamflow prediction methods in Urban river basins","Huang, Xinxing (Shanghai Institute of Technology; Southern University of Science and Technology); Li, Yifan (Southern University of Science and Technology); Tian, Zhan (Southern University of Science and Technology); Ye, Qinghua (Deltares); Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Fan, Dongli (Shanghai Institute of Technology); Mao, Ganquan (Southern University of Science and Technology); Chen, Aifang (Southern University of Science and Technology); Liu, Junguo (Southern University of Science and Technology)","","2021","Efficient and accurate streamflow predictions are important for urban water management. Data-driven models, especially neural network (NN) models can predict streamflow fast, while the results are uncertain in some complex river systems. Physically based models can reveal the underlying physics, but it is relatively slow and computationally costly. This work focuses on evaluating the reliability of three NN models (artificial neural networks (ANN), long short-term memory networks (LSTM), adaptive neuro-fuzzy inference system (ANFIS)) and one physically based model (SOBEK) in terms of efficiency and accuracy for average and peak streamflow simulation. All the models are applied for a tidal river and a mountainous river in Shenzhen. The results show that, the ANN model calculates fastest since the hidden layer's structure is simple. The LSTM model is reliable in average streamflow simulation in tidal river with the lowest bias while the ANFIS model has the best accuracy for peak streamflow simulation. Furthermore, the SOBEK model shows reliability in simulating average and peak streamflow in mountainous river due to its ability to capture uneven spatial rainfall in the area. Overall, the results indicate that the LSTM model can be a helpful supplementary to physically based models in streamflow simulation of complex urban river systems, by giving fast streamflow predictions with usually acceptable accuracy. Our results can provide helpful information for hydrological engineers in the application of flooding early warning and emergency preparedness in the context of flooding risk management.","Neural network models; SOBEK model; Streamflow simulation; Urban rivers","en","journal article","","","","","","Accepted Author Manuscript","","2023-05-05","","","Hydraulic Structures and Flood Risk","","",""
"uuid:997232b0-d64d-4af9-901c-a95f4d19b382","http://resolver.tudelft.nl/uuid:997232b0-d64d-4af9-901c-a95f4d19b382","Fracture simulation of a demountable steel-concrete bolted connector in push-out tests","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Liu, Yuqing (Tongji University); Xin, H. (TU Delft Concrete Structures; Xi’an Jiaotong University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2021","Many bolted connectors were proposed for use in steel-concrete composite structures over the past few decades. This is because using bolted connectors could make the assembly and disassembly of steel-concrete composite structures more convenient and reusing the dismantled structural components could also improve structural sustainability. Lots of static and fatigue experimental tests on steel-concrete bolted connectors were conducted but detailed finite element simulations including fracture of bolted connectors were rarely reported. This paper presents a series of simulations of a demountable steel-concrete bolted connector in push-out tests, which was proposed and reported by the authors of this paper before. Damage models of bolt and concrete materials are incorporated in the simulations to better understand the behaviour of the bolted connector and the failure mode of the push-out tests. Direct tension tests on bolts are simulated to calibrate the stress-strain relationship of bolt material and assumed pure shear tests on bolts are modelled to validate the fracture criterion used in the simulations. Results of modelling push-out tests indicate that the friction force at the steel-concrete interface accounts for a part of shear resistance of the bolted connector in push-out tests conducted. The load-slip curves and the fracture of bolts in push-out tests can be approximately predicted by incorporating damage models of bolt and concrete materials and considering an appropriate friction coefficient. The effects of concrete damage model, clearance in bolt hole, and pretension of short bolt on the shear performance of the bolted connector are discussed at last.","Bolt pretension; Bolt shear fracture; Bolted connector; Damage model; Demountable connector; Fracture criterion; Push-out test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-10","","","Steel & Composite Structures","","",""
"uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","http://resolver.tudelft.nl/uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","Moisture source variations for summer rainfall in different intensity classes over Huaihe River Valley, China","Liu, Yubo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Chi (Chinese Academy of Sciences); Tang, Qiuhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Hosseini-Moghari, Seyed Mohammad (Chinese Academy of Sciences); Haile, Gebremedhin Gebremeskel (Chinese Academy of Sciences); Li, Laifang (The Pennsylvania State University); Li, Wenhong (Duke University); Yang, Kun (Tsinghua University); van der Ent, R.J. (TU Delft Water Resources); Chen, Deliang (University of Gothenburg)","","2021","Rainfall is one of the most influential climatic factors on regional development and environment, and changes in rainfall intensity are of specific concern. In the Huaihe River Valley (HRV), heavy rainfall is a primary trigger of floods. However, the difference in the origin of moisture contributed to heavy rainfall and light rainfall is rarely studied and not entirely understood. This study analyzes the rainfall moisture sources in association with different categories of rainfall intensity over the HRV during 1980–2018 using the Water Accounting Model with ERA-Interim reanalysis and precipitation observations from China Meteorological Administration. The results show that the moisture for the HRV summer rainfall is mainly from terrestrial subregion (40%), the Indian Ocean (27%), the Pacific Ocean (25%), and the local HRV (8%). In addition, moisture sources differ substantially between light and heavy rainfall. Specifically, the local HRV contributes more moisture to light rainfall (12%) compared to heavy rainfall (4%), whereas the Indian Ocean contributes more to heavy rainfall (33%) than to light rainfall (20%). The grids located in the southern source region make higher contribution ratio in heavy rainfall than in light rainfall. These results suggest that moisture from distant oceanic areas, especially the Indian Ocean, plays a crucial role in intense summer rainfall, whereas moisture from the land sources covering local grids plays a dominant role in light rainfall in the HRV.","Heavy rainfall; Huaihe River; Indian Ocean; Moisture source; Water Accounting Model","en","journal article","","","","","","","","2021-10-10","","","Water Resources","","",""
"uuid:4d82e915-6953-4ba1-9266-3dada5a3b692","http://resolver.tudelft.nl/uuid:4d82e915-6953-4ba1-9266-3dada5a3b692","Fatigue delamination behavior in composite laminates at different stress ratios and temperatures","Yao, Liaojun (Harbin Institute of Technology); Chuai, Mingyue (Harbin Institute of Technology); Liu, J. (Harbin Institute of Technology); Guo, Licheng (Harbin Institute of Technology); Chen, Xiangming (Aircraft Strength Research Institute); Alderliesten, R.C. (TU Delft Structural Integrity & Composites; Structural Integrity and Composite Group); Beyens, M. (Student TU Delft)","","2023","This study provides an investigation on mode I fatigue delamination growth (FDG) with fibre bridging at different R-ratios and temperatures in carbon-fibre reinforced polymer composites. FDG experiments were first conducted at different temperatures of R-ratios 0.1 and 0.5 via unidirectional double cantilever beam (DCB) specimens. A fatigue model, employing both the strain energy release rate (SERR) range and the maximum SERR around crack front as similitude parameter, was proposed to interpret FDG behavior. The use of this model can collapse FDG data with fibre bridging at different R-ratios into one master curve, obeying well with the similitude principles. Accordingly, it was found that FDG can accelerate with elevated temperature, but decrease at sub-zero temperature. Furthermore, there are strong correlations between the fatigue model parameters and temperature using this model in FDG interpretations. Taking these correlations into account can extend the model to accurately predict FDG behavior of other temperatures. Fractographic examinations demonstrated that temperature has effects on the FDG damage mechanisms. Both fibre/matrix interfacial debonding and matrix brittle failure were observed in FDG of −40℃. Fibre/matrix interfacial debonding becomes the dominant failure in FDG of RT and 80℃. No obvious difference on the fracture morphology was identified for FDG at different R-ratios of a given temperature.","Composite laminates; Fatigue delamination; Fibre bridging; R-ratio; Temperature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Structural Integrity & Composites","","",""
"uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","http://resolver.tudelft.nl/uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","Laser-Induced Graphene Formation on Chitosan Derivatives toward Ecofriendly Electronics","Huang, Qian Ming (Harbin Institute of Technology; Southern University of Science and Technology); Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Luo, Anxin (Southern University of Science and Technology); Xu, Siyuan (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","Laser-induced graphene (LIG) has aroused a wide range of research interests ranging from micro-nano energy devices to the Internet of Things (IoT). Nevertheless, the non-degradability of most-used synthetic polymer carbon sources poses a serious threat to the environment. In this work, ecofriendly chitosan-based derivatives, including carboxymethyl chitosan (CMCS), chitosan oligosaccharide, and chitosan hydrochloride, are successfully converted into LIGs for the first time via a convenient one-step CO2 laser engraving at ambient air. The obtained LIGs are characterized by a three-dimensional hierarchical porous structure and exhibit good sheet conductivity. The consecutive carbonization and graphitization mechanism of target precursors induced by laser heat accumulation is also deeply discussed. Besides, based on a mechanically reliable LIG/CMCS composite film and tribo-negative acrylic/polyimide anti-layers, two contact-separation mode triboelectric nanogenerators are built and their power densities range from 1.44 to 2.48 mW cm-2. These devices with long cycle life can be used for low-frequency mechanical energy harvesting and commercial capacitance charging, which could be potentially applied in the wireless sensor network nodes. Such a family of chitosan derivatives paves a new route for LIG synthesis and provides new ideas for ecofriendly LIG electronics.","carbon precursor; chitosan derivatives; ecofriendly and biodegradability; laser-induced graphene; triboelectric nanogenerator","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:4aa9af42-27c4-4da7-83fe-2f0ab59f6e25","http://resolver.tudelft.nl/uuid:4aa9af42-27c4-4da7-83fe-2f0ab59f6e25","Patching sulfur vacancies: A versatile approach for achieving ultrasensitive gas sensors based on transition metal dichalcogenides","Liu, Xiangcheng (South China Normal University); Niu, Yue (South China Normal University; Great Bay University, Dongguan); Jin, Duo (South China Normal University); Zeng, Junwei (South China Normal University); Li, Wanjiang (South China Normal University); Li, Hao (South China Normal University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics); Wang, Yao (South China Normal University)","","2023","Transition metal dichalcogenides (TMDCs) garner significant attention for their potential to create high-performance gas sensors. Despite their favorable properties such as tunable bandgap, high carrier mobility, and large surface-to-volume ratio, the performance of TMDCs devices is compromised by sulfur vacancies, which reduce carrier mobility. To mitigate this issue, we propose a simple and universal approach for patching sulfur vacancies, wherein thiol groups are inserted to repair sulfur vacancies. The sulfur vacancy patching (SVP) approach is applied to fabricate a MoS2-based gas sensor using mechanical exfoliation and all-dry transfer methods, and the resulting 4-nitrothiophenol (4NTP) repaired molybdenum disulfide (4NTP-MoS2) is prepared via a sample solution process. Our results show that 4NTP-MoS2 exhibits higher response (increased by 200 %) to ppb-level NO2 with shorter response/recovery times (61/82 s) and better selectivity at 25 °C compared to pristine MoS2. Notably, the limit of detection (LOD) toward NO2 of 4NTP-MoS2 is 10 ppb. Kelvin probe force microscopy (KPFM) and density functional theory (DFT) reveal that the improved gas sensing performance is mainly attributed to the 4NTP-induced n-doping effect on MoS2 and the corresponding increment of surface absorption energy to NO2. Additionally, our 4NTP-induced SVP approach is universal for enhancing gas sensing properties of other TMDCs, such as MoSe2, WS2, and WSe2.","2D materials; Gas sensing; N-doping; Patching sulfur vacancies; Transition metal dichalcogenides","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","","Bio-Electronics","","",""
"uuid:a36964ff-6a22-4d1f-aa5a-d902bcb040f4","http://resolver.tudelft.nl/uuid:a36964ff-6a22-4d1f-aa5a-d902bcb040f4","Unlocking system transitions for municipal solid waste infrastructure: A model for mapping interdependencies in a local context","Liu, Z. (TU Delft Integral Design & Management); Schraven, D.F.J. (TU Delft Real Estate Management); de Jong, Martin (Erasmus Universiteit Rotterdam; Fudan University); Hertogh, M.J.C.M. (TU Delft Integral Design & Management; Erasmus Universiteit Rotterdam)","","2023","Rapid global urbanization, urban renewal and changes in people's lifestyles have led to both an increase in waste generation and more complex waste types. In response to these changes, many local governments have invested in municipal solid waste infrastructure (MSWI) to implement circular strategies. However, matching and bridging the costly and logistically complex MSWI with the dynamic social context is a central challenge. In this paper we aim to explore the interdependencies between MSWI and the local social system, and then conceptualize and empirically validate the systemic nature of MSWI. We first review the current MSW treatment methods, corresponding infrastructure, and the challenges facing them. Then, we interrogate system-oriented concepts and use two key insights to set up a conceptual model for mapping the interdependencies in a MSWI system (MSWIS). Finally, a case study of the Dutch city of Almere is used to empirically validate the MSWIS model and identify the social systems that contribute to the development of the MSWIS. The analysis reveals that the development of MSWIS is beyond the municipality's control: efficient resource recovery facilities established by businesses under market rules and waste reuse facilities constructed by social organizations/individuals based on their own needs are key pieces of the puzzle to complete the MSWIS. This highlights the ability of the framework to capture interdependencies that go further than just the formal municipal sphere of influence.","Circular economy; Socio-technical systems; System of systems; Waste infrastructure; Waste management","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:1f1ea706-0b77-43a9-9479-993cafebf1b9","http://resolver.tudelft.nl/uuid:1f1ea706-0b77-43a9-9479-993cafebf1b9","A novel GA-LSTM-based prediction method of ship energy usage based on the characteristics analysis of operational data","Wang, Kai (Dalian Maritime University); Hua, Yu (Dalian Maritime University); Huang, Lianzhong (Dalian Maritime University); Guo, Xin (Dalian Maritime University); Liu, Xing (Dalian Maritime University); Ma, Zhongmin (Dalian Maritime University); Ma, Ranqi (Dalian Maritime University); Jiang, X. (TU Delft Transport Engineering and Logistics)","","2023","Optimization of ship energy efficiency is an efficient measure to decrease fuel usage and emissions in the shipping industry. The accurate prediction model of ship energy usage is the basis to achieve optimization of ship energy efficiency. This study investigates the sequential properties of the actual voyage data from a VLOC. On this basis, a model for predicting ship energy consumption is established by adopting a LSTM neural network that has better prediction performance for sequential datasets. To further enhance the performance of the established LSTM-based model, the network structures and hyperparameters are optimized by using Genetic Algorithm. Lastly, the application analysis is conducted to validate the established GA-LSTM-based model for ship fuel usage prediction. The established model for ship energy usage shows a significant improvement in prediction accuracy, compared to the original LSTM-based model. Meanwhile, the developed prediction model is more accurate than the existing BP, SVR, and ARIMA-based energy consumption models. The prediction errors for the ship's operational energy efficiency adopting the established GA-LSTM-based model can reach as low as 0.29%. Therefore, the established model can effectively predict the ship fuel usage under different conditions, which is essential for the optimization and improvement of ship energy efficiency.","Energy consumption prediction; Genetic algorithm; LSTM neural network; Ship energy efficiency; Shipping decarbonization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Transport Engineering and Logistics","","",""
"uuid:78e9a0c9-5ff6-403a-874a-2912bef64569","http://resolver.tudelft.nl/uuid:78e9a0c9-5ff6-403a-874a-2912bef64569","Analysis of the cohesion/adhesion proportion around bitumen-mineral failure interface under tensile loading","Shi, Baocun (Hohai University); Liu, Quan (Hohai University); Gao, Y. (TU Delft Pavement Engineering); Wu, Jiantao (Hohai University); Chen, Jingya (Hohai University)","","2023","The occurrence of cohesive/adhesive hybrid failure at the bitumen-aggregate interface is widely acknowledged, however, the quantitative evaluation of the cohesion/adhesion proportion is relatively weak. This study explored cohesive/adhesive hybrid failure and provided a quantitative analysis of the proportion between cohesion and adhesion. For this reason, this study considered a variety of experimental factors that included temperature (5 °C, 15 °C, and 25 °C), mineral morphology (three mineral types and three surface textures), and measured film thickness (varying from 10 μm to 900 μm). By performing the bonding strength test, the strength was recorded and interface failure was accordingly captured. The results indicated that the cohesion/adhesion proportion varied significantly with the temperature, mineral morphology, and measured film thickness. In addition, it was found that bonding strength decreased with the increase in the film thickness and temperature, which can be well explained by variation in adhesion/cohesion proportion. Complete cohesive failure was observed when the film thickness increased beyond a critical value at a relatively high temperature. An additional noteworthy finding was the resemblance of a lunar crater for the failure interface at high temperatures, signifying the heterogeneous composition of the bituminous binder around the interface.","Adhesion/cohesion proportion; Bitumen-aggregate interface; Failure mode; Film thickness; Mineral surface morphology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Pavement Engineering","","",""
"uuid:0b88894f-a2e2-4ad1-86ea-c98dd87dd42a","http://resolver.tudelft.nl/uuid:0b88894f-a2e2-4ad1-86ea-c98dd87dd42a","Empirical analysis and modeling of the allometric scaling of urban freight systems","Lin, Xu Jie (Beijing Jiaotong University); Liu, Er Jian (Beijing Jiaotong University; Instituto de Física Interdisciplinar y Sistemas Complejos IFISC (CSIC-UIB)); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Xiang Yu (Beijing Jiaotong University); Yan, Xiao Yong (Beijing Jiaotong University)","","2023","Heavy trucks which undertake the majority of freight volume play an important role in urban freight systems. By analyzing heavy truck trip data, we find a superlinear scaling relationship for heavy truck trips and a sublinear scaling relationship for heavy truck numbers relative to urban population size. Although these allometric scaling relationships that widely appear in nature and social systems have been explained by many models, a simple model that can cover a wide range of scaling exponents in these systems is still lacking. Here, we develop a partially mixing city operation model by quantifying the mixability of the urban population to explain why the superlinear and sublinear scaling exponents are in the range of 1 and . This simple model not only helps us understand the mechanism of allometric scaling of urban freight systems, but also provides a new framework for other superlinear and sublinear scaling relationships in cities.","","en","journal article","","","","","","","","2024-01-03","","","Transport and Planning","","",""
"uuid:002940af-eea2-4c43-85b0-84a20dff8b10","http://resolver.tudelft.nl/uuid:002940af-eea2-4c43-85b0-84a20dff8b10","Building water quality deterioration during water supply restoration after interruption: Influences of premise plumbing configuration","Yao, Mingchen (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Yue (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Dai, Zihan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ren, Anran (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Fang, Jiaxing (Chinese Academy of Sciences; University of Twente); Li, Xiaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Meer, Walter (University of Twente; Oasen); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); Rose, Joan B. (Michigan State University); Liu, Gang (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2023","Premise plumbing plays an essential role in determining the final quality of drinking water consumed by customers. However, little is known about the influences of plumbing configuration on water quality changes. This study selected parallel premise plumbing in the same building with different configurations, i.e., laboratory and toilet plumbing. Water quality deteriorations induced by premise plumbing under regular and interrupted water supply were investigated. The results showed that most of the water quality parameters did not vary under regular supply, except Zn, which was significantly increased by laboratory plumbing (78.2 to 260.7 µg/l). For the bacterial community, the Chao1 index was significantly increased by both plumbing types to a similar level (52 to 104). Laboratory plumbing significantly changed the bacterial community, but toilet plumbing did not. Remarkably, water supply interruption/restoration led to serious water quality deterioration in both plumbing types but resulted in different changes. Physiochemically, discoloration was observed only in laboratory plumbing, along with sharp increases in Mn and Zn. Microbiologically, the increase in ATP was sharper in toilet plumbing than in laboratory plumbing. Some opportunistic pathogen-containing genera, e.g., Legionella spp. and Pseudomonas spp., were present in both plumbing types but only in disturbed samples. This study highlighted the esthetic, chemical, and microbiological risks associated with premise plumbing, for which system configuration plays an important role. Attention should be given to optimizing premise plumbing design for managing building water quality.","Discoloration; Premise plumbing; System configuration; Water quality deterioration; Water supply restoration","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:479160cf-358f-40a2-a925-e5ad08fd9851","http://resolver.tudelft.nl/uuid:479160cf-358f-40a2-a925-e5ad08fd9851","Microstructural evolutions and impact toughness in simulated welding heat affected zones for a high-strength carbide-free bainitic rail steel","Bai, Wei (Southwest Jiaotong University; State Key Laboratory of Vanadium and Titanium Resources Comprehensive Utilization); Xu, X. (TU Delft Novel Aerospace Materials; Southwest Jiaotong University); Liu, Yaolan (Southwest Jiaotong University); Liang, Yunxiao (Southwest Jiaotong University); Shen, Yijie (Southwest Jiaotong University); Han, Zhenyu (State Key Laboratory of Vanadium and Titanium Resources Comprehensive Utilization); Sheng, Zhendong (State Key Laboratory of Vanadium and Titanium Resources Comprehensive Utilization); Chen, Rong (State Key Laboratory of Vanadium and Titanium Resources Comprehensive Utilization); Zhu, Minhao (Southwest Jiaotong University)","","2023","Systematic experimental investigations were conducted to study the microstructures and impact toughness of each heat affected zone (HAZ) formed during rail flash-butt welding. A high-strength carbide-free bainitic rail steel was subjected to different thermal simulation cycles to separately reproduce each HAZ subzone by tailoring the peak temperature (PT) with respect to 700, 850, 920, 1000 and 1350 °C, and hence to generate the corresponding microstructures by using Gleeble-3500 simulator. Results show that the HAZ subzones exhibit complicated microstructures depending on the PTs, and with increasing PT the dominant bainitic microstructure type evolves from polygonal bainitic ferrite (700 °C) to a mixture of fine bainitic ferrite and granular bainite (850–1000 °C), and finally to coarse bainitic ferrite and granular bainite (1350 °C). Impact tests demonstrate that the impact toughness initially increases significantly as the PT reaches 920 °C (i.e., fine-grained HAZ), beyond which the impact toughness starts to decrease. The fine-grained HAZ displays optimal impact toughness in HAZs, yet which is lower than the base metal. Moreover, the morphology and distribution of martensite-austenite (M-A) constituents is strongly dependent on the welding PT, and the high fraction blocky and coarse slender M-A constituents is considered to be detrimental for the impact toughness.","Bainitic rail steel; Impact toughness; Microstructural evolutions; Peak temperature; Welding thermal simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Novel Aerospace Materials","","",""
"uuid:5b4aef60-7dc0-4dcd-89ed-7e4b122146fd","http://resolver.tudelft.nl/uuid:5b4aef60-7dc0-4dcd-89ed-7e4b122146fd","Integrated optimization of timetable, bus formation, and vehicle scheduling in autonomous modular public transport systems","Liu, Zhengke (Beihang University); Correia, Gonçalo (TU Delft Transport and Planning; TU Delft Transport and Planning); Ma, Zhenliang (KTH Royal Institute of Technology); Li, Shen (Tsinghua University); Ma, Xiaolei (Beihang University; Key Laboratory of Intelligent Transportation Technology and System; Ministry of Education Hangzhou)","","2023","This paper presents a joint optimization of the timetable, bus formation, and vehicle scheduling in a flexible public transport (PT) system that utilizes autonomous modular vehicles (AMVs). In this system, AMVs have the capability to detach or join with each other at intermediate stops along the route to dynamically adjust the bus formation (capacity). To increase vehicle utilization, a flexible scheduling strategy is proposed that allows AMVs to detach from one modular bus and join another modular bus in either direction of a bidirectional line. In particular, the penalty cost for each detachment or joining operation, as well as the limited number of available AMVs is explicitly considered. We formulate a unified model for the integrated optimization of the modular bus service (timetable and bus formation) and vehicle scheduling by introducing two types of decision variables. The objective is to minimize overall system costs, including passenger waiting time cost, operational costs, and detachment/joining penalty costs. The two types of decision variables are coupled by a vehicle resource consistency constraint, ensuring the conformity of the modular bus service and vehicle scheduling decisions. To tackle the complexity of our model, the Alternating Direction Method of Multipliers (ADMM) is employed to decompose it into two subproblems, which can be efficiently solved using a customized forward dynamic programming algorithm and a commercial solver. The model is validated using illustrative examples and a real-world instance from the Beijing Public Transport system, and it is compared with two benchmark models. Our results demonstrate the efficiency of the ADMM-based solution framework for solving the integrated optimization model. Furthermore, our findings indicate that the use of AMVs in PT systems can lead to reduced overall system costs and increased vehicle utilization.","Alternating Direction Method of Multipliers; Autonomous modular vehicle; Flexible vehicle scheduling; Integrated optimization; Public transport; Timetable","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","Transport and Planning","Transport and Planning","","",""
"uuid:9d7175b7-bcdc-4b57-9733-b85423681a82","http://resolver.tudelft.nl/uuid:9d7175b7-bcdc-4b57-9733-b85423681a82","Inkjet Printing-Manufactured Boron-Doped Diamond Chip Electrodes for Electrochemical Sensing Purposes","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Brocken, Bob (Student TU Delft); Ahmed, Essraa (University of Hasselt; IMEC); Pobedinskas, Paulius (University of Hasselt; IMEC); Haenen, Ken (University of Hasselt; IMEC); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","Fabrication of patterned boron-doped diamond (BDD) in an inexpensive and straightforward way is required for a variety of practical applications, including the development of BDD-based electrochemical sensors. This work describes a simplified and novel bottom-up fabrication approach for BDD-based three-electrode sensor chips utilizing direct inkjet printing of diamond nanoparticles on silicon-based substrates. The whole seeding process, accomplished by a commercial research inkjet printer with piezo-driven drop-on-demand printheads, was systematically examined. Optimized and continuous inkjet-printed features were obtained with glycerol-based diamond ink (0.4% vol/wt), silicon substrates pretreated by exposure to oxygen plasma and subsequently to air, and applying a dot density of 750 drops (volume 9 pL) per inch. Next, the dried micropatterned substrate was subjected to a chemical vapor deposition step to grow uniform thin-film BDD, which satisfied the function of both working and counter electrodes. Silver was inkjet-printed to complete the sensor chip with a reference electrode. Scanning electron micrographs showed a closed BDD layer with a typical polycrystalline structure and sharp and well-defined edges. Very good homogeneity in diamond layer composition and a high boron content (∼2 × 1021 atoms cm-3) was confirmed by Raman spectroscopy. Important electrochemical characteristics, including the width of the potential window (2.5 V) and double-layer capacitance (27 μF cm-2), were evaluated by cyclic voltammetry. Fast electron transfer kinetics was recognized for the [Ru(NH3)6]3+/2+ redox marker due to the high doping level, while somewhat hindered kinetics was observed for the surface-sensitive [Fe(CN)6]3-/4- probe. Furthermore, the ability to electrochemically detect organic compounds of different structural motifs, such as glucose, ascorbic acid, uric acid, tyrosine, and dopamine, was successfully verified and compared with commercially available screen-printed BDD electrodes. The newly developed chip-based manufacture method enables the rapid prototyping of different small-scale electrode designs and BDD microstructures, which can lead to enhanced sensor performance with capability of repeated use.","boron-doped diamond; cyclic voltammetry; electrochemical sensor chip; inkjet printing; miniaturized electrodes; selective-area seeding","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:a5beb13b-56f8-426c-acd4-e6a29e50d20e","http://resolver.tudelft.nl/uuid:a5beb13b-56f8-426c-acd4-e6a29e50d20e","Wastewater-based epidemiology predicts COVID-19-induced weekly new hospital admissions in over 150 USA counties","Li, Xuan (University of Technology Sydney); Liu, Huan (University of Technology Sydney); Gao, Li (South East Water); Sherchan, Samendra P. (Morgan State University; Tulane University); Zhou, Ting (University of Technology Sydney); Khan, Stuart J. (University of New South Wales); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Wang, Qilin (University of Technology Sydney)","","2023","Although the coronavirus disease (COVID-19) emergency status is easing, the COVID-19 pandemic continues to affect healthcare systems globally. It is crucial to have a reliable and population-wide prediction tool for estimating COVID-19-induced hospital admissions. We evaluated the feasibility of using wastewater-based epidemiology (WBE) to predict COVID-19-induced weekly new hospitalizations in 159 counties across 45 states in the United States of America (USA), covering a population of nearly 100 million. Using county-level weekly wastewater surveillance data (over 20 months), WBE-based models were established through the random forest algorithm. WBE-based models accurately predicted the county-level weekly new admissions, allowing a preparation window of 1-4 weeks. In real applications, periodically updated WBE-based models showed good accuracy and transferability, with mean absolute error within 4-6 patients/100k population for upcoming weekly new hospitalization numbers. Our study demonstrated the potential of using WBE as an effective method to provide early warnings for healthcare systems.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:698559a3-faaf-467d-a8e1-9d010b5c6cba","http://resolver.tudelft.nl/uuid:698559a3-faaf-467d-a8e1-9d010b5c6cba","High temperature viscoplastic deformation behavior of sintered nanocopper paste used in power electronics packaging: Insights from constitutive and multi-scale modelling","Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, X. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Sun, Zhongchao (Aalborg University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","As a promising technology for high-power and high-temperature power electronics packaging, nanocopper (nanoCu) paste sintering has recently received increasing attention as a die-attachment. The high-temperature deformation of sintered nanoCu paste and its underlying mechanisms challenge the reliability of high-power electronics packaging. In this study, the tensile deformation behaviors of sintered nanoCu paste were firstly characterized by high-temperature tensile tests performed at various temperatures and strain rates ranging from 180 °C to 360 °C, 1 × 10−4 s−1 to 1 × 10−3 s−1 respectively. It was found that the elastic modulus and tensile strength decreased at the higher tensile temperature while the ductility increased accordingly. The highest elastic modulus and tensile strength results were 12.15 GPa and 46.97 MPa, respectively. Second, failure analysis was conducted based on the fracture surface after tensile testing. Recrystallization was revealed as the main factor for ductility improvement. Subsequently, an Anand model was fitted by stress-strain curves to describe the tensile constitutive behavior of the sintered nanoCu paste. Multi-scale modelling techniques also investigated the impact of tensile temperature and strain rate on the tensile response. Molecular dynamics simulation was implemented using a hemispherical Cu nanoparticle model to reveal the properties from an atomistic perspective. In addition, a two-dimensional equivalent model was further established by using a stochastically distributed void morphology. The multi-scale modelling techniques successfully describe the evolution of tensile response to the different tensile temperatures and strain rates. Besides, the equivalent model with random void morphology was demonstrated as the finite element simulation results were highly consistent with the high-temperature tensile experiments.","Constitutive modelling; Copper nanoparticles sintering; High-temperature tensile test; Molecular dynamics simulation stochastically equivalent finite element; Random void morphology","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a0f5d9ea-9c79-44e6-a4cd-10973964435d","http://resolver.tudelft.nl/uuid:a0f5d9ea-9c79-44e6-a4cd-10973964435d","A multisource transportation network model explaining allometric scaling","Jia, Xiang Yu (Beijing Jiaotong University); Liu, Er Jian (Beijing Jiaotong University; Instituto de Física Interdisciplinar y Sistemas Complejos IFISC (CSIC-UIB)); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Yan, Xiao Yong (Beijing Jiaotong University)","","2023","The universal scaling relationship between an attribute and the size of a system is widespread in nature and society and is known as allometric growth. Previous studies have explained that the allometric growth exponent of single-source systems is uniquely determined by the dimension. However, the phenomenon that the exponent shows diversity in some systems, such as rivers, freight transportation and gasoline stations, lacks a reasonable explanation. In this paper, we hold the view that allometric growth may originate from efficient delivery from sources to transfer sites in a system and propose a multisource transportation network model that can explain diversified allometric growth exponents. We apply this model to some multisource systems, and the results show that our model successfully reproduces the diversity of the allometric growth exponent.","inference in socio-economic system; scaling in socio-economic systems; socio-economic networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-16","","","Transport and Planning","","",""
"uuid:73006d56-c470-4390-8c12-f2725d5ecbf9","http://resolver.tudelft.nl/uuid:73006d56-c470-4390-8c12-f2725d5ecbf9","MERLIon CCS Challenge: A English-Mandarin code-switching child-directed speech corpus for language identification and diarization","Chua, Victoria Y.H. (Nanyang Technological University); Liu, Hexin (School of Electrical and Electronic Engineering); Perera, Leibny Paola Garcia (Johns Hopkins University); Woon, Fei Ting (Nanyang Technological University); Wong, Jinyi (Nanyang Technological University); Zhang, X. (TU Delft Biomaterials & Tissue Biomechanics; Johns Hopkins University); Khudanpur, Sanjeev (Johns Hopkins University); Khong, Andy W.H. (School of Electrical and Electronic Engineering); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Styles, Suzy J. (Nanyang Technological University)","","2023","To enhance the reliability and robustness of language identification (LID) and language diarization (LD) systems for heterogeneous populations and scenarios, there is a need for speech processing models to be trained on datasets that feature diverse language registers and speech patterns. We present the MERLIon CCS challenge, featuring a first-of-its-kind Zoom video call dataset of parent-child shared book reading, of over 30 hours with over 300 recordings, annotated by multilingual transcribers using a high-fidelity linguistic transcription protocol. The audio corpus features spontaneous and in-the-wild English-Mandarin code-switching, child-directed speech in non-standard accents with diverse language-mixing patterns recorded in a variety of home environments. This report describes the corpus, as well as LID and LD results for our baseline and several systems submitted to the MERLIon CCS challenge using the corpus.","child-directed speech; code-switching; language diarization; language identification","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:9aa12fd1-7691-496a-9e38-9d9872b24f0b","http://resolver.tudelft.nl/uuid:9aa12fd1-7691-496a-9e38-9d9872b24f0b","Investigating model performance in language identification: beyond simple error statistics","Styles, Suzy J. (Nanyang Technological University); Chua, Victoria Y.H. (Nanyang Technological University); Woon, Fei Ting (Nanyang Technological University); Liu, Hexin (School of Electrical and Electronic Engineering); Perera, Leibny Paola Garcia (Johns Hopkins University); Khudanpur, Sanjeev (Johns Hopkins University); Khong, Andy W.H. (School of Electrical and Electronic Engineering); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","Language development experts need tools that can automatically identify languages from fluent, conversational speech and provide reliable estimates of usage rates at the level of an individual recording. However, LID systems are typically evaluated on metrics such as equal error rate and balanced accuracy, applied at the level of an entire speech corpus. These overview metrics do not provide information about model performance at the level of individual speakers, recordings, or units of speech with different linguistic characteristics. Overview statistics may mask systematic errors in model performance for some subsets of the data, and consequently, have worse performance on data derived from some subsets of human speakers, creating a kind of algorithmic bias. Here, we investigate how well a number of LID systems perform on individual recordings and speech units with different linguistic properties in the MERLIon CCS Challenge featuring accented code-switched child-directed speech.","child-directed speech; code-switching; language diarization; language identification","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:f702f202-d8ca-4e33-a9f5-42bbed42d724","http://resolver.tudelft.nl/uuid:f702f202-d8ca-4e33-a9f5-42bbed42d724","Evolution of residents' cooperative behavior in neighborhood renewal: An agent-based computational approach","Huang, R. (Chongqing University); Liu, Guiwen (Chongqing University); Li, Kaijian (Chongqing University); Liu, Zhengxuan (TU Delft Design & Construction Management); Fu, Xinyue (Chongqing University); Wen, J. (TU Delft Real Estate Management)","","2023","The cooperative behavior of residents is complex and influenced by their complicated social relationships. This complexity is especially noticeable in neighborhood renewal, so the government does not know how to promote residents' cooperative behavior. Therefore, this study proposes an agent-based model (ABM) to investigate the development of residents' cooperative behavior in neighborhood renewal. Based on a questionnaire survey among residents of old neighborhoods in China, the parameters of ABM were determined in this study. Then, controlled experiments were conducted to investigate the effects of general trust among residents and government control of neighborhood renewal on cooperation patterns in renewal projects. In addition, this study examines the effects of different types of social network structures (small-world, scale-free, and random networks) on the evolution of residents' cooperative behaviors. The simulation results show that when residents' initial willingness to agree to renewal projects is high, their close social relationships need to be managed by the government to achieve better outcomes. Conversely, if initial willingness is low, residents' close relationships may pose a challenge to the government. In addition, government-led renewal projects should be encouraged to a greater extent. This study confirms that the different social network structures have an influence on the development of residents' cooperative behavior. The results of this study provide concrete evidence for understanding the factors that contribute to the emergence of residents' cooperative behavior and for studying the effects of government intervention on neighborhood renewal projects. In addition, the results of this study provide theoretical support for future studies of residents' social network structures.","Agent-based model; Cooperative behavior; Neighborhood renewal; Social network","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-31","","","Design & Construction Management","","",""
"uuid:3183763a-3b4c-4786-a6d7-163649fff402","http://resolver.tudelft.nl/uuid:3183763a-3b4c-4786-a6d7-163649fff402","Controllable Fabrication and Rectification of Bipolar Nanofluid Diodes in Funnel-Shaped Si3N4 Nanopores","Lei, Xin (Beihang University; Tsinghua University); Zhang, Jiayan (Beihang University); Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Liu, Zewen (Tsinghua University); Jiang, Lei (Chinese Academy of Sciences; University of Chinese Academy of Science)","","2023","Solid-state nanopores attract widespread interest, owning to outstanding robustness, extensive material availability, as well as capability for flexible manufacturing. Bioinspired solid-state nanopores further emerge as potential nanofluidic diodes for mimicking the rectification progress of unidirectional ionic transport in biological K+ channels. However, challenges that remain in rectification are over-reliance on complicated surface modifications and limited control accuracy in size and morphology. In this study, suspended Si3N4 films of only 100 nm thickness are used as substrate and funnel-shaped nanopores are controllably etched on that with single-nanometer precision, by focused ion beam (FIB) equipped with a flexibly programmable ion dose at any position. A small diameter 7 nm nanopore can be accurately and efficiently fabricated in only 20 ms and verified by a self-designed mathematical model. Without additional modification, funnel-shaped Si3N4 nanopores functioned as bipolar nanofluidic diodes achieve high rectification by simply filling each side with acidic and basic solution, respectively. Main factors are finely tuned experimentally and simulatively to enhance the controllability. Moreover, nanopore arrays are efficiently prepared to further improve rectification performance, which has great potential for high-throughput practical applications such as extended release of drugs, nanofluidic logic systems, and sensing for environmental monitoring and clinical diagnosis.","bipolar nanofluid diodes; current rectification; focus ion beam; SiN nanopores; solid-state nanopores","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-07","","","Electronic Components, Technology and Materials","","",""
"uuid:765473ee-4a0f-4fde-a5a6-dd62caf160fe","http://resolver.tudelft.nl/uuid:765473ee-4a0f-4fde-a5a6-dd62caf160fe","Late-stage diversion risk assessment for high dams considering early initial impoundment: a case study of Lianghekou Station, China","Liu, Lian (China Three Gorges University); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk; University of Michigan); Hu, Chao (China Renewable Energy Engineering Institute)","","2023","Early initial impoundment can generate additional revenue but bring more flood risk in late-stage construction diversion. In view of the possible flood risk and catastrophic consequences caused by high dam failures induced by early impoundment, a comprehensive assessment is proposed. Taking the Lianghekou high rockfill dam on the Yalong River, southwest China, as an example, this study established the late-stage diversion risk model and predicted the failure probabilities for the original, 15 days ahead, and 30 days ahead schemes varied with the initial impoundment time using the Monte Carlo method. Then, considering overtopping-induced gradual breaking of rockfill dams, the NWS dam-break flood forecasting model (DAMBRK) was used to estimate the break development and the outflow hydrograph. Due to no significant differences being found in the outflow hydrographs of the three schemes, life loss was used an index for the consequences of inundation. Combining the failure probability, life loss, and early impoundment revenues brought by earlier power generation, a satisfied initial impoundment scheme was acquired using the multi-objective decision model. The results revealed this method can find a reasonable initial impoundment time in view of the late-stage diversion risk assessment.","diversion risk assessment; initial impoundment; late stage diversion; Lianghekou Station; MC method","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","http://resolver.tudelft.nl/uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","Optimizing public transport transfers by integrating timetable coordination and vehicle scheduling","Liu, Tao (Southwest Jiaotong University); Ji, Wen (Southwest Jiaotong University); Gkiotsalitis, Konstantinos (National Technical University of Athens); Cats, O. (TU Delft Transport and Planning)","","2023","Transfer optimization in public transport (PT) networks can be achieved through coordinated timetabling and vehicle scheduling. Traditionally, the coordinated timetabling problem is solved first before proceeding to the vehicle scheduling problem. The integration of these two problems can help further reduce the total operation cost and improve the level of service, especially when timetables of different PT lines are well-coordinated at transfer stations. This work addresses the integrated PT timetable coordination and vehicle scheduling problem while ensuring that each PT line is dispatched with an even headway. We first separately formulate two integer linear programming models for the timetable coordination and vehicle scheduling problems. Next, the two models are integrated into a bi-objective integer linear programming model for the integrated timetable coordination and vehicle scheduling problem. For small size PT networks, the model can be solved by using an ɛ-constraint method, together with off-the-shelf optimization solvers. For large-size problems, two constraint-reduction procedures are developed to reduce the number of redundant constraints so as to reduce the computation complexity and improve the solution process. Finally, the models and solution method are applied to a numerical example and a real-world bus rapid transit (BRT) network in Chengdu, China. Computation results show that the solution generated by the sequential optimization approach is usually dominated by the Pareto-optimal solutions generated by the integrated optimization approach. Our findings suggest that it is not a wise decision to use the solution generated by the sequential optimization approach or the solution with the minimum fleet size generated by the integrated optimization approach. For practical implementation, it is recommended to choose the solution that has a fleet size of one more vehicle than the minimum fleet size.","Integer programming; Public transport; Timetable coordination; Transfer optimization; Vehicle scheduling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:046880f9-39e1-44e3-8138-ffe45babfb8c","http://resolver.tudelft.nl/uuid:046880f9-39e1-44e3-8138-ffe45babfb8c","Solvent modulation, microstructure evaluation, process optimization, and nanoindentation analysis of micro-Cu@Ag core–shell sintering paste for power electronics packaging","Chen, Haixue (Fudan University); Wang, Xinyue (Fudan University); Zeng, Zejun (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology); Liu, Pan (Fudan University; Research Institute of Fudan University, Ningbo)","","2023","With the development of electronic technology towards high power, miniaturization, and system integration, power electronic packaging is facing increasing challenges, especially for die attachment. This research aims to explore silver-coated copper (Cu@Ag) paste with sufficient mechanical properties and high-temperature reliability, as an alternative solution for silver sintering with lower cost. Firstly, micro-Cu@Ag sintering pastes were investigated under four kinds of polyol-based solvent systems and two types of particle morphologies, which included sphere-type (SCu@Ag) and flake-type (FCu@Ag). Sintering performance and microstructural evolution were compared and analyzed. Notably, sintered joints employing the terpineol–polyethylene glycol solvent system and flake-type morphology displayed a denser microstructure in comparison to SCu@Ag joints. Its bonding strength reached 36.15 MPa, which was approximately 20% higher than SCu@Ag joints. Subsequently, the influence of key sintering process parameters on Cu@Ag joints was analyzed, including sintering temperature, pressure and time. Additionally, high-temperature aging and thermal cycling tests were conducted on the optimized Cu@Ag joints to assess their reliability. Finally, the micromechanical properties of Cu@Ag joints before and after high-temperature aging were further evaluated by nanoindentation including creep properties. The elastoplastic constitutive models of Cu@Ag sintered materials with different particle morphologies were constructed, providing valuable insights for reliability evaluation. The results indicated that FCu@Ag joints exhibited satisfactory creep resistance and high-temperature reliability. In conclusion, the FCu@Ag micro-paste based on the terpineol–polyethylene glycol solvent system proposed in this study demonstrated sufficient bonding strength, high reliability, and adequate mechanical properties as an attractive solution for high-temperature power electronics packaging.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Electronic Components, Technology and Materials","","",""
"uuid:288d1928-4fba-4db7-b292-c5feacdc08a9","http://resolver.tudelft.nl/uuid:288d1928-4fba-4db7-b292-c5feacdc08a9","Advanced controls on energy reliability, flexibility and occupant-centric control for smart and energy-efficient buildings","Liu, Zhengxuan (TU Delft Design & Construction Management); Zhang, Xiang (University of Pennsylvania); Sun, Ying (Qingdao University of Technology); Zhou, Yuekuan (The Hong Kong University of Science and Technology)","","2023","Advanced controls have attracted increasing interests due to the high requirement on smart and energy-efficient (SEE) buildings and decarbonization in the building industry with optimal tradeoff strategies between energy consumption and thermal comfort of built environment. However, a state-of-the-art review is lacking on advanced controls for SEE buildings, especially considering advanced building energy systems, machine learning based advanced controls, and advanced occupant-centric controls (OCC). This study presents a comprehensive review on the latest advancement of advanced controls for SEE buildings, which covers recent research on data collection through smart metering and sensors, big data and building automation, energy digitization, and building energy simulation. Machine learning based advanced controls are comprehensively reviewed, including supervised, unsupervised and reinforcement learning, together with their roles and underlying mechanisms. In addition, advanced controls for energy security, reliability, robustness, flexibility, and resilience are further reviewed for energy-efficient and low-carbon buildings, with respect to fault detection and diagnosis, fire alarming and building energy safety, and climate change adaptation. Moreover, this study explores the advanced OCC systems and their applications in SEE buildings. Last but not the least, this study emphasizes the challenges and future prospects of the trade-off between complexity and predictive/control performance, AI-based controllers and climate change adaptation, OCC in thermal comfort and energy saving for the SEE buildings. This study offers valuable insights into the latest research progress concerning the underlying mechanisms, algorithms and applications of advanced controls for SEE buildings, paving the path for sustainable and low-carbon transition in building sectors.","Energy-efficient building; Intelligent control; Machine learning; Occupant-centric control; Smart building","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Design & Construction Management","","",""
"uuid:099f0ca9-d50b-49a4-a728-dc494310a664","http://resolver.tudelft.nl/uuid:099f0ca9-d50b-49a4-a728-dc494310a664","Gate-tunable superconductivity in hybrid InSb-Pb nanowires","Chen, Yan (University of Copenhagen); van Driel, D. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Lampadaris, Charalampos (University of Copenhagen); Khan, Sabbir A. (University of Copenhagen; Danish Fundamental Metrology, Horsholm); Alattallah, Khalifah (University of Copenhagen); Zeng, Lunjie (Chalmers University of Technology); Olsson, Eva (Chalmers University of Technology); Dvir, T. (TU Delft Qubit Research Division; Kavli institute of nanoscience Delft; QuTech); Krogstrup, Peter (University of Copenhagen); Liu, Yu (University of Copenhagen)","","2023","We present a report on hybrid InSb-Pb nanowires that combine high spin-orbit coupling with a high critical field and a large superconducting gap. Material characterization indicates the Pb layer of high crystal quality on the nanowire side facets. Hard induced superconducting gaps and gate-tunable supercurrent are observed in the hybrid nanowires. These results showcase the promising potential of this material combination for a diverse range of applications in hybrid quantum transport devices.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","Qubit Research Division","QRD/Kouwenhoven Lab","","",""
"uuid:0cfa72c1-deac-48f3-b220-7d07be0dae55","http://resolver.tudelft.nl/uuid:0cfa72c1-deac-48f3-b220-7d07be0dae55","Overcoming inertia for sustainable urban development: Understanding the role of stimuli in shaping residents' participation behaviors in neighborhood regeneration projects in China","Fu, X. (TU Delft Design & Construction Management); Qian, QK (TU Delft Design & Construction Management); Liu, Guiwen (Chongqing University); Zhuang, Taozhi (Chongqing University); Visscher, H.J. (TU Delft Design & Construction Management); Huang, R. (Chongqing University)","","2023","Neighborhood regeneration has become essential component of environmental improvement, and promoting resident participation is a key aspect of effective environmental management. However, in China, the legacy of the former housing allocation system and specific cultural contexts have led to residents' psychological inertia towards participating in neighborhood regeneration. Although there has been extensive research on encouraging resident participation, most studies fail to recognize that cultivating active participation behavior among residents usually requires overcoming their existing reluctance or psychological inertia first. Grounded on the innovative notion of psychological inertia, this paper puts forth the perspective that to foster resident participation, it is imperative to initially disrupt their current participation inertia and subsequently facilitate the reconfiguration of their participation behavior. Specifically, it addresses two key research questions: (i) which factors are conducive to stimulating residents to overcome inherent psychological inertia and stimulate their positive participation attitudes? (ii) How do these stimuli shape and reconstruct residents' participation behavior? Based on the Stimulus-Organism-Response (SOR) theory and structural equation modeling (SEM), this paper unveils the mask of intrinsic mechanism for overcoming psychological inertia and reshaping residents' participation behavior. The empirical results show that three stimulating factors (information acquisition, social norms, and perceived grassroots government support) directly impact residents' participating attitudes; these stimuli reconstruct participation behavior by triggering individual psychological changes and then inducing behavioral changes. With the new insight of “overcoming psychological inertia” and the incorporation of behavioral psychology in restructuring resident participation behavior, this paper presents implementation strategies for promoting resident participation in neighborhood regeneration.","Neighborhood regeneration; Overcoming psychological inertia; Resident participation behavior; Stimulus-organism-response theory; Structural equation modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-09","","","Design & Construction Management","","",""
"uuid:08bf0e3e-cca9-4175-b4b4-c20db9a9fe7b","http://resolver.tudelft.nl/uuid:08bf0e3e-cca9-4175-b4b4-c20db9a9fe7b","Frontier ocean thermal/power and solar PV systems for transformation towards net-zero communities","Liu, Zhengxuan (TU Delft Design & Construction Management); Zhou, Yuekuan (The Hong Kong University of Science and Technology); Yan, Jun (Shanghai Jiao Tong University); Tostado-Véliz, Marcos (Universidad de Jaén)","","2023","Ocean thermal and power energy systems are promising driving forces for seashore coastal communities to achieve net-zero energy/emission target, whereas energy planning and management on ocean thermal/power and distributed building integrated photovoltaic (BIPV) systems are critical, in terms of serving scale sizing and planning on geographical locations of district building community, and cycling aging of battery storages. However, the current literature provides insufficient studies on this topic. This study aims to address this research gap by transforming towards zero-energy coastal communities from the district level in subtropical regions, including centralised seawater-based chiller systems, distributed BIPVs and coastal oscillating water column technologies, as well as multi-directional Vehicle-to-Building energy interaction paradigms. Advanced energy management strategies were explored to enhance renewable penetration, import cost-saving, and deceleration of battery cycling aging, in response to relative renewable-to-demand difference, off-peak grid information with low price, and real-time battery cycling aging. Furthermore, in accordance with the power generation characteristic of two wave stations (i.e., Kau Yi Chau (KYC) and West Lamma Channel (WLC)) in Hong Kong, energy system planning and structural configurations of the coastal community were proposed and comparatively studied for the multi-criteria performance improvement. Research results showed that, compared to an air-cooled chiller, the water-cooled chiller with a much higher Coefficient of Performance (COP) will reduce the energy consumption of cooling systems, leading to a decrease in total electric demand from 134 to 126.5 kWh/m2·a. The scale for the net-zero energy district community with distributed BIPVs and oscillating water column was identified as 5 high-rise office buildings, 5 high-rise hotel buildings, 150 private cars and 120 public shuttle buses. Furthermore, the geographical location planning scheme on the Case 1 (office buildings close to KYC, and hotel buildings close to WLC) was identified as the most economically and environmentally feasible scheme, whereas the Case 3 (only office buildings are planned close to all power supply with oscillating water column) showed the highest flexibility in grid electricity shifting, together with the highest value of equivalent battery relative capacity. This study demonstrates techno-economic performances and energy flexibility of frontier ocean energy technologies in a coastal community under advanced energy management strategies, together with technical guidance for serving scale sizing and planning on geographical locations. The research results highlight the prospects and promote frontier ocean energy techniques in subtropical coastal regions.","Coastal oscillating water column; Cycling aging of battery; Energy management strategy; Ocean thermal/power energy; Scale sizing and energy planning; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","Design & Construction Management","","",""
"uuid:28c40b4a-6bbd-4b9d-ae36-dd8606450cb4","http://resolver.tudelft.nl/uuid:28c40b4a-6bbd-4b9d-ae36-dd8606450cb4","Image restoration algorithm for terahertz FMCW radar imaging","Hu, Weidong (Beijing Institute of Technology); Xu, Zhihao (Beijing Institute of Technology); Jiang, Huanyu (Beijing Institute of Technology); Liu, Qingguo (Beijing Institute of Technology); Yao, Zhiyu (Beijing Institute of Technology); Tan, Zhen (Beijing Institute of Technology); Ligthart, L.P. (TU Delft EEMS - General)","","2023","The terahertz frequency modulation continuous-wave (THz FMCW) imaging technology has been widely used in non-destructive testing applications. However, THz FMCW real-aperture radar usually has a small depth of field and poor lateral resolution, thus restricting the high-precision imaging application. This paper proposes a 150-220 GHz FMCW Bessel beam imaging system, effectively doubling the depth of field and unifying the lateral resolution compared to the Gaussian beam quasi-optical system. Moreover, a THz image restoration algorithm based on local gradients and convolution kernel priors is proposed to eliminate further the convolution effect introduced by the Bessel beam, thereby enhancing the lateral resolution to 2 mm. It effectively improves the image under-restoration or over-restoration caused by the mismatch between the ideal and actual point spread function. The imaging results of the resolution test target and semiconductor device verify the advantages of the proposed system and algorithm.","","en","journal article","","","","","","","","","","","EEMS - General","","",""
"uuid:1e39f485-f914-48d5-8cab-94241a2806f0","http://resolver.tudelft.nl/uuid:1e39f485-f914-48d5-8cab-94241a2806f0","Exploring a spatial-experiential structure within the Chinese literati garden: The Master of the Nets Garden as a case study","Lu, L. (TU Delft History, Form & Aesthetics); Liu, M. (Harbin Institute of Technology)","","2023","Experts in the field of architecture and landscape design have reached a broad consensus that the Chinese literati garden is a type of built environment that seamlessly integrates architecture and landscape with exceptional cultural, artistic, and historical values. However, previous site-based studies have often leaned towards either a subjective description of the experience or a technical analysis of the space. Both approaches may result in oversimplified interpretations of the Chinese literati garden, failing to adequately capture its fundamental spatial-experiential structure. This paper aims to address this challenge through the lens of phenomenology. Specifically, it examines an essential spatial-experiential structure—the FS-FW structure—embedded within the Chinese literati garden. The term FS-FW structure, as meticulously established in this paper, refers to the spatial-experiential structure formed by the relationship between one's experience within a single “focusing space” (a space built for visitors to linger and mindfully appreciate their surroundings) and that within its “focused world” (a phenomenal world of surroundings generated during visitors' stay in the focusing space). Using the Master of the Nets Garden as a case study, this paper investigates how the FS-FW structure shapes one's experiences within a literati garden and explores several important mechanisms related to it. A variety of methods are employed throughout, with GIS-based spatial-visual analysis being particularly significant. The case study leads to a series of original results, including some significant mechanisms, that explain how Chinese literati gardens shape visitors' experiences. This paper concludes that the FS-FW structure is a key factor responsible for shaping a continuous, rich, and clearly formulated experience within the Chinese literati garden.","Chinese literati garden; Focused world; Focusing space; GIS-based analysis; Spatial-experiential mechanism; The FS-FW structure; The Master of the Nets Garden","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:d1fe703a-0973-4455-96e5-f817e5ab2ab6","http://resolver.tudelft.nl/uuid:d1fe703a-0973-4455-96e5-f817e5ab2ab6","Corrosion and passive film characteristics of 3D-printed NiTi shape memory alloys in artificial saliva","Liu, M. (TU Delft Team Arjan Mol; Xi’an Jiaotong University); Zhu, Jia-Ning (TU Delft Team Vera Popovich); Popovich, V. (TU Delft Team Vera Popovich); Borisov, E. (Peter the Great Saint-Petersburg Polytechnic University); Mol, J.M.C. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","Electrochemical tests and surface analysis were applied to study the corrosion behavior and passive film characteristics of three-dimensional-printed NiTi shape memory alloys fabricated by laser-powder bed fusion (L-PBF) in artificial saliva at 37 °C. The passivity of L-PBF NiTi shows to be influenced by the process parameters and resulting morphological and physicochemical surface properties. The results show that the defects at the surface of L-PBF NiTi can promote the passivation rate in the early stages of exposure but a slowly formed passive film shows the best corrosion protection. The thickness of the passive film is positively correlated with its corrosion protective performance. The L-PBF NiTi alloy prepared at a linear energy density of 0.2 J·m−1 and volumetric energy density of 56 J·mm−3 shows the least defects and best corrosion protection. An outer Ti-rich and inner Ni-rich dense passive film could be also obtained showing higher corrosion resistance. Graphic Abstract: [Figure not available: see fulltext.]","Artificial saliva; Corrosion resistance; Laser-powder bed fusion (L-PBF); NiTi; Passive film","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","http://resolver.tudelft.nl/uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","Combined CNN and RNN Neural Networks for GPR Detection of Railway Subgrade Diseases","Liu, Huan (China Academy of Railway Sciences; China University of Geosciences); Wang, Shilei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Yu, Ziye (China Earthquake Administration); Yang, Jin (China University of Geosciences); Zhang, Yong (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2023","Vehicle-mounted ground-penetrating radar (GPR) has been used to non-destructively inspect and evaluate railway subgrade conditions. However, existing GPR data processing and interpretation methods mostly rely on time-consuming manual interpretation, and limited studies have applied machine learning methods. GPR data are complex, high-dimensional, and redundant, in particular with non-negligible noises, for which traditional machine learning methods are not effective when applied to GPR data processing and interpretation. To solve this problem, deep learning is more suitable to process large amounts of training data, as well as to perform better data interpretation. In this study, we proposed a novel deep learning method to process GPR data, the CRNN network, which combines convolutional neural networks (CNN) and recurrent neural networks (RNN). The CNN processes raw GPR waveform data from signal channels, and the RNN processes features from multiple channels. The results show that the CRNN network achieves a higher precision at 83.4%, with a recall of 77.3%. Compared to the traditional machine learning method, the CRNN is 5.2 times faster and has a smaller size of 2.6 MB (traditional machine learning method: 104.0 MB). Our research output has demonstrated that the developed deep learning method improves the efficiency and accuracy of railway subgrade condition evaluation.","CNN; GPR; ground-penetrating radar; RNN; subgrade anomalies","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","http://resolver.tudelft.nl/uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","Effect of epoxy resin addition on properties and corrosion behavior of sintered joints in power modules serviced offshore","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Wang, Boya (Fudan University); Chen, Wei (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University); Liu, P. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","Power modules applied in offshore applications are facing risks of corrosion failures on die-attach materials due to high humidity and H2S exposure. To investigate such corrosion behavior for sintered die-attach materials, we conducted a study with four groups of samples fabricated using copper and silver metal particles under different solvent systems. Such samples were firstly subjected to high-humidity-H2S conditions for 168 h to simulate the harsh offshore environment. After undergoing corrosion, the primary compounds formed were CuO/Cu2O and Ag2S through SEM, XRD, and XPS analysis. Notably, the incorporation of epoxy resin into sintered copper joints resulted in a remarkable reduction in corrosion and a substantial improvement in electrical conductivity after the reaction. In contrast, while the addition of epoxy did not evidently reduce corrosion in silver joints, it did lead to a significant increase in shear strength. Furthermore, to gain further insights into the effect of epoxy resin on corrosion behavior, electrochemical analysis, and molecular dynamics simulations were conducted. Finally, the mechanical reliability of the corroded copper and silver joints was evaluated through thermal shock tests. In summary, sintered copper joints exhibited better anti-corrosion behaviors than sintered silver under high humidity and H2S exposure, especially with the addition of epoxy resin. However, the corrosion products of sintered copper suffered from a sharp decrease in shear strength after thermal shock tests than sintered silver, which is probably due to the coefficient of thermal expansion mismatch.","Die-attach; Electrochemical analysis; Gas corrosion; Molecular dynamics simulation; Power module packaging; Sintered joint","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:06add371-a02d-4fe2-93fe-33928323a92b","http://resolver.tudelft.nl/uuid:06add371-a02d-4fe2-93fe-33928323a92b","Nested affordance-based intuitive design tool: Affordance interaction matrix","Gao, Yixuan (Jiangsu Normal University, Xuzhou); Song, Duanshu (China University of Mining and Technology; Jiangsu Normal University, Xuzhou); Liu, Li (Jiangsu Normal University, Xuzhou); Huang, Y. (TU Delft Design Conceptualization and Communication; Northwestern Polytechnical University)","","2023","Affordances serve as design cues, facilitating users in effortlessly identifying the intended use of objects. However, due to the phenomenological nature of the affordance concept, its application and understanding in the human-computer interaction (HCI) domain remain uncertain, resulting in limited utilization in design practice. This study introduces the concept of nested affordances, linking affordances more closely and explicitly with perception. We reposition the affordance concept and transform it into a design tool—the Affordance Interaction Matrix (AIM). This matrix captures the nested relationships and perceptual conflicts between target affordances within a product, assisting designers in identifying and eliminating potential confusion for users when facing a design, providing implicit interaction cues. The AIM-based design method proposed in this research addresses the shortcomings in describing product affordance interactions, focusing on the natural guidance of specific behaviors. This approach enables designers to clarify intuitive interaction foundations and make swift, effective decisions. Finally, the paper demonstrates the application and advantages of AIM through a practical case study of accessible kitchen furniture.","affordance; direct perception; Human-computer interaction (HCI); intuitive interaction design; nested affordance; product design","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:4db5cfe4-5bd5-42b0-8482-549d12891967","http://resolver.tudelft.nl/uuid:4db5cfe4-5bd5-42b0-8482-549d12891967","Lower-temperature fabrication of airbridges by grayscale lithography to increase yield of nanowire transmons in circuit QED quantum processors","Stavenga, T. (TU Delft QCD/DiCarlo Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Khan, S. A. (University of Copenhagen; Danish Fundamental Metrology, Horsholm); Liu, Y. (University of Copenhagen); Krogstrup, P. (University of Copenhagen); DiCarlo, L. (TU Delft QCD/DiCarlo Lab; TU Delft QN/DiCarlo Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Quantum hardware based on circuit quantum electrodynamics makes extensive use of airbridges to suppress unwanted modes of wave propagation in coplanar-waveguide transmission lines. Airbridges also provide an interconnect enabling transmission lines to cross. Traditional airbridge fabrication produces a curved profile by reflowing resist at elevated temperature prior to metallization. The elevated temperature can affect the coupling energy and even yield of pre-fabricated Josephson elements of superconducting qubits, tunable couplers, and resonators. We employ grayscale lithography to enable reflow and thereby reduce the peak temperature of our airbridge fabrication process from 200 to 150 °C and link this change to a substantial increase in the physical yield of transmon qubits with Josephson elements realized using Al-contacted InAs nanowires.","","en","journal article","","","","","","","","","","","QCD/DiCarlo Lab","","",""
"uuid:08ba74f4-d7a0-4a94-88c9-f4288cfedf98","http://resolver.tudelft.nl/uuid:08ba74f4-d7a0-4a94-88c9-f4288cfedf98","Tuning heat transport in graphene by tension","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Lee, M. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Siskins, M. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2023","Heat transport by acoustic phonons in two-dimensional (2D) materials is fundamentally different from that in 3D crystals because the out-of-plane phonons propagate in a unique way that strongly depends on tension and bending rigidity. Here, using optomechanical techniques, we experimentally demonstrate that the heat transport time in freestanding graphene membranes is significantly higher than the theoretical prediction, and decreases by as much as 33% due to an electrostatically induced tension of 0.07 N/m. Using phonon scattering and Debye models, we explain these observations by the tension-enhanced acoustic impedance match of flexural phonons at the boundary of the graphene membrane. Thus, we experimentally elucidate the tunability of phononic heat transport in 2D materials by tension, and open a route towards electronic devices and circuits for high-speed control of temperature at the nanoscale.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:e0c7f043-631e-4add-af40-34877c89396b","http://resolver.tudelft.nl/uuid:e0c7f043-631e-4add-af40-34877c89396b","Rapid Fabrication of High-Performance Flexible Pressure Sensors Using Laser Pyrolysis Direct Writing","Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Zong, Qihang (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","The fabrication of flexible pressure sensors with low cost, high scalability, and easy fabrication is an essential driving force in developing flexible electronics, especially for high-performance sensors that require precise surface microstructures. However, optimizing complex fabrication processes and expensive microfabrication methods remains a significant challenge. In this study, we introduce a laser pyrolysis direct writing technology that enables rapid and efficient fabrication of high-performance flexible pressure sensors with a micro-truncated pyramid array. The pressure sensor demonstrates exceptional sensitivities, with the values of 3132.0, 322.5, and 27.8 kPa-1 in the pressure ranges of 0-0.5, 0.5-3.5, and 3.5-10 kPa, respectively. Furthermore, the sensor exhibits rapid response times (loading: 22 ms, unloading: 18 ms) and exceptional reliability, enduring over 3000 pressure loading and unloading cycles. Moreover, the pressure sensor can be easily integrated into a sensor array for spatial pressure distribution detection. The laser pyrolysis direct writing technology introduced in this study presents a highly efficient and promising approach to designing and fabricating high-performance flexible pressure sensors utilizing micro-structured polymer substrates.","continuous laser pyrolysis; flexible pressure sensor; laser direct writing; micro-truncated pyramid; PDMS; UV laser","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:311c668f-8cb7-4201-8105-c19ab87aa888","http://resolver.tudelft.nl/uuid:311c668f-8cb7-4201-8105-c19ab87aa888","Benchmarking Robustness and Generalization in Multi-Agent Systems: A Case Study on Neural MMO","Chen, Yangkun (Tsinghua University; Parametrix.ai); Yu, Chenghui (Tsinghua University; Parametrix.ai); Zhu, Hengman (Parametrix.ai); Liu, Shuai (Bilibili); Zhang, Yibing (Chengdu Goldwin Electronics Technology); Suarez, Joseph (Massachusetts Institute of Technology); Zhao, Liang (International Digital Economy Academy); He, J. (TU Delft Interactive Intelligence); Chen, Jiaxin (Parametrix.ai)","","2023","We present the results of the second Neural MMO challenge, hosted at IJCAI 2022, which received 1600+ submissions. This competition targets robustness and generalization in multi-agent systems: participants train teams of agents to complete a multi-task objective against opponents not seen during training. We summarize the competition design and results and suggest that, considering our work as a case study, competitions are an effective approach to solving hard problems and establishing a solid benchmark for algorithms. We will open-source our benchmark including the environment wrapper, baselines, a visualization tool, and selected policies for further research.","Benchmark; Competition; Multi-agent Reinforcement Learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Interactive Intelligence","","",""
"uuid:ebf48049-a45c-4d01-b6ea-70359d4a24c3","http://resolver.tudelft.nl/uuid:ebf48049-a45c-4d01-b6ea-70359d4a24c3","A high resolution model of linear trend in mass variations from DMT-2: Added value of accounting for coloured noise in GRACE data","Hashemi Farahani, H. (TU Delft Physical and Space Geodesy); Ditmar, P.G. (TU Delft Physical and Space Geodesy); Miragaia Gomes Inacio, P. (TU Delft Physical and Space Geodesy); Engels, Olga (TU Delft Physical and Space Geodesy); Gunter, B.C. (TU Delft Physical and Space Geodesy; Georgia Institute of Technology); Klees, R. (TU Delft Physical and Space Geodesy); Guo, X. (TU Delft Physical and Space Geodesy; Wuhan University); Guo, Jing (Wuhan University); Sun, Y. (TU Delft Physical and Space Geodesy); Liu, Xianglin (Fugro Intersite B.V.); Zhao, Qile (Wuhan University); Riva, R.E.M. (TU Delft Physical and Space Geodesy)","","2017","We present a high resolution model of the linear trend in the Earth’s mass variations based on DMT-2 (Delft Mass Transport model, release 2). DMT-2 was produced primarily from K-Band Ranging (KBR) data of the Gravity Recovery And Climate Experiment (GRACE). It comprises a time series of monthly solutions complete to spherical harmonic degree 120. A novel feature in its production was the accurate computation and incorporation of stochastic properties of coloured noise when processing KBR data. The unconstrained DMT-2 monthly solutions are used to estimate the linear trend together with a bias, as well as annual and semi-annual sinusoidal terms. The linear term is further processed with an anisotropic Wiener filter, which uses full noise and signal covariance matrices. Given the fact that noise in an unconstrained model of the trend is reduced substantially as compared to monthly solutions, the Wiener filter associated with the trend is much less aggressive compared to a Wiener filter applied to monthly solutions. Consequently, the trend estimate shows an enhanced spatial resolution. It allows signals in relatively small water bodies, such as Aral sea and Ladoga lake, to be detected. Over the ice sheets, it allows for a clear identification of signals associated with some outlet glaciers or their groups. We compare the obtained trend estimate with the ones from the CSR-RL05 model using (i) the same approach based on monthly noise covariance matrices and (ii) a commonly-used approach based on the DDK-filtered monthly solutions. We use satellite altimetry data as independent control data. The comparison demonstrates a high spatial resolution of the DMT-2 linear trend. We link this to the usage of high-accuracy monthly noise covariance matrices, which is due to an accurate computation and incorporation of coloured noise when processing KBR data. A preliminary comparison of the linear trend based on DMT-2 with that computed from GSFC global mascons v01 reveals, among other, a high concentration of the signal along the coast for both models in areas like the ice sheets, Gulf of Alaska, and Iceland.","Coloured noise; DMT-2; GRACE; KBR; Time varying gravity field","en","journal article","","","","","","Accepted Author Manuscript","","2019-02-01","","","Physical and Space Geodesy","","",""
"uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","http://resolver.tudelft.nl/uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","Towards sustainable groundwater development with effective measures under future climate change in Beijing Plain, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University); Eiman, Fatima (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2024","To cope with the groundwater depletion problem and achieve sustainable groundwater development, groundwater conservation measures and managed aquifer recharge (MAR) have been implemented worldwide. However, knowledge gaps exit how does the aquifer system respond to these interventions differently and if these interventions are adequate to lead to long-term sustainable groundwater development under future climate change. In Beijing Plain, two measures have been implemented: reduction of groundwater abstraction by substituting groundwater abstraction with transferred surface water and implementation of managed aquifer recharge (MAR) in two major rivers. This study aims to assess how do the shallow and deep aquifers respond to these measures and if these measures can lead to long-term sustainable groundwater development in Beijing Plain under future climate change. A 3-D transient groundwater flow model was calibrated and used to simulate groundwater level and budget changes from 2021 to 2050. The monthly groundwater recharge was estimated using the projected monthly precipitation from three downscaled regional climate models under two scenarios (RCP4.5 and RCP8.5). The results show that declines in groundwater head and storage can be reversed with the combined two measures, thereby contributing to achieve sustainable groundwater development. The reduction of abstractions is a deciding measure to reverse the trend of groundwater depletion, especially in the deep confined aquifers, while large scale MAR schemes can restore the cones of depressions in shallow aquifers and maintain the groundwater abstraction. Climate variation has large impacts on groundwater resources, especially, consecutive dry years can cause rapid groundwater storage depletion. The projected monthly precipitation from 2021 to 2050 is not significantly different from the past. Therefore, the projected future precipitation has minor impacts on groundwater resources in the next 30 years. The findings from the study will support the Beijing municipality to maintain the tight control on groundwater abstraction and to implement large-scale MAR schemes in two rivers. This successful example will encourage managers of other heavily exploited aquifers to take similar measures to achieve sustainable groundwater development.","Beijing Plain; Climate change; Groundwater sustainability; Substitution of abstraction, Managed Aquifer Recharge","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","http://resolver.tudelft.nl/uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","Enhanced sensitivity and tunability of thermomechanical resonance near the buckling bifurcation","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems; National University of Defense Technology); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","The high susceptibility of ultrathin two-dimensional (2D) material resonators to force and temperature makes them ideal systems for sensing applications and exploring thermomechanical coupling. Although the dynamics of these systems at high stress has been thoroughly investigated, their behavior near the buckling transition has received less attention. Here, we demonstrate that the force sensitivity and frequency tunability of 2D material resonators are significantly enhanced near the buckling bifurcation. This bifurcation is triggered by compressive displacement that we induce via thermal expansion of the devices, while measuring their dynamics via an optomechanical technique. We understand the frequency tuning of the devices through a mechanical buckling model, which allows to extract the central deflection and boundary compressive displacement of the membrane. Surprisingly, we obtain a remarkable enhancement of up to 14× the vibration amplitude attributed to a very low stiffness of the membrane at the buckling transition, as well as a high frequency tunability by temperature of more than 4.02$\%$ K−1. The presented results provide insights into the effects of buckling on the dynamics of free-standing 2D materials and thereby open up opportunities for the realization of 2D resonant sensors with buckling-enhanced sensitivity.","nanomechanical resonator; buckling bifurcation; frequency tuning; vibration amplitude","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:143a4eda-b168-45b0-9c90-3473a78b315d","http://resolver.tudelft.nl/uuid:143a4eda-b168-45b0-9c90-3473a78b315d","Robust Traveling Wave-based Protection Scheme For Multiterminal DC Grids","Liu, L. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","class=""MsoNormal"">The DC transmission line protection technology is crucial for the development of multi-terminal Voltage Source Converter (VSC)-based HVDC systems. This article proposes a robust non-unit traveling wave protection (TWP), which deals with the DC fault area identification and fault type discrimination for high impedance fault conditions. The authors applied the traveling wave (TW) reflection and refraction method for the line-mode network. The distinctive features of high-frequency components contained in the line-mode and pole-mode voltage TWs at different relay units are used for the algorithm modeling. Discrete Wavelet Transform (DWT) is selected as the time-frequency analysis tool. The performed simulations are conducted for a four-terminal VSC-HVDC system, and validate the protection feasibility and robustness. More precisely, the proposed protection scheme identifies the internal and external DC faults within 2 ms, and provides correct operation during high-impedance faults (HIF) with a 25 dB level noise interference. This protection scheme makes use of a VSC-assisted resonant current (VARC) direct current circuit breaker (DCCB), that successfully interrupts the fault currents in less than 10 ms after the fault inception. The authors also comprehensively compared the proposed scheme with the existing methods. The obtained results show that the proposed protection scheme is superior in terms of sensitivity and selectivity performance.","Non-unit protection; modular multi-level converter (MMC); high voltage direct current (HVDC); DC circuit breakers (DCCB); discrete wavelet transform (DWT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Intelligent Electrical Power Grids","","",""
"uuid:e356cec2-10a7-4153-ba8f-c6d3423110b8","http://resolver.tudelft.nl/uuid:e356cec2-10a7-4153-ba8f-c6d3423110b8","Memory-Efficient Modeling and Slicing of Large-Scale Adaptive Lattice Structures","Liu, Shengjun (Central South University China); Liu, Tao (Central South University); Zou, Qiang (The University of Manchester); Wang, W. (TU Delft Materials and Manufacturing; Dalian University); Doubrovski, E.L. (TU Delft Mechatronic Design); Wang, Charlie C.L. (The University of Manchester)","","2021","Lattice structures have been widely used in various applications of additive manufacturing due to its superior physical properties. If modeled by triangular meshes, a lattice structure with huge number of struts would consume massive memory. This hinders the use of lattice structures in large-scale applications (e.g., to design the interior structure of a solid with spatially graded material properties). To solve this issue, we propose a memory-efficient method for the modeling and slicing of adaptive lattice structures. A lattice structure is represented by a weighted graph where the edge weights store the struts' radii. When slicing the structure, its solid model is locally evaluated through convolution surfaces in a streaming manner. As such, only limited memory is needed to generate the toolpaths of fabrication. Also, the use of convolution surfaces leads to natural blending at intersections of struts, which can avoid the stress concentration at these regions. We also present a computational framework for optimizing supporting structures and adapting lattice structures with prescribed density distributions. The presented methods have been validated by a series of case studies with large number (up to 100 M) of struts to demonstrate its applicability to large-scale lattice structures.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Manufacturing","","",""
"uuid:61de8af3-5944-4552-b26b-98b3c5868016","http://resolver.tudelft.nl/uuid:61de8af3-5944-4552-b26b-98b3c5868016","Knitting 4D garments with elasticity controlled for body motion","Liu, Z. (TU Delft Materials and Manufacturing; Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Han, Xingjian (Boston University); Zhang, Yuchen (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Chen, Xiangjia (Centre for Perceptual and Interactive Intelligence Limited, Hong Kong); Lai, Y. (Cardiff University); Doubrovski, E.L. (TU Delft Mechatronic Design); Whiting, Emily (Boston University); Wang, C.C. (The University of Manchester)","","2021","In this paper, we present a new computational pipeline for designing and fabricating 4D garments as knitwear that considers comfort during body movement. This is achieved by careful control of elasticity distribution to reduce uncomfortable pressure and unwanted sliding caused by body motion. We exploit the ability to knit patterns in different elastic levels by single-jersey jacquard (SJJ) with two yarns. We design the distribution of elasticity for a garment by physics-based computation, the optimized elasticity on the garment is then converted into instructions for a digital knitting machine by two algorithms proposed in this paper. Specifically, a graph-based algorithm is proposed to generate knittable stitch meshes that can accurately capture the 3D shape of a garment, and a tiling algorithm is employed to assign SJJ patterns on the stitch mesh to realize the designed distribution of elasticity. The effectiveness of our approach is verified on simulation results and on specimens physically fabricated by knitting machines.","4D garment; computational fabrication; elasticity control; knitting","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Manufacturing","","",""
"uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","http://resolver.tudelft.nl/uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","Comprehensive properties assessment of asphalt binder under aqueous solutions with different pH values and its gradient damage behaviors","Zou, Yingxue (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Chen, Anqi (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Amirkhanian, Serji (University of Alabama); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Chao (Hubei University of Technology); Wan, Pei (Wuhan University of Technology); Xu, Haiqin (Wuhan University of Technology); Lu, Ziyu (Wuhan University of Technology)","","2024","This study characterized the morphology, high-temperature property, rheological property, adhesion, cohesion, and chemical component of asphalt binder under aqueous solutions of different pH values. Then the physicochemical properties of asphalt binder were comprehensively evaluated by the improved radar chart. The properties of asphalt binder stripped layer-by-layer were explored to elucidate its gradient damage behaviors. The results indicate that the pH 3 solution reveals the greatest impact on the morphology of 90 asphalt (90 A) and styrene-butadiene-styrene modified asphalt (SBS MA), leading to the wide cracks on 90 A and the network cracks on SBS MA. The comprehensive assessment index of 90 A exposed to pH 3, pH 5, pH 7, pH 9, and pH 11 solutions can be reduced by 20.8%, 20.2%, 1.5%, 14.0%, and 25.5%, respectively. While for SBS MA, its corresponding values variation are 23.2%, 17.7%, 4.6%, 8.7%, and 13.0%, respectively. The acid solutions significantly affect the comprehensive properties of 90 A, but their pH value has little effect. 90 A exposure to the higher pH value of alkali solution reveals the worse comprehensive properties. Acid solute and alkali solute can aggravate the effect of aqueous solution on the comprehensive properties of SBS MA, and the degree of aggravation increases with the increase of solute concentration. The properties of asphalt binders exhibit varied gradient damage behaviors under different solute environments. The most serious damage occurs at 25–50 µm of 90 A and 0–50 µm of SBS MA. This study facilitates an accurate understanding of the mechanism of pavement distresses and composition design and construction utilization of asphalt binders.","Aqueous solutions; Asphalt binder; Comprehensive assessment; Gradient damage behaviors; pH value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Materials and Environment","","",""
"uuid:828dd13b-2d57-4e96-b770-41b4b5c715ea","http://resolver.tudelft.nl/uuid:828dd13b-2d57-4e96-b770-41b4b5c715ea","Federated Learning With Heterogeneity-Aware Probabilistic Synchronous Parallel on Edge","Zhao, Jianxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Yang, Yongkai (Beijing Engineering Research Center of Civil Aviation Big Data; Key Laboratory of Intelligent Passenger Service of Civil Aviation-CAAC); Catterall, Benjamin (University of Cambridge); Liu, Chi Harold (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Mortier, Richard (University of Cambridge); Crowcroft, Jon (University of Cambridge); Wang, Liang (University of Cambridge)","","2022","With the massive amount of data generated from mobile devices and the increase of computing power of edge devices, the paradigm of Federated Learning has attracted great momentum. In federated learning, distributed and heterogeneous nodes collaborate to learn model parameters. However, while providing benefits such as privacy by design and reduced latency, the heterogeneous network present challenges to the synchronisation methods, or barrier control methods, used in training, regarding system progress and model convergence etc. The design of these barrier mechanisms is critical for the performance and scalability of federated learning systems. We propose a new barrier control technique called Probabilistic Synchronous Parallel (PSP). In contrast to existing mechanisms, it introduces a sampling primitive that composes with existing barrier control mechanisms to produce a family of mechanisms with improved convergence speed and scalability. Our proposal is supported with a convergence analysis of PSP-based SGD algorithm. In practice, we also propose heuristic techniques that further improve the efficiency of PSP. We evaluate the performance of proposed methods using the federated learning specific FEMNSIT dataset. The evaluation results show that PSP can effectively achieve good balance between system efficiency and model accuracy, mitigating the challenge of heterogeneity in federated learning.","Federated learning; edge computing; distributed computing; barrier control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:1ed43c9e-5cda-4d8f-a13c-1d82ab3af91e","http://resolver.tudelft.nl/uuid:1ed43c9e-5cda-4d8f-a13c-1d82ab3af91e","Transient thermal measurement on nano-metallic sintered die-attach joints using a thermal test chip","Sattari, R. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The rapid development of power electronics has challenged the thermal integrity of semiconductor packaging. Further developments in this domain can be supported significantly by utilizing fast and flexible thermal characteristic evaluation. This study employs the transient dual interface method (TDIM) to characterize and compare the thermal resistance of Ag- and Cu-sintered die-attach joints using an in-house developed thermal test chip (TTC). The proposed TTC with 82.5% active area achieves a temperature sensitivity of 12 Ω/K and maximum power of 360 W per cell, which are 50% and 44% higher than the state-of-the-art, respectively. The uniformity of the temperature distribution (1 °C at 68 W) is verified by infrared thermography. The cost-effective manufacturing process allows the design to be applied to any substrate, such as SiC or GaN. Ag and Cu sintering is performed to bond the TTC on a Cu substrate, and the junction-to-case thermal resistance of the sintered structures is extracted. The lowest junction-to-case thermal resistance of 0.144 K/W is measured for the device sintered using Ag paste. Meanwhile, the Cu sintered structure exhibits a comparable value of 0.158 K/W. The proposed TTC in combination with TDIM accelerates the introduction of novel and cost-effective materials such as Cu.","Power electronics; Sintered die-attach joints; Transient dual interface method; Thermal test chip; Junction-to-case thermal resistance; Infrared thermography","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Electronic Components, Technology and Materials","","",""
"uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","http://resolver.tudelft.nl/uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","Sub-seasonal soil moisture anomaly forecasting using combinations of deep learning, based on the reanalysis soil moisture records","Wang, X. (Chongqing Jiaotong University; Hohai University); Corzo, Gerald (IHE Delft Institute for Water Education); Lü, Haishen (Hohai University); Zhou, Shiliang (Chongqing Jiaotong University); Mao, K. (TU Delft Physical and Space Geodesy); Zhu, Yonghua (Hohai University); Duarte Prieto, F.S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Liu, Mingwen (Hohai University); Su, Jianbin (Chinese Academy of Sciences)","","2024","Sub-seasonal drought forecasting is crucial for early warning in estimating agricultural production and optimizing irrigation management, as forecasting skills are relatively weak during this period. Soil moisture exhibits stronger persistence compared to other climate system quantities, which makes it especially influential in shaping land-atmosphere feedback, thus supplying a unique insight into drought forecasting. Relying on the soil moisture memory, this study investigates the combination of multiple deep-learning modules for sub-seasonal drought indices hindcast in the Huai River basin of China, using long-term ERA5-Land soil moisture records with a noise-assisted data analysis tool. The inter-compared deep-learning models include a hybrid model and a committee machine framework. The results show that the performance of the committee machine framework can be improved with the help of series decomposition and the forecasting skill is not impaired with the lead time increases. Overall, this study highlights the potential of combining deep-learning models with soil moisture memory analysis to improve sub-seasonal drought forecasting.","Committee model; Deep learning; Drought forecasting; Noise-assisted tool; Reanalysis soil moisture","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","http://resolver.tudelft.nl/uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","Advancements and insights in thermal and water management of proton exchange membrane fuel cells: Challenges and prospects","Zhang, Zhenya (Zhengzhou University); Mao, Jia (Zhengzhou University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","In response to the growing global demand for clean and sustainable energy solutions, proton exchange membrane fuel cells (PEMFCs) have emerged as vital components in diverse decarbonization strategies. Despite their increasing importance, a comprehensive synthesis of recent advancements, challenges, and future prospects in thermal and water management within this domain remains notably scarce. This paper aims to bridge this gap by conducting a meticulous literature review focused on thermal and water management in PEMFCs. Primarily, this study encapsulates the underlying mechanisms governing thermal and water generation in PEMFCs, intricately analyzing thermal and water generation analyses. Secondly, a multifaceted exploration of thermal and water transfer mechanisms, alongside their pivotal influencing factors, is presented. Furthermore, the discourse delves into sophisticated strategies for refining water and thermal management in PEMFCs. As well as delving into the complexities of high-power heat dissipation and water balance, especially water management for cold start and high temperature operating conditions. The culmination of this investigation yields valuable insights into the intricate dynamics of thermal and water management within PEMFCs, thereby culminating in forward-looking recommendations for future research trajectories. These findings not only offer scholars a vantage point to discern emerging research frontiers and trends but also extend theoretical precepts and reference points for technology innovators and product developers.","Clean energy; High-power heat dissipation; Proton exchange membrane fuel cells (PEMFCs); Thermal management; Water management","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:38de8b7c-5e69-48d5-90c9-b4b2b9e67833","http://resolver.tudelft.nl/uuid:38de8b7c-5e69-48d5-90c9-b4b2b9e67833","A high-performance and energy-efficient FIR adaptive filter using approximate distributed arithmetic circuits","Jiang, Honglan (University of Alberta); Liu, Leibo (Tsinghua University); Jonker, P.P. (TU Delft Biomechatronics & Human-Machine Control); Elliott, Duncan G. (University of Alberta); Lombardi, Fabrizio (Northeastern University); Han, Jie (University of Alberta)","","2019","In this paper, a fixed-point finite impulse response adaptive filter is proposed using approximate distributed arithmetic (DA) circuits. In this design, the radix-8 Booth algorithm is used to reduce the number of partial products in the DA architecture, although no multiplication is explicitly performed. In addition, the partial products are approximately generated by truncating the input data with an error compensation. To further reduce hardware costs, an approximate Wallace tree is considered for the accumulation of partial products. As a result, the delay, area, and power consumption of the proposed design are significantly reduced. The application of system identification using a 48-Tap bandpass filter and a 103-Tap high-pass filter shows that the approximate design achieves a similar accuracy as its accurate counterpart. Compared with the state-of-The-Art adaptive filter using bit-level pruning in the adder tree (referred to as the delayed least mean square (DLMS) design), it has a lower steady-state mean squared error and a smaller normalized misalignment. Synthesis results show that the proposed design attains on average a 55% reduction in energy per operation (EPO) and a 3.2\times throughput per area compared with an accurate design. Moreover, the proposed design achieves 45%-61% lower EPO compared with the DLMS design. A saccadic system using the proposed approximate adaptive filter-based cerebellar model achieves a similar retinal slip as using an accurate filter. These results are promising for the large-scale integration of approximate circuits into high-performance and energy-efficient systems for error-resilient applications.","Adaptive filter; approximate arithmetic; distributed arithmetic; radix-8 Booth algorithm; truncation; Wallace tree","en","journal article","","","","","","Accepted Author Manuscript","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:74cd2af4-a815-467d-b9af-3e221c393047","http://resolver.tudelft.nl/uuid:74cd2af4-a815-467d-b9af-3e221c393047","A novel method to evaluate cleaning quality of oil in shale using pyrolysis pyrogram","Dong, Xu (Qingdao University of Science and Technology); Shen, Luyi (University of Alberta); Zhao, Jianpeng (Xi'an Shiyou University); Liu, Xuefeng (China University of Science and Technology); Sun, Yuli (Qingdao University of Science and Technology); Golsanami, Naser (Shandong University of Science and Technology); Wang, Fei (Qingdao University of Science and Technology); Bi, Haisheng (Qingdao University of Science and Technology); Zitha, P.L.J. (TU Delft Reservoir Engineering; Qingdao University of Science and Technology)","","2020","Complete and thorough core cleaning is a critical prerequisite for the precise measurements of most rock's petrophysical parameters. In shale, the oil cleaning process, aimed to remove the volatile hydrocarbons, is often complicated by the requirement for intact solid organic. Evaluation of shale's cleaning methods needs to take structural integrity of organic matrix into account but neglected in the existing researches. Here, we develop a novel evaluation method using a modified ESH (extended slow heating) pyrolysis cycle, which starts at a lower initial temperature of 150°C for 10 minutes and then slowly increases to 650°C by 10°C/min. Hydrocarbons on the ESH pyrogram were divided into light free hydrocarbon (SA), FHR (fluid-like hydrocarbon, SB), and solid organic matter (SC). We propose a set of quantitative evaluation criterions comparing the results of pyrograms, for different types of the hydrocarbons, at different cleaning conditions. We showed that a modified pyrogram achieves complete cleaning with SA and SB removed while SC remains almost intact. The modified pyrogram achieves complete removal of FHR in the second stage of pyrogram, while earlier researches often report residual FHR. The introduced method improves the accuracy in the identification of production potential in kerogen-rich shale reservoirs up to about 3% of the total pore volume. Further, the new approach allows a quantitative assessment for the cleaning quality without altering the sample's organic matrix. Future studies on the petrophysical properties of the hydrocarbon-bearing reservoir rocks may benefit from the thorough hydrocarbon removal achieved through the modified pyrogram methods proposed in this study.","core cleaning; kerogen richness; modified pyrolysis method; quantitative evaluation; shale","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:b9c22b15-f408-4fec-b9ad-d51ae97da717","http://resolver.tudelft.nl/uuid:b9c22b15-f408-4fec-b9ad-d51ae97da717","Seat pitch and comfort of a staggered seat configuration","Liu, Z. (TU Delft Mechatronic Design; Donghua University); Rotte, T.A. (TU Delft Mechatronic Design); Anjani, S. (TU Delft Applied Ergonomics and Design); Vink, P. (TU Delft Materials and Manufacturing)","","2021","BACKGROUND: Staggered seats are a solution for the Flying-V aircraft, where the cabin's longitudinal axis has a 26 degrees angle with respect to the direction of flight, to compensate for an otherwise oblique sitting position. However, little is known on acceptable pitches in this staggered configuration. OBJECTIVE: The goal of this research is to evaluate the comfort of different pitches for seats that are staggered relative to the cabin's longitudinal axis. METHODS: Two rows of staggered seats are positioned at three different pitches (27, 29 and 31 inches). 53 participants were seated in each setup. For each, a questionnaire was completed including questions on comfort and discomfort, top view photos were taken to analyse postures and physical dimensions were recorded to define passengers' space. RESULTS: Comfort as well as discomfort were significantly different for the three setups. The comfort at 27 inches was seen as unacceptably low. The 29 and 31-inch configurations showed to result in acceptable levels of comfort, comparable to higher-end seating layouts. There were very little complaints about space in lateral direction (elbow and seat width), showing the advantage of having your won armrest and shoulder space in the staggered configuration. Interesting was that at larger pitches more complaints were found for the seat characteristics, probably in the shorter pitch the other discomfort was overruling this. CONCLUSION: The 26-degree staggered configuration offers improvements in shoulder- and elbow-space. The results for the 29- and 31-inch are expected to allow enough design freedom for further exploration of such a configuration for the Flying-V cabin interior.","comfort; oblique sitting; seat pitch; sitting postures; Staggered seats","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","http://resolver.tudelft.nl/uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","Introducing a force-matched united atom force field to explore larger spatiotemporal domains in molecular dynamics simulations of bitumen","Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering; Rijkswaterstaat); Erkens, S. (TU Delft Pavement Engineering; Rijkswaterstaat)","","2024","This paper presents a United Atom (UA) force field for simulating hydrocarbon molecules in bituminous materials, integrating explicit hydrogens into beads with their parent atom. This method simplifies all-atom molecular models, significantly accelerating Molecular Dynamics (MD) simulations of bitumen by 10 to 100 times. Key advantages include halving the particle count, eliminating complex hydrogen interactions, and decreasing the degrees of freedom of the molecules. Developed by mapping forces from an all-atom model to the centers of mass of UA model beads, the force field ensures accurate replication of energies, forces, and molecular conformations, mirroring properties like pressure and density. It features 17 bead types and 287 interaction types, encompassing various hydrocarbon molecules. The UA force field's stability, surpassing all-atom models, is a notable achievement. This stability, stemming from smoother potential energy surfaces, leads to consistent property measurements and improved stress tensor accuracy. It enables the extension of MD simulations to larger spatiotemporal scales, crucial for understanding complex phenomena such as phase separation in bituminous materials. This foundational work sets the stage for future developments, including refining parameters and introducing new bead types, to enhance the modeling capabilities of the force field, thereby advancing the application and understanding of bituminous materials.","Bitumen; Coarse-graining; Force field; Force-matching; Molecular dynamics; Morphology; Phase separation; Segregation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","http://resolver.tudelft.nl/uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","Physics-Informed Neural Networks to Model and Control Robots: A Theoretical and Experimental Investigation","Liu, J. (TU Delft Learning & Autonomous Control); Borja, Pablo (Plymouth University); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","This work concerns the application of physics-informed neural networks to the modeling and control of complex robotic systems. Achieving this goal requires extending physics-informed neural networks to handle nonconservative effects. These learned models are proposed to combine with model-based controllers originally developed with first-principle models in mind. By combining standard and new techniques, precise control performance can be achieved while proving theoretical stability bounds. These validations include real-world experiments of motion prediction with a soft robot and trajectory tracking with a Franka Emika Panda manipulator.","dissipation; Euler–Lagrange equations; Hamiltonian neural networks; Lagrangian neural networks; model-based control; physics-informed neural networks; port-Hamiltonian systems","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:3664e9d7-c3d0-43c5-8064-53f52f6c46a3","http://resolver.tudelft.nl/uuid:3664e9d7-c3d0-43c5-8064-53f52f6c46a3","Near field buoyant jet analysis","Liu, K.C.","de Wit, L. (mentor)","2013","","offshore","","master thesis","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Offshore and Dredging Engineering","","",""
"uuid:7a14ef7a-45d0-4233-899e-54e2c61d02af","http://resolver.tudelft.nl/uuid:7a14ef7a-45d0-4233-899e-54e2c61d02af","Nonlinear dynamic simulation of jack-up platform models","Liu, P.","","1989","","offshore","","master thesis","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Offshore and Dredging Engineering","","",""
"uuid:dc0d262d-340a-4f28-9eb0-6e0638628da2","http://resolver.tudelft.nl/uuid:dc0d262d-340a-4f28-9eb0-6e0638628da2","A Case study on the Dredging Project at the Yangtze Estuary","Liu, Z.","","2001","","","","master thesis","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:a2d6bbfc-f257-42a3-8f1e-4c9b5c481ff5","http://resolver.tudelft.nl/uuid:a2d6bbfc-f257-42a3-8f1e-4c9b5c481ff5","Dynamic behaviour of TSHD suction pipe system","Liu, Z.","","2002","","","","master thesis","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:3c689fcb-e8dd-4d68-af1e-00a3fb0dc48d","http://resolver.tudelft.nl/uuid:3c689fcb-e8dd-4d68-af1e-00a3fb0dc48d","Near field buoyant jet analysis (summary)","Liu, K.C.","de Wit, L. (mentor)","2013","","offshore","","master thesis","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Offshore and Dredging Engineering","","",""
"uuid:24476b44-b06f-400b-ad30-d10cb0598d16","http://resolver.tudelft.nl/uuid:24476b44-b06f-400b-ad30-d10cb0598d16","Assessment of ionospheric corrections for PPP-RTK using regional ionosphere modeling (PPT)","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, X. (Fugro); Memarzadeh, Y. (Fugro); Visser, H. (Fugro); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University of Technology)","","2018","","","en","other","","","","","","Power Point Presentatie","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:e199eedf-0318-4a8b-9371-da75c9b48ebd","http://resolver.tudelft.nl/uuid:e199eedf-0318-4a8b-9371-da75c9b48ebd","Assessment of ionospheric corrections for PPP-RTK using S-system theory","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, X. (Fugro)","","2018","","","en","other","","","","","","Power Point Presentatie","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:ea589e0b-077a-458f-9097-468e5f13d1e9","http://resolver.tudelft.nl/uuid:ea589e0b-077a-458f-9097-468e5f13d1e9","Preliminary analysis of ionosphere-corrected PPP-RTK user performance","Psychas, D.V. (TU Delft Mathematical Geodesy and Positioning; Fugro); Verhagen, S. (TU Delft Mathematical Geodesy and Positioning); Liu, Xianglin (Fugro Intersite B.V.)","","2019","","","en","poster","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:22f1006d-e4a0-494c-8149-fd9aece9e257","http://resolver.tudelft.nl/uuid:22f1006d-e4a0-494c-8149-fd9aece9e257","Assessment of vegetation modelling approaches in simulating suspended sediment transport in Delft3D","Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Bregolia, Francesco (IHE Delft Institute for Water Education; Radboud Universiteit Nijmegen); Calvani, Giulio (Swiss Federal Institute of Technology)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Water Resources","","",""
"uuid:558f21bf-428f-4bfe-9a85-e19e9949c2b6","http://resolver.tudelft.nl/uuid:558f21bf-428f-4bfe-9a85-e19e9949c2b6","Laminar boundary layers behind blast and detonation waves","Du, X.; Liu, W.S.; Glass, I.I.","","1982","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:79c9ab8e-23c1-4f2f-bf36-6848774e20e0","http://resolver.tudelft.nl/uuid:79c9ab8e-23c1-4f2f-bf36-6848774e20e0","The strength of overlap joints of rectangular hollow sections","Liu, D.K.; Wardenier, J.","","2005","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:f31bced7-02ab-4436-8fef-7ec1dfbea1e5","http://resolver.tudelft.nl/uuid:f31bced7-02ab-4436-8fef-7ec1dfbea1e5","Finite-difference solutions for nonequilibrium laminar boundary layers in ionizing-argon flows","Liu, W.S.","","1979","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:706914c8-c22c-4d43-8ac1-48774d2c97e6","http://resolver.tudelft.nl/uuid:706914c8-c22c-4d43-8ac1-48774d2c97e6","Bending flutter and torsional flutter of flexible hydrofoil struts","Besch, Peter K.; Liu, Yuan-Ning","","1973","","resistance & propulsion","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:6f6b4bec-512d-4e68-b169-f03dc5803d09","http://resolver.tudelft.nl/uuid:6f6b4bec-512d-4e68-b169-f03dc5803d09","A New Analytical Model of Load Calculation for Trough Idler Rolls Stress Discontinuity Model for Conveyor Idler Roll Load Calculation","Liu, X.","Lodewijks, G. (advisor)","2015","","belt conveyor; idler rolls; stress analysis; stress discontinuity","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:88aa88af-4198-47d1-8fd0-93b9d9609ec7","http://resolver.tudelft.nl/uuid:88aa88af-4198-47d1-8fd0-93b9d9609ec7","Bragg Reflection of Infragravity Waves by Sandbars","Liu, P.L.F.; Cho, Y.S.","TU Delft","1993","Using a multiple-scale perturbation method we derive a set of governing equations describing the transformation of long wave and short wave components in a wave group. These equations are derived from Boussinesq equations with the assumption that the length scale of wave group modulation is in the same order of magnitude as that of the bottom variation, and is much longer than the length scale for the carrier (short) waves. Therefore the reflection of carrier waves by the topographical variation is small and neglected. Numerical examples are presented to show that long waves associated with wave group can be reflected resonantly by a field of periodic sandbars.","reflection; infragravity waves; bragg reflaction; sandbars; wave group; wave reflection","en","report","University of Delaware","","","","","","","","","","","","",""
"uuid:329f015f-4f7b-4db9-a631-2b3e8df6d548","http://resolver.tudelft.nl/uuid:329f015f-4f7b-4db9-a631-2b3e8df6d548","Influence of initial geometric imperfections on nonlinear vibrations of thin circular cylindrical shells","Liu, D.K.; Arbocz, J.","","1985","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:26aee8ed-6461-4e8c-abc0-10af15b1ecfb","http://resolver.tudelft.nl/uuid:26aee8ed-6461-4e8c-abc0-10af15b1ecfb","Research into the static strength of multiplanar connections in rectangular hollow section: Part 1; Activities in The Numerical Research Programme","Liu, D.K.; Puthli, R.S.; Wardenier, J.","","1991","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:83ec8e95-e88f-4d8f-8669-85ee34dc10c8","http://resolver.tudelft.nl/uuid:83ec8e95-e88f-4d8f-8669-85ee34dc10c8","Numerical determination of the maximum strength of joints based on their stiffness behaviour (draft)","Liu, D.K.; Wardenier, J.; Puthli, R.S.","","1992","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:0132ce82-2de8-4b20-8ad3-8913ee66b829","http://resolver.tudelft.nl/uuid:0132ce82-2de8-4b20-8ad3-8913ee66b829","Hydroelastic design of subcavitating and cavitating hydrofoil strut systems","Besch, Peter K.; Liu, Yuan-Ning","","1974","","resistance & propulsion","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:885ea7a1-934d-4331-9f70-f68fc00e4bda","http://resolver.tudelft.nl/uuid:885ea7a1-934d-4331-9f70-f68fc00e4bda","New chord load functions for circular hollow section joints: Final Report (revised)","van der Vegte, G.J.; Liu, D.K.; Makino, Y.; Wardenier, J.","","2003","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:e2bdb34a-1f37-4d23-8447-4715156f89aa","http://resolver.tudelft.nl/uuid:e2bdb34a-1f37-4d23-8447-4715156f89aa","Effect of chord loads on the strength of RHS uniplanar gap K-joints: Draft Final Report","Wardenier, J.; van der Vegte, G.J.; Liu, D.K.","","2007","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:1e2e1a80-b8a3-418c-a4af-6911e3542d77","http://resolver.tudelft.nl/uuid:1e2e1a80-b8a3-418c-a4af-6911e3542d77","The strength of overlap joints based on chord failure: First Interim Report","Liu, D.K.; Wardenier, J.; Chiew, S.P.","","2003","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:c0f33966-9b23-4040-ae84-a80cb7e6fe9b","http://resolver.tudelft.nl/uuid:c0f33966-9b23-4040-ae84-a80cb7e6fe9b","Numerical analysis of offshore deck joints between H hot-rolled sections: Part 2: Preliminary FE Study","Puthli, R.S.; Liu, D.K.; Steenbergen, H.M.G.C.; Wardenier, J.","","1991","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:f57d72c3-24c2-45b1-b1a9-87664b207e9c","http://resolver.tudelft.nl/uuid:f57d72c3-24c2-45b1-b1a9-87664b207e9c","Numerical analysis of offshore deck joints between h hot rolled Sections: Part 1 : Background to the study, and the design of stiffened and unstiffened joints","Liu, D.K.; Steenbergen, H.M.G.M.; Puthli, R.S.; Wardenier, J.","","1991","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:41f86483-93e4-489c-b8c0-1b9731cc0282","http://resolver.tudelft.nl/uuid:41f86483-93e4-489c-b8c0-1b9731cc0282","Survey of and suggestions for experiments of nonlinear vibration of thin-walled cylindrical shells: Third report on the nonlinear vibration of imperfect thin-walled cylindrical shells","Liu, D.K.","","1985","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:5b2bf0e2-c811-4cd9-a94e-9a162a8e324d","http://resolver.tudelft.nl/uuid:5b2bf0e2-c811-4cd9-a94e-9a162a8e324d","2-D model test of Dolosse Breakwater","Burcharth, H.F.; Liu, Z.","TU Delft","1994","The rational design diagram for Dolos armour should incorporate both the hydraulic stability and the structural integrity. The previous tests performed by Aalborg University made available such design diagram for the trunk of Dolos breakwater without superstructures (Burcharth et al 1992). To extend the design diagram to cover Dolos breakwaters with superstructure, 2-D model tests of Dolos breakwater with wave wall were done. Furthermore, Task IA will give the design diagram for Tetrapod breakwaters without a superstructure .. The more complete research results on Dolosse can certainly give some insight into the behaviour of Tetrapods armour layer of the breakwaters with superstructure. The main part of the experiment was on the Dolos breakwater with a high superstructure, where there was almost no overtopping"" This case is believed to be the most dangerous one. The test of the Dolos breakwater with a low superstructure was also performed"". The objective of the last part of the experiment is to investigate the influence of the method of placing and packing the blocks on the hydraulic stability. The Dolosse were more carefully put on the slope and the hydraulic stability of such slope was compared with that of the more randomly packed slope. The whole experiment was carried out in the period of August - November 1993 The analysis on the hydraulic stability has been finished while the stresses analysis is under way.","breakwaters; dolos","en","report","Aalborg University","","","","","","","","","","","","Rubble mound breakwaters failure modes",""
"uuid:c3ef4c87-9c35-4d05-8877-a074c3f7fdbf","http://resolver.tudelft.nl/uuid:c3ef4c87-9c35-4d05-8877-a074c3f7fdbf","Space subdivision for indoor applications","Zlatanova, S.; Liu, L.; Sithole, G.; Zhao, J.; Mortari, F.","","2014","This report makes an overview of 2D, 2,5D and 3D approaches for indoor space subdivision for the purpose of indoor navigation. The report starts with a conceptual framework for indoor space utilisation. We introduce and elaborate on the concepts of indoor space (navigable and non-navigable), agent, activity, resource and modifier. Using these concepts, we investigate navigation cases and discuss information requirements for space subdivision. Two sections make review of 2D and 3D research for sub-spacing. We classify 2D and 3D approached in three groups with respect to the subdivision (partial or complete) and the type of navigation (grid or graph), and provide analysis of the approaches against the user requirements for indoor navigation. Section 6 concludes on challenges and future developments. This research was supported by a grant (11 High-tech G11) from Architecture & Urban Development Research Program funded by Ministry of Land, Infrastructure and Transport of Korean government.","","en","report","Delft University of Technology, OTB Research Institute for the Built Environment","","","","","","","","Architecture and The Built Environment","OTB","","","",""
"uuid:2a19cf01-d8f8-4d17-b29b-08821a01311b","http://resolver.tudelft.nl/uuid:2a19cf01-d8f8-4d17-b29b-08821a01311b","Stress concentration factor for the Nedwind-50 rotor blade","Liu, D.K.; van Leeuwen, J.L.; van Delft, D.R.V.","","1993","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:c0daeffb-c880-4d9e-82dc-61ba03dd0f1c","http://resolver.tudelft.nl/uuid:c0daeffb-c880-4d9e-82dc-61ba03dd0f1c","Damped nonlinear vibrations of imperfect thin-walled cylindrical shells","Liu, D.K.; Arbocz, J.","","1986","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:138088c4-e6d3-4bf5-bc9a-aaa499012689","http://resolver.tudelft.nl/uuid:138088c4-e6d3-4bf5-bc9a-aaa499012689","Case study report on grain transportation of WFO project in Mongla port","Quan, N.T.; Sarkar, S.; Ma, Y.; Zhao, Y.; Liu, Z.; Lu, Z.","","2000","","","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:9dc298a0-db63-4c08-bc17-5038dc263cf0","http://resolver.tudelft.nl/uuid:9dc298a0-db63-4c08-bc17-5038dc263cf0","On applications of Diana and Marg in the problems of strength of hollow section joints","Liu, K.; Noordhoek, C.; van Delft, D.R.V.","","1991","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:0dddba81-7393-47a2-9268-4e08e5c15b47","http://resolver.tudelft.nl/uuid:0dddba81-7393-47a2-9268-4e08e5c15b47","Coupled interactions of shock-wave structure with laminar boundary layer in ionizing-argon shock-tube flows","Takayama, K.; Liu, W.S.","","1979","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:98c13080-82d5-4b90-a83a-b828ac944789","http://resolver.tudelft.nl/uuid:98c13080-82d5-4b90-a83a-b828ac944789","An analysis of shock structure and nonequilibrium laminar boundary layers induced by a normal shock wave in a ionized argon flow","Liu, W.S.","","1975","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:5d585bd8-0092-4ef5-ae71-13b9d0885c5e","http://resolver.tudelft.nl/uuid:5d585bd8-0092-4ef5-ae71-13b9d0885c5e","The strength of mul tiplanar kk-joints of square hollow sections: Draft final report","Liu, D.K; Wardenier, J.","","2002","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:80d74f44-df9a-444b-a22f-d48e6d4c85d4","http://resolver.tudelft.nl/uuid:80d74f44-df9a-444b-a22f-d48e6d4c85d4","Design of a plant producing 500,000 tones/annum synthetic oil products from natural gas, using Fischer-Tropsch technology","Bai, B.; Hu, J.; Liu, N.; Jiao, Y.; Wang, Z.","","2004","Document(en) uit de collectie Chemische Procestechnologie","Fischer-Tropsch synthesis; hydrocracking; syngas production; combined autothermal reforming; natural gas","en","report","Delft University of Technology","","","","","","","2014-01-23","Applied Sciences","DelftChemTech","","","",""
"uuid:ca7af086-de2d-49ec-95a8-8e6f66429f89","http://resolver.tudelft.nl/uuid:ca7af086-de2d-49ec-95a8-8e6f66429f89","Flutter and divergance characteristics of four low mass ratio hydrofoils","Besch, Peter K.; Liu, Yuan-Ning","","1971","","resistance & propulsion","","report","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ecd000c0-4370-4ed6-94d5-34a99d9a8291","http://resolver.tudelft.nl/uuid:ecd000c0-4370-4ed6-94d5-34a99d9a8291","The nonlinear frequency-amplitude equations of imperfect stiffened cylindrical shells","Liu, D.K.; Arbocz, J.","","1986","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:14cbe81b-ca69-4005-82d7-ba73f80dca94","http://resolver.tudelft.nl/uuid:14cbe81b-ca69-4005-82d7-ba73f80dca94","Numerical analysis of offshore deck joints between H hotrolled sections: Final Report","Liu, D.K.; Puthli, R.S.; Steenbergen, H.M.G.C.; Wardenier, J.","","1992","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:52ccbec6-9ea9-45df-a25f-598909d36d49","http://resolver.tudelft.nl/uuid:52ccbec6-9ea9-45df-a25f-598909d36d49","Rubble mound breakwater failure modes","Burcharth, H.F.; Liu, Z.","TU Delft","1995","The RMBFM-Project (Rubble Mound Breakwater Failure Modes) is sponsored by the Directorate General XII of the Commission of the European Communities under the Contract MAS-CT92- 0042, with the objective of contributing to the development of rational methods for the design of rubble mound breakwaters. 11 institutes from the European Union participate in the project. The paper presents an overview of the project background, the research objective, the research methodology and the research results of the project. The outcome of the project is a large amount of formulae describing important failure modes, plus development of related partial coefficients which make it possible to design according to preselected reliability levels. Due to limited space only the major activities are described.","breakwater; failure mode","en","report","Aalborg University","","","","","","","","","","","","Rubble mound breakwaters failure modes",""
"uuid:769b12fd-fefe-438f-b35e-da584fbe4ea8","http://resolver.tudelft.nl/uuid:769b12fd-fefe-438f-b35e-da584fbe4ea8","Survey of chord load functions for hollow section joints: Third Inerim Report","Liu, D.K.; Wardenier, J.","","2002","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:a7c2a75e-9308-4e3b-9018-895575f5ff17","http://resolver.tudelft.nl/uuid:a7c2a75e-9308-4e3b-9018-895575f5ff17","Application of the Delft Numerical models in the Lower Yellow River","Liu, H.L.; Liang, G.T.; Wu, S.Q.; Ji, Z.W.","De Vriend, H.J. (contributor); Wang, Z.B. (contributor); Winterwerp, H. (contributor); Visser, P.J. (contributor); Sloff, C.J. (contributor)","2002","Because of heavy deposition and water shortage, the Yellow River faces the risk of flooding and no-flow since the middle of 1980s. The problem could be solved only by integrated water management. However, Dutch has a long history fighting the flood and gets a lot of experiences in water management, especially river ecosystem recovered after 1993 and 1995's flooding. It is now accepted that there is more to ensuring safety than continually making the dikes higher. It is helpful to learn the flood management practice in Netherlands. Based on the purvious points, the objectives of this study should primarily include following aspects: 1. Modeling methods of numerical model, focusing on a case study for lower Yellow River by use of the series numerical model developed by Delft Hydraulics, including flood forecasting and dredging. 2. Flood management practices in Netherlands, including flood defense measures, flood forecasting and warning system, compensate policy etc. It is a convenient way to study numerical methods by use of DelfBD model to calculate wandering reach. Running Delft3D model to simulate a flood process occurred in 1996 and comparing the calculated results with the measured data, we can easily know the advantage and disadvantage of the model. This is a base for a further cooperation too. In order to control flood hazard, dredging has become an important method. But, some problems, such as the recovery rate of dredged channel, have to be solved before the large scale of application. These problems will be studied by Sobek(Delft ID model) running combined with experimental data and the part field data analysis.","Yellow river; mathematical models; China; flood control; Sobek","en","report","Delft Cluster","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:54a806c4-97a6-4d53-8384-873528b52c58","http://resolver.tudelft.nl/uuid:54a806c4-97a6-4d53-8384-873528b52c58","Influence of initial geometric imperfections on undamped vibrations of thin circular cylindrical shells","Liu, D.K.; Arbocz, J.","","1986","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:c7743762-b8b3-489b-b37e-29b4f4709b7d","http://resolver.tudelft.nl/uuid:c7743762-b8b3-489b-b37e-29b4f4709b7d","The development of design methods for the cost-effective applications of Multiplanar joints: Phase 2: Statie strength of multiplanar connections in rectangular hollow sections Final Report, Part 1, Experimental and numerical investigation on the stati.c strength of multiplanar welded DX- and X-joints in RHS","de Koning, C.H.M.; Liu, D.K.; Puthli, R.S.; Wardenier, J.","","1992","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:de39cf18-4951-48fb-8b34-2b0f33a3a929","http://resolver.tudelft.nl/uuid:de39cf18-4951-48fb-8b34-2b0f33a3a929","Multiplanar KK-joint of square hollow sections","Liu, D.K.; Wardenier, J.","","1999","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:debd3fbf-bddf-463c-afb0-a1cf191a3657","http://resolver.tudelft.nl/uuid:debd3fbf-bddf-463c-afb0-a1cf191a3657","The developement of design methods for the cost-effective applications of multiplanar joints: Phase 2: Static Strength of Multiplanar Connections of Rectangular Hollow Sections Final Report, Part 2 Numerical investigation of the static strength of multiplanar welded T-Joints in R.H.S.","Yu, Y.; Liu, D.K.; Puthli, R.S.; Wardenier, J.","","1992","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:8d5647f5-2dc9-44ed-a5c5-68b7d2619d29","http://resolver.tudelft.nl/uuid:8d5647f5-2dc9-44ed-a5c5-68b7d2619d29","On proper use of material properties in solution of strength of steel structures","Liu, K.; Noordhoek, C.; van Delft, D.R.V.; van de Vegte, G.J.","","1990","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:17f5dad4-2ce3-4889-9604-e79410a0d42f","http://resolver.tudelft.nl/uuid:17f5dad4-2ce3-4889-9604-e79410a0d42f","Design formula for tetrapod breakage","Burcharth, H.F.; Liu, Z.; Jenssen, M.S.; Van der Meer, J.W.; D' Angremond, K.","TU Delft","1995","The paper presents a design formula for Tetrapod armour on a 1:1.5 slope exposed to head-on random wave attack The formula predicts the relative number of broken Tetrapods as function of: the mass of the Tetrapods, the concrete tensile strength and the wave height in front of the structure. Thus, the formula addresses the observed problem of ensuring structural integrity of the slender types of non-reinforced armour units. The formula is based on results from small scale model tests with load-cell instrumented Tetrapods in which both the static, the quasi-static and the impact proportions of the loads were recorded.. The analysis follow the methods given in Burcharth (1993) and Burcharth et al .. (1994) ..","breakwaters; tetrapod; breakage","en","report","Aalborg University","","","","","","","","","","","","Rubble mound breakwaters failure modes",""
"uuid:99408eab-8e9e-4bff-bda7-3a2f9c6d53e6","http://resolver.tudelft.nl/uuid:99408eab-8e9e-4bff-bda7-3a2f9c6d53e6","Elastic shear analysis of rotor blade","Liu, D.K.","","1993","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:bb323c23-7ce4-488f-9b04-22478e438791","http://resolver.tudelft.nl/uuid:bb323c23-7ce4-488f-9b04-22478e438791","A study of washout filters for a simulator motion base","Liu, Z.-Q.","","1983","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:f0f67b79-3ae9-43b8-8492-406f69aa9c07","http://resolver.tudelft.nl/uuid:f0f67b79-3ae9-43b8-8492-406f69aa9c07","Stability analysis of nonlinear vibrations of imperfect thin-walled cylinders","Liu, D.K.; Arbocz, J.","","1986","","","en","report","Delft University of Technology","","","","","","","","Aerospace Engineering","","","","",""
"uuid:5a3b7cce-1fc1-4fde-b29f-27c292b13b54","http://resolver.tudelft.nl/uuid:5a3b7cce-1fc1-4fde-b29f-27c292b13b54","Multiplanar overlap kk-joint of square hollow sections: Third Interim Report","Liu, D.K.; Wardenier, J.","","2001","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:ed8f4ba4-493d-49ff-b7ca-961793977fef","http://resolver.tudelft.nl/uuid:ed8f4ba4-493d-49ff-b7ca-961793977fef","Stress concentration factor for the Nedwind-40 rotor blade","Liu, D.K.; van leeuwen, J.L.; van Delft, D.R.V.","","1993","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:a8159fd7-938b-4025-b1e0-b391c8bc10b0","http://resolver.tudelft.nl/uuid:a8159fd7-938b-4025-b1e0-b391c8bc10b0","Non-linear dynamic simulation of jack-up platform models","Liu, Ping","","1989","","offshore","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:f6b92c6d-a6c0-4616-b9a6-fd39b88aefcc","http://resolver.tudelft.nl/uuid:f6b92c6d-a6c0-4616-b9a6-fd39b88aefcc","3D indoor ""door-to-door"" navigation approach to support first responders in emergency response: PhD Research Proposal","Liu, L.","","2011","","","en","report","Delft University of Technology, OTB Research Institute for Housing, Urban and Mobility Studies, Section GIS technology","","","","","","","","OTB Research Institute for the Built Environment","OTB Research","","","",""
"uuid:6294796e-d5cd-44cc-90ad-563110f1b946","http://resolver.tudelft.nl/uuid:6294796e-d5cd-44cc-90ad-563110f1b946","Integral method of solution for compressible laminar boundary layers and applications","Liu, W.S.","","1975","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:dfc4ac31-eb03-45b7-b2da-8dd5d917e242","http://resolver.tudelft.nl/uuid:dfc4ac31-eb03-45b7-b2da-8dd5d917e242","Multiplanar overlap kk-joint of square hollow sections: Second Interim Report","Liu, D.K.; Wardenier, J.","","2000","","Stevin Laboratorium","en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:43bdfb8e-f834-4cc5-a4a3-6ef23909adf4","http://resolver.tudelft.nl/uuid:43bdfb8e-f834-4cc5-a4a3-6ef23909adf4","Fundamentals, implementations and experimental benchmarks of nD-polytype queries on point cloud data sets","Liu, H. (TU Delft GIS Technologie); Thompson, R.J. (TU Delft GIS Technologie); van Oosterom, P.J.M. (TU Delft GIS Technologie); Meijers, B.M. (TU Delft GIS Technologie)","","2021","As an extension to 2D polygonal queries, the nD-polytope queries on point clouds also play a crucial rolein nD GIS applications such as the perspective view selection. This report rst denes the nD-polytopemathematically, and then develops an ecient nD-polytope querying solution by extending an index-organized table (IOT) approach. The solution integrates four novel intersection algorithms includingCPLEX, SWEEP, SPHERE and VERTEX, each of which can be used to realize the primary lteringfor polytope querying. The performance of these algorithms is then measured and compared using anrepresentative nD-simplex and an nD-prism query region, respectively. It turns out that SWEEP performsthe best over all, but it may degrade signicantly as dimensionality goes up. On the other hand, thelinear programming algorithm CPLEX although takes more time on intersection computation, performsmore stable. Besides, the experiments also reveal that the properties of a same geometry can changesignicantly across dierent dimensionality, and thus optimal strategies developed in 2D/3D may not beapplicable in high dimensional spaces.","","en","report","","978-90-77029-46-6978-90-77029-46-6","","","","","","","","","GIS Technologie","","",""
"uuid:e9289d5c-490d-4b67-8543-b831ebb230b7","http://resolver.tudelft.nl/uuid:e9289d5c-490d-4b67-8543-b831ebb230b7","Landscape Approach South-Florida: Landscape architecture explorations in Miami, Biscayne National Park, Lake Okeechobee & Everglades City through seven MSc-graduation projects","Huang, Cai (Student TU Delft); Wang, Yilin (Student TU Delft); Zhang, Xudong; Seminario Thulin, Andres (Student TU Delft); van Driel, Iris (Student TU Delft); Liu, Danyan (Student TU Delft); Droge, Jean Pierre (Student TU Delft)","Nijhuis, S. (editor)","2019","The coastal landscape of South Florida faces many challenges connected to climate change and urbanization. Sea level rise, loss of ecosystems, land subsidence, aquifer depletion are some of the issues that need serious attention. Landscape Approach Florida is showcasing results of a Landscape Architecture Design Lab where seven MSc-graduates explored the possibilities of landscape-based approaches to address the challenges from an integral and multi-scale spatial design perspective. Students employed landscape-based systemic strategies and design interventions that facilitate the ecological restoration of wetlands, provide flood protection, and increase urban resilience through green-blue infrastructures.","Landscape architecture; Flowscapes; Miami; research-by-design; Graduation studio; Florida; Adaptive design; Resilience and robustness; Landscape-based approach","en","report","TU Deflt","","","","","","","","","","Landscape Architecture","","",""
"uuid:bab4d63e-063c-41f8-8985-c864ff74668e","http://resolver.tudelft.nl/uuid:bab4d63e-063c-41f8-8985-c864ff74668e","Designing Coastal Interfaces: Landscape architecture explorations in the Pearl River Delta, the Haringvliet and Pekalongan City through six MSc-graduation projects","van Eeden, E.A.M. (Student TU Delft); Gao, Y. (Student TU Delft); Liu, Y. (Student TU Delft); Sun, Y. (Student TU Delft); Qi, K. (Student TU Delft); Zheng, Y. (Student TU Delft)","Nijhuis, S. (editor)","2021","This graduation studio explores the potential of a landscape-based regional design approach to contribute to more resilient coastal landscapes around the globe. Such an approach addresses the interaction between the natural and urban landscape throughout the scales of space and time. It takes the landscape as the basis for sustainable urban development and employs research through design as a strategy to explore the possibilities of landscape architecture principles for water-sensitive design, nature-based solutions, heritage protection, and socio-ecological inclusive development. This landscape approach is transdisciplinary in nature and exploits the power of design to address the complex challenges of our times while connecting long-term strategies and short-term interventions. The projects presented here showcase the wide range of possibilities of the landscape-approach in nature conservation, reduce flood risks, promote sustainable urban transformation and achieve a symbiosis between nature and culture.","Resilient Coastal Landscapes; Landscape Architecture; Design; Landscape Strategy & Design; Adaptive design","en","report","","","","","","","","","","","Landscape Architecture","","",""
"uuid:949d1e33-0dc6-4617-a50b-90a83ecf6025","http://resolver.tudelft.nl/uuid:949d1e33-0dc6-4617-a50b-90a83ecf6025","Numerical analysis of offshore deck joints between H hot rolled sections: Part 3: Finite Element Analyses on Joint Types 2, 3 and 4 in Steel Grade Fe E 355 and Fe E 460","Steenbergen, H.M.G.M; Liu, D.K.; Puthli, R.S.; Wardenier, J.","","1991","","Stevin Laboratorium","; en","report","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:eff7ab47-64cc-443b-9671-a639907d4a57","http://resolver.tudelft.nl/uuid:eff7ab47-64cc-443b-9671-a639907d4a57","State-of-the-Art Review of Ground Penetrating Radar (GPR) Applications for Railway Ballast Inspection","Wang, Shilei (China Academy of Railway Sciences); Liu, Guixian (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Feng, Qiankuan (China Academy of Railway Sciences); Liu, Hengbai (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2022","In the past 20 years, many studies have been performed on ballast layer inspection and condition evaluation with ground penetrating radar (GPR). GPR is a non-destructive means that can reflect the ballast layer condition (fouling, moisture) by analysing the received signal variation. Even though GPR detection/inspection for ballast layers has become mature, some challenges still need to be stressed and solved, e.g., GPR indicator (for reflecting fouling level) development, quantitative evaluation for ballast fouling levels under diverse field conditions, rapid GPR inspection, and combining analysis of GPR results with other data (e.g., track stiffness, rail acceleration, etc.). Therefore, this paper summarised earlier studies on GPR application for ballast layer condition evaluation. How the GPR was used in the earlier studies was classified and discussed. In addition, how to correlate GPR results with ballast fouling level was also examined. Based on the summary, future developments can be seen, which is helpful for supplementing standards of ballast layer evaluation and maintenance.","ground penetrating radar; GPR; railway ballast; track inspection; ballast fouling; track geometry","en","review","","","","","","","","","","","Railway Engineering","","",""
"uuid:76e2a3f0-f7bb-4924-be32-e118825eb011","http://resolver.tudelft.nl/uuid:76e2a3f0-f7bb-4924-be32-e118825eb011","A review of public transport transfer coordination at the tactical planning phase","Liu, Tao (Southwest Jiaotong University; The Hong Kong Polytechnic University); Cats, O. (TU Delft Transport and Planning); Gkiotsalitis, Konstantinos (University of Twente)","","2021","While transferring between public transport services has a negative impact on the level-of-service, it is an inevitable feature of public transport networks. Transfer coordination can help reduce passenger transfer waiting times and improve service connectivity. In this paper, we systematically review the literature on transfer coordination design in public transport systems. First, four solution approaches for solving the transfer coordination design problem (TCDP) are identified and reviewed in detail, namely heuristic rule-based, analytical modelling, mathematical programming, and simulation. We then identify and review three extensions of the TCDP, i.e., considering first or last train transfer optimization, integrating vehicle scheduling, and incorporating passenger demand assignment. Finally, following the synthesis of the literature, some promising future research directions are outlined. This paper provides comprehensive insights on how to better design coordinated transfers to provide a seamless travel experience and improve the service connectivity of public transport networks.","Connectivity; Public transport; Synchronization; Timetable; Transfer coordination; Transfer optimization","en","review","","","","","","","","","","","Transport and Planning","","",""
"uuid:d2f8a391-a926-42ec-9d22-9dc481f6ca63","http://resolver.tudelft.nl/uuid:d2f8a391-a926-42ec-9d22-9dc481f6ca63","Introductory Guide to Assembling and Operating Gas Diffusion Electrodes for Electrochemical CO 2 Reduction","Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Burdyny, Thomas","","2019","","","en","review","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:74369148-d007-436b-b875-095cea79fa24","http://resolver.tudelft.nl/uuid:74369148-d007-436b-b875-095cea79fa24","Molecular dynamics simulation on bulk bitumen systems and its potential connections to macroscale performance: Review and discussion","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2022","Molecular dynamics (MD) simulation plays an effective role in predicting the critical properties and explaining the macroscale phenomenon at the nanoscale. This review summarized the application cases of MD simulations in various bitumen systems, considering aging, modification, and rejuvenation factors. Meanwhile, the potential relationships between the nanoscale parameters predicted from MD simulations and macroscale properties measured from experimental tests were discussed for the first time. Different molecular models of virgin bitumen, commonly-used Forcefields, and validation parameters for MD simulations on bituminous materials were summarized. Based on the reactive MD simulation outputs, the oxidative aging reaction path at the atomic scale of bitumen molecules was reviewed. Furthermore, the influence of aging (short-term and long-term), modification (polymers, fillers, and bio-bitumen), and rejuvenation (various rejuvenators) on the molecular-scale properties of virgin bitumen models would be evaluated through MD simulations. This review could help us further explore the main functions of MD simulations in different bulk bitumen systems and build an integral multi-scale research method from the molecular design and performance prediction to material optimization and synthesis of bituminous materials without lots of experimental attempts.","Bitumen; Molecular dynamics simulation; Aging; Modification; Rejuvenation; Multiscale evaluation methods","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a4d0a62d-9ebc-48bb-a841-a2da23ae0fd6","http://resolver.tudelft.nl/uuid:a4d0a62d-9ebc-48bb-a841-a2da23ae0fd6","Review on the diffusive and interfacial performance of bituminous materials: From a perspective of molecular dynamics simulation","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2022","The cohesive and adhesive performances of bituminous materials significantly affect the service life of asphalt pavement. The molecular dynamics (MD) simulation method has been proved as an effective tool to predict the thermodynamics parameters of different multisubstance and multi-phase bitumen models during different diffusion, self-healing, and interfacial interaction processes. This paper aims to comprehensively review the application cases of MD simulations on dynamic and interfacial bitumen systems. The diffusion behaviors of oxygen, moisture, and rejuvenator molecules in the bitumen matrix could be illustrated from MD simulations considering the influence of temperature, pressure, and humidity. Moreover, molecular mobility and distribution of bitumen molecules on the aggregate surface remarkably influenced the interfacial bonding level and moisture sensitivity. In addition, the molecularscale mechanism and evaluation indices for the self-healing potential of bitumen models were reviewed. Further, the representative bitumen-(moisture)-aggregate interfacial models, the corresponding evaluation parameters, and influence factors for the adhesive bonding strength in MD simulations were overviewed. Besides, the effects of bitumen components, aggregate type, moisture invasion, temperature variation, and pull-off tension rate on the adhesion performance of bitumen-aggregate models were summarized and discussed. This review can help us fundamentally understand the dynamic diffusion, self-healing behaviors, and interfacial characteristics of bitumen models at the atomic level and develop more potential functions of MD simulations in addressing the scientific issues of sustainable bituminous materials.","Sustainable bituminous materials; Molecular dynamics simulation; Dynamic diffusion behavior; Self-healing mechanism; Interfacial bonding performance","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","http://resolver.tudelft.nl/uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","Adopting BIM to Facilitate Dispute Management in the Construction Industry: A Conceptual Framework Development","Wang, Jinpeng (The University of Manchester); Zhang, Shang (Suzhou University of Science and Technology); Fenn, Peter (The University of Manchester); Luo, Xiaowei (City University of Hong Kong); Liu, Y. (TU Delft Integral Design & Management; Nanjing University); Zhao, Lilin (Loughborough University)","","2023","Previous studies revealed that Building Information Modeling (BIM) has the potential to reduce project uncertainties, design errors, change orders, and delays, which might facilitate achieving effective dispute management in the construction industry. However, research into the adoption of BIM to holistically enhance effective dispute management is limited compared with the plentiful BIM research in the construction management field. This study explored whether and how BIM adoption can help minimize the chronic problem of dispute in the industry. A structured critical literature review method was employed in this study which involved 102 papers in the fields of BIM and construction disputes. Nine main common causes of disputes (e.g., change order, design error, site problem, contractual problem, payment problem, and delay) and eight primary benefits of BIM application (e.g., improved visual management, design optimization, improved information management, and enhanced collaboration) were identified. A conceptual framework was developed illustrating the mechanism of adopting BIM to facilitate dispute management in the overall life cycle of construction projects. The framework indicates that design error, delay, and change order can be reduced most significantly by most of the BIM benefits, whereas improved visual management, improved information management, and enhanced collaboration are three of the most frequently adopted BIM benefits that can settle the majority of dispute causes. This study contributes to dispute management with a more holistic view of adopting BIM in the life cycle of construction projects, as illustrated in the conceptual framework. In addition, the identified common causes of disputes and primary benefits of BIM application are valuable for on future research in these two areas.","BIM benefits; Building Information Modeling (BIM); Conceptual framework; Dispute causes; Literature review","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:e0082e01-f28f-45f8-9508-bbd4c9babb81","http://resolver.tudelft.nl/uuid:e0082e01-f28f-45f8-9508-bbd4c9babb81","State of the art review of the environmental assessment and risks of underground geo-energy resources exploitation","Liu, Wen (Universiteit Utrecht); Ramirez, Andrea (TU Delft Energie and Industrie; Universiteit Utrecht)","","2017","Geo-resources play an increasing significant role in achieving a sustainable energy future. However, their exploitation is not free of environmental impacts. This paper aims to identify the lessons and knowledge gaps on understanding of the sources, mechanisms and scope of environmental consequences of underground geo-energy resources exploitation. The paper examines four underground exploitation activities: CO2 geological storage, exploitation of shale gas, geothermal power and compressed air energy storage (CAES). Selected studies carrying out life cycle assessment (LCA) and environmental risk assessment (ERA) are structurally reviewed by applying a six steps method. Our finding indicates that global warming potential is the major focus of examined LCA studies with relatively less attention on other impacts. Environmental impacts at the local level are less evaluated except water use for shale gas and geothermal power. Environmental impacts of exploitation with storage purposes are relatively low. For energy supply associated exploitation, the impacts largely depend on the types of underground activities and the exploited energy carriers. In the ERA studies, likelihood of a hazard occurrence is the focus of the probability assessment. There is limited information on the pathways and transport of hazard agents in the subsurface and on the relation between hazard exposure and the impacts. The leakage of the storing agents is the well-identified hazard for storage associated exploitation, while the migration of fluids and exploited energy carriers are the ones for exploitation with energy supply purposes. In general, understanding of environmental risks of soil contamination are limited. Very few number of ERA studies are available for assessing a CAES. Our research points out the need for developing a framework which allows the integration between LCA and ERA in subsurface environmental management.","CO geological storage; Compressed air energy storage; Environmental impacts and risks; Geo-resource exploitation; Geothermal power; Shale gas","en","review","","","","","","","","","","","Energie and Industrie","","",""
"uuid:bba98fc4-8ec5-4c88-b698-6d98b9b2e29a","http://resolver.tudelft.nl/uuid:bba98fc4-8ec5-4c88-b698-6d98b9b2e29a","Radar Remote Sensing of Agricultural Canopies: A Review","Steele-Dunne, S.C. (TU Delft Water Resources); McNairn, Heather (Science and Technology Branch); Monsivais-Huertero, Alejandro (National Polytechnic Institute); Judge, Jasmeet (University of Florida); Liu, Pang Wei (University of Florida); Papathanassiou, Kostas (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2017","Observations from spaceborne radar contain considerable information about vegetation dynamics.The ability to extract this information could lead to improved soil moisture retrievals and the increased capacity to monitor vegetation phenology and water stress using radar data.The purpose of this review paper is to provide an overview of the current state of knowledge with respect to backscatter from vegetated (agricultural) landscapes and to identify opportunities and challenges in this domain.Much of our understanding of vegetation backscatter from agricultural canopies stems from SAR studies to perform field-scale classification and monitoring.Hence, SAR applications, theory, and applications are considered here too.An overview will be provided of the knowledge generated from ground-based and airborne experimental campaigns that contributed to the development of crop classification, crop monitoring, and soil moisture monitoring applications.A description of the current vegetation modeling approaches will be given.A review of current applications of spaceborne radar will be used to illustrate the current state of the art in terms of data utilization.Finally, emerging applications, opportunities and challenges will be identified and discussed.Improved representation of vegetation phenology and water dynamics will be identified as essential to improve soil moisture retrievals, crop monitoring, and for the development of emerging drought/water stress applications.","Agriculture; airborne radar; scattering; spaceborne radar; synthetic aperture radar; vegetation","en","review","","","","","","","","","","","Water Resources","","",""
"uuid:f7b3666c-67e4-4aeb-b33c-d67cb4f3c582","http://resolver.tudelft.nl/uuid:f7b3666c-67e4-4aeb-b33c-d67cb4f3c582","The Ozone Monitoring Instrument: Overview of 14 years in space","Levelt, Pieternel Felicitas (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Joiner, Joanna (NASA Goddard Space Flight Center); Tamminen, Johanna (Finnish Meteorological Institute (FMI)); Veefkind, j. Pepijn (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Bhartia, Pawan K. (NASA Goddard Space Flight Center); Zweers, Deborah C.Stein (Royal Netherlands Meteorological Institute (KNMI)); Duncan, Bryan N. (NASA Goddard Space Flight Center); Streets, David G. (Argonne National Laboratory); Eskes, Henk (Royal Netherlands Meteorological Institute (KNMI)); Van Der, Ronald A. (Royal Netherlands Meteorological Institute (KNMI)); McLinden, Chris (Environment Canada); Fioletov, Vitali (Environment Canada); Carn, Simon (Michigan Technological University); De Laat, Jos (Royal Netherlands Meteorological Institute (KNMI)); Deland, Matthew (Science Systems and Applications Inc.); Marchenko, Sergey (Science Systems and Applications Inc.); McPeters, Richard (NASA Goddard Space Flight Center); Ziemke, Jerald (NASA Goddard Space Flight Center; Morgan State University); Fu, Dejian (California Institute of Technology); Liu, Xiong (Harvard-Smithsonian Center for Astrophysics); Pickering, Kenneth (NASA Goddard Space Flight Center; University of Maryland); Apituley, Arnoud (Royal Netherlands Meteorological Institute (KNMI)); Abad, Gonzalo González (Harvard-Smithsonian Center for Astrophysics); Arola, Antti (Finnish Meteorological Institute (FMI)); Boersma, Folkert (Royal Netherlands Meteorological Institute (KNMI); Wageningen University & Research); Miller, Christopher Chan (Harvard-Smithsonian Center for Astrophysics); Chance, Kelly (Harvard-Smithsonian Center for Astrophysics); de Graaf, M. (Royal Netherlands Meteorological Institute (KNMI)); Hakkarainen, Janne (Finnish Meteorological Institute (FMI)); Hassinen, Seppo (Finnish Meteorological Institute (FMI)); Ialongo, Iolanda (Finnish Meteorological Institute (FMI)); Kleipool, Quintus (Royal Netherlands Meteorological Institute (KNMI)); Krotkov, Nickolay (NASA Goddard Space Flight Center); Li, Can (University of Maryland); Lamsal, Lok (Universities Space Research Association); Newman, Paul (NASA Goddard Space Flight Center); Nowlan, Caroline (Harvard-Smithsonian Center for Astrophysics); Suleiman, Raid (Harvard-Smithsonian Center for Astrophysics); Tilstra, Lieuwe Gijsbert (Royal Netherlands Meteorological Institute (KNMI)); Torres, Omar (NASA Goddard Space Flight Center); Wang, Huiqun (Harvard-Smithsonian Center for Astrophysics); Wargan, Krzysztof (NASA Goddard Space Flight Center; Science Systems and Applications Inc.)","","2018","This overview paper highlights the successes of the Ozone Monitoring Instrument (OMI) on board the Aura satellite spanning a period of nearly 14 years. Data from OMI has been used in a wide range of applications and research resulting in many new findings. Due to its unprecedented spatial resolution, in combination with daily global coverage, OMI plays a unique role in measuring trace gases important for the ozone layer, air quality, and climate change. With the operational very fast delivery (VFD; direct readout) and near real-time (NRT) availability of the data, OMI also plays an important role in the development of operational services in the atmospheric chemistry domain.","","en","review","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:49b50675-f262-4ada-8626-ca32d9a04b36","http://resolver.tudelft.nl/uuid:49b50675-f262-4ada-8626-ca32d9a04b36","Physical water scarcity metrics for monitoring progress towards SDG target 6.4: An evaluation of indicator 6.4.2 “Level of water stress”","Vanham, D. (European Commission); Hoekstra, A (University of Twente; National University of Singapore); Wada, Y (International Institute for Applied Systems Analysis, Laxenburg; Universiteit Utrecht); Bouraoui, F. (European Commission); de Roo, A. (European Commission); Mekonnen, M. M. (University of Nebraska–Lincoln); van de Bund, W. J. (European Commission); Batelaan, O. (Flinders University of South Australia); Pavelic, P. (International Water Management Institute); Bastiaanssen, W.G.M. (TU Delft Water Resources; IHE Delft Institute for Water Education); Kummu, M (Aalto University); Rockström, J. (Stockholm University); Liu, J. (International Institute for Applied Systems Analysis, Laxenburg; Southern University of Science and Technology); Bisselink, B. (European Commission); Ronco, P. (European Commission); Pistocchi, A. (European Commission); Bidoglio, G. (European Commission)","","2018","Target 6.4 of the recently adopted Sustainable Development Goals (SDGs) deals with the reduction of water scarcity. To monitor progress towards this target, two indicators are used: Indicator 6.4.1 measuring water use efficiency and 6.4.2 measuring the level of water stress (WS). This paper aims to identify whether the currently proposed indicator 6.4.2 considers the different elements that need to be accounted for in a WS indicator. WS indicators compare water use with water availability. We identify seven essential elements: 1) both gross and net water abstraction (or withdrawal) provide important information to understand WS; 2) WS indicators need to incorporate environmental flow requirements (EFR); 3) temporal and 4) spatial disaggregation is required in a WS assessment; 5) both renewable surface water and groundwater resources, including their interaction, need to be accounted for as renewable water availability; 6) alternative available water resources need to be accounted for as well, like fossil groundwater and desalinated water; 7) WS indicators need to account for water storage in reservoirs, water recycling and managed aquifer recharge. Indicator 6.4.2 considers many of these elements, but there is need for improvement. It is recommended that WS is measured based on net abstraction as well, in addition to currently only measuring WS based on gross abstraction. It does incorporate EFR. Temporal and spatial disaggregation is indeed defined as a goal in more advanced monitoring levels, in which it is also called for a differentiation between surface and groundwater resources. However, regarding element 6 and 7 there are some shortcomings for which we provide recommendations. In addition, indicator 6.4.2 is only one indicator, which monitors blue WS, but does not give information on green or green-blue water scarcity or on water quality. Within the SDG indicator framework, some of these topics are covered with other indicators.","","en","review","","","","","","","","","","","Water Resources","","",""
"uuid:903d20c1-49e0-47e3-b0fe-819efb241816","http://resolver.tudelft.nl/uuid:903d20c1-49e0-47e3-b0fe-819efb241816","Feature issue introduction: Light, energy and the environment, 2017","Liu, Jianguo (Chinese Academy of Sciences); Beard, Matt (National Renewable Energy Laboratory); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Tang, Jianxin (Soochow University)","","2018","The editors introduce the feature issue on “Energy, Light and the Environment (LEE) 2017”, which is based on the topics presented at a congress of the same name held in Boulder, CO, US, from November 6 to November 9. This feature issue presents 13 papers selected from the voluntary submissions by attendees who presented at the progress and have extended their work into complete research articles. The feature issue highlights contributions from authors who presented their research at this congress.","","en","review","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:4beb8f41-497d-4865-9140-2535f0eeef48","http://resolver.tudelft.nl/uuid:4beb8f41-497d-4865-9140-2535f0eeef48","A systematic literature review on smart and personalized ventilation using CO2 concentration monitoring and control","Song, Ge (Hunan University); Ai, Zhengtao (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","Smart and personalized ventilation systems have been demonstrated with high performance in creating a healthy and energy-efficient indoor environment, but they have been rarely comprehensively summarized and explored in previous studies. With the progressive development of various terminal devices and control technologies, personalized ventilation based on intelligent control is potentially a promising way to achieve efficient control and energy savings in human micro-environments. This study comprehensively summarizes and analyzes the recent studies and common utilization forms of smart ventilation and PV systems that are based on CO2 concentration control, to pave path and provide some guidelines for their integration application for reducing energy consumption and improving indoor thermal comfort. Research shows that the combination of personalized ventilation and smart ventilation is an essential development for ventilation systems. Smart ventilation with demand control logic based on CO2 concentration has been mature enough to effectively improve the effectiveness and comfortable performance of personalized ventilation. However, switching from traditional air conditioning systems to personalized ventilation still requires improved sensors and intelligent control algorithms. In addition, this paper also summarizes the exploratory studies and potential application analysis of machine-learning theories to improve intelligent control of personalized ventilation. To this end, this paper identifies future tendencies for advanced theories, integrated systems, and devices in personalized ventilation systems.","CO concentration; Energy saving; Personalized ventilation; Smart control; Thermal comfort","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:db8885a2-7919-4676-9117-d270d5ea23a8","http://resolver.tudelft.nl/uuid:db8885a2-7919-4676-9117-d270d5ea23a8","Review of strain rate effects of fiber-reinforced polymer composites","Ma, Lulu (Lamar University); Liu, Feng (Nanjing Changjiang Waterway Engineering Bureau, Nanjing); Liu, D. (ZWSOFT Co., Guangzhou); Liu, Y. (TU Delft Applied Mechanics)","","2021","The application of fiber-reinforced polymer (FRP) composites is gaining increasing popu-larity in impact-resistant devices, automotives, biomedical devices and aircraft structures due to their high strength-to-weight ratios and their potential for impact energy absorption. Impact-induced high loading rates can result in significant changes of mechanical properties (e.g., elastic modulus and strength) before strain softening occurs and failure characteristics inside the strain localization zone (e.g., failure mechanisms and fracture energy) for fiber-reinforced polymer composites. In general, these phenomena are called the strain rate effects. The underlying mechanisms of the observed rate-dependent deformation and failure of composites take place among multiple length and time scales. The contributing mechanisms can be roughly classified as: the viscosity of composite constituents (polymer, fiber and interfaces), the rate-dependency of the fracture mechanisms, the inertia effects, the thermomechanical dissipation and the characteristic fracture time. Numerical models, including the viscosity type of constitutive models, rate-dependent cohesive zone models, enriched equation of motion and thermomechanical numerical models, are useful for a better understanding of these contributing factors of strain rate effects of FRP composites.","Composites; Impact; Mechanism; Multiscale; Strain rate","en","review","","","","","","","","","","","Applied Mechanics","","",""
"uuid:3f6b8355-4c2d-46f2-873e-688903ff7dbb","http://resolver.tudelft.nl/uuid:3f6b8355-4c2d-46f2-873e-688903ff7dbb","Water and Metal-Organic Frameworks: From Interaction toward Utilization","Liu, X. (TU Delft ChemE/Catalysis Engineering; Tianjin University); Wang, X. (TU Delft ChemE/Catalysis Engineering; Nanjing Tech University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2020","The steep stepwise uptake of water vapor and easy release at low relative pressures and moderate temperatures together with high working capacities make metal-organic frameworks (MOFs) attractive, promising materials for energy efficient applications in adsorption devices for humidity control (evaporation and condensation processes) and heat reallocation (heating and cooling) by utilizing water as benign sorptive and low-grade renewable or waste heat. Emerging MOF-based process applications covered are desiccation, heat pumps/chillers, water harvesting, air conditioning, and desalination. Governing parameters of the intrinsic sorption properties and stability under humid conditions and cyclic operation are identified. Transport of mass and heat in MOF structures, at least as important, is still an underexposed topic. Essential engineering elements of operation and implementation are presented. An update on stability of MOFs in water vapor and liquid systems is provided, and a suite of 18 MOFs are identified for selective use in heat pumps and chillers, while several can be used for air conditioning, water harvesting, and desalination. Most applications with MOFs are still in an exploratory state. An outlook is given for further R&D to realize these applications, providing essential kinetic parameters, performing smart engineering in the design of systems, and conceptual process designs to benchmark them against existing technologies. A concerted effort bridging chemistry, materials science, and engineering is required. ©","","en","review","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:f27bdea8-b095-42d2-924d-9b3cc87cb171","http://resolver.tudelft.nl/uuid:f27bdea8-b095-42d2-924d-9b3cc87cb171","Enhancing the internet of things with knowledge-driven software-defined networking technology: Future perspectives","Li, Yuhong (Stockholm University); Su, Xiang (Viikki Biocenter 1; University of Oulu); Ding, Aaron Yi (TU Delft Information and Communication Technology); Lindgren, Anders (Research Institutes of Sweden RISE; Luleå Univ. of Technology); Liu, Xiaoli (Viikki Biocenter 1); Prehofer, Christian; Riekki, Jukka (University of Oulu); Rahmani, Rahim (Stockholm University); Tarkoma, Sasu (Viikki Biocenter 1); Hui, Pan (Viikki Biocenter 1; The Hong Kong University of Science and Technology)","","2020","The Internet of Things (IoT) connects smart devices to enable various intelligent services. The deployment of IoT encounters several challenges, such as difficulties in controlling and managing IoT applications and networks, problems in programming existing IoT devices, long service provisioning time, underused resources, as well as complexity, isolation and scalability, among others. One fundamental concern is that current IoT networks lack flexibility and intelligence. A network-wide flexible control and management are missing in IoT networks. In addition, huge numbers of devices and large amounts of data are involved in IoT, but none of them have been tuned for supporting network management and control. In this paper, we argue that Software-defined Networking (SDN) together with the data generated by IoT applications can enhance the control and management of IoT in terms of flexibility and intelligence. We present a review for the evolution of SDN and IoT and analyze the benefits and challenges brought by the integration of SDN and IoT with the help of IoT data. We discuss the perspectives of knowledge-driven SDN for IoT through a new IoT architecture and illustrate how to realize Industry IoT by using the architecture. We also highlight the challenges and future research works toward realizing IoT with the knowledge-driven SDN.","Internet of Things (IoT); IoT-proxy; Knowledge-driving networking; Software-defined Networking (SDN)","en","review","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:4bd78b06-05a9-4536-ba91-65a40891310d","http://resolver.tudelft.nl/uuid:4bd78b06-05a9-4536-ba91-65a40891310d","A systematic literature review on safety research related to chemical industrial parks","Zeng, Tao (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Yang, Yunfeng (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Zhao, Yixin (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Liu, Xia (Guangdong Academy of Safety Science and Technology, Guangzhou)","","2020","The increasing demand for chemical products has driven the construction and development of chemical industrial areas, or so-called 'chemical industrial parks' (CIPs), but this has intrinsically raised the risk of major accidents. Therefore, it is significant and urgent to summarize the state of art and research needs in the field of CIP safety. In this paper, a keyword co-occurrence analysis of 116 scientific articles was conducted to support the classification of research topics in this field, then an overview of those research topics was presented to investigate the evolution of safety research with respect to CIPs. Specifically, the way that safety assessments are conducted, as well as how safety management and safety technology in such areas are classified and investigated, followed by detailed descriptions of representative methods and their contributions to CIP safety, are discussed. An integrated safety framework for CIPs is proposed to organize safety approaches and measures systematically. Based on the classification and analysis of studies on management, assessment, and technology related to CIP safety, the research trends and future directions and challenges are discussed and outlined. Those results are useful for improving theoretical method and industrial strategies, and can advance the safety and sustainability development of CIPs.","Chemical industrial park; Review; Safety assessment; safety framework; Safety management; Safety technology; Trend","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:eebe3bea-5fb8-47c9-8632-4c72b3b50261","http://resolver.tudelft.nl/uuid:eebe3bea-5fb8-47c9-8632-4c72b3b50261","Comprehensive review on surfactant adsorption on mineral surfaces in chemical enhanced oil recovery","Liu, Z. (TU Delft ChemE/Advanced Soft Matter; TU Delft OLD ChemE/Organic Materials and Interfaces; China University of Petroleum - Beijing); Zhao, Ge (China University of Petroleum - Beijing); Brewer, Mark (Shell Global Solutions International B.V.); Lv, Qichao (China University of Petroleum - Beijing); Sudhölter, Ernst J. R. (TU Delft OLD ChemE/Organic Materials and Interfaces; TU Delft ChemE/Advanced Soft Matter)","","2021","With the increasing demand for efficient extraction of residual oil, enhanced oil recovery (EOR) offers prospects for producing more reservoirs’ original oil in place. As one of the most promising methods, chemical EOR (cEOR) is the process of injecting chemicals (polymers, alkalis, and surfactants) into reservoirs. However, the main issue that influences the recovery efficiency in surfactant flooding of cEOR is surfactant losses through adsorption to the reservoir rocks. This review focuses on the key issue of surfactant adsorption in cEOR and addresses major concerns regarding surfactant adsorption processes. We first describe the adsorption behavior of surfactants with particular emphasis on adsorption mechanisms, isotherms, kinetics, thermodynamics, and adsorption structures. Factors that affect surfactant adsorption such as surfactant characteristics, solution chemistry, rock mineralogy, and temperature were discussed systematically. To minimize surfactant adsorption, the chemical additives of alkalis, polymers, nanoparticles, co-solvents, and ionic liquids are highlighted as well as implementing with salinity gradient and low salinity water flooding strategies. Finally, current trends and future challenges related to the harsh conditions in surfactant based EOR are outlined. It is expected to provide solid knowledge to understand surfactant adsorption involved in cEOR and contribute to improved flooding strategies with reduced surfactant loss.","Adsorption behavior; Chemical additives; Chemical enhanced oil recovery; Influencing factors; Surfactant adsorption","en","review","","","","","","Accepted Author Manuscript","","2023-06-24","","","ChemE/Advanced Soft Matter","","",""
"uuid:1af5edc9-1184-4bb7-85ba-014af3649369","http://resolver.tudelft.nl/uuid:1af5edc9-1184-4bb7-85ba-014af3649369","Smart protective coatings with self‐sensing and active corrosion protection dual functionality from pH-sensitive calcium carbonate microcontainers","Liu, Tong (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Huang, Yao (University of Science and Technology Beijing); Hao, Xiangping (University of Science and Technology Beijing); Terryn, H.A. (Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft Team Arjan Mol); Li, Xiaogang (University of Science and Technology Beijing)","","2022","Herein, we report the development of a self-sensing and active corrosion protection coating which incorporates pH-sensitive multilayer chitosan/alginate-covered CaCO3 microcontainers containing 1,10-phenanthrolin-5-amine (APhen). The microcontainers can respond to pH variation to release APhen which serves not only as a corrosion indicator but also as an inhibitor. An epoxy coating doped with 5 wt% microcontainers exhibited improved corrosion performance and was capable of inhibiting corrosion spreading from the damaged area in a 3.5 wt% NaCl solution. The salt spray test showed that corrosion damage can be quickly detected by the appearance of a red color within 2 min.","Active corrosion protection; Corrosion; Corrosion sensing; Microcontainers; Organic coatings","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-23","","","Team Arjan Mol","","",""
"uuid:9d15ad46-f500-4b3a-bc02-50c87dd1e3b7","http://resolver.tudelft.nl/uuid:9d15ad46-f500-4b3a-bc02-50c87dd1e3b7","A literature review of Artificial Intelligence applications in railway systems","Tang, Ruifan (University of Leeds); De Donato, Lorenzo (Università degli Studi di Napoli Federico II); Bešinović, Nikola (TU Delft Transport and Planning); Flammini, Francesco (Linnaeus University; Mälardalen University); Goverde, R.M.P. (TU Delft Transport and Planning); Lin, Zhiyuan (University of Leeds); Liu, Ronghui (University of Leeds); Tang, Tianli (University of Leeds; Southeast University); Vittorini, Valeria (Università degli Studi di Napoli Federico II); Wang, Z. (TU Delft Transport and Planning)","","2022","Nowadays it is widely accepted that Artificial Intelligence (AI) is significantly influencing a large number of domains, including railways. In this paper, we present a systematic literature review of the current state-of-the-art of AI in railway transport. In particular, we analysed and discussed papers from a holistic railway perspective, covering sub-domains such as maintenance and inspection, planning and management, safety and security, autonomous driving and control, revenue management, transport policy, and passenger mobility. This review makes an initial step towards shaping the role of AI in future railways and provides a summary of the current focuses of AI research connected to rail transport. We reviewed about 139 scientific papers covering the period from 2010 to December 2020. We found that the major research efforts have been put in AI for rail maintenance and inspection, while very limited or no research has been found on AI for rail transport policy and revenue management. The remaining sub-domains received mild to moderate attention. AI applications are promising and tend to act as a game-changer in tackling multiple railway challenges. However, at the moment, AI research in railways is still mostly at its early stages. Future research can be expected towards developing advanced combined AI applications (e.g. with optimization), using AI in decision making, dealing with uncertainty and tackling newly rising cybersecurity challenges.","Artificial Intelligence; Autonomous driving; Machine Learning; Maintenance; Railways; Smart mobility; Traffic management; Train control; Transportation","en","review","","","","","","","","","","","Transport and Planning","","",""
"uuid:867583c1-7189-4240-89b3-19ee021ccac0","http://resolver.tudelft.nl/uuid:867583c1-7189-4240-89b3-19ee021ccac0","New innovations in pavement materials and engineering: A review on pavement engineering research 2021","Chen, Jiaqi (Central South University China); Dan, Hancheng (Central South University China); Ding, Yongjie (Chongqing Jiaotong University); Gao, Y. (TU Delft Pavement Engineering); Guo, Meng (Beijing University of Technology); Guo, Shuaicheng (Hunan University); Han, Bingye (Beijing University of Civil Engineering & Architecture); Hong, Bin (Harbin Institute of Technology); Hou, Yue (Beijing University of Technology); Hu, Chichun (South China University of Technology); Hu, Jing (Southeast University); Huyan, Ju (Southeast University; University of Waterloo); Jiang, Jiwang (The Hong Kong Polytechnic University); Jiang, Wei (Chang'an University); Li, Cheng (Chang'an University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Liu, Yu (Chang'an University); Liu, Zhuangzhuang (Chang'an University); Lu, Guoyang (The Hong Kong Polytechnic University); Ouyang, Jian (Dalian University of Technology); Qu, Xin (Chang'an University); Ren, Dongya (Southwest Jiaotong University); Wang, Chao (Beijing University of Technology); Wang, Chaohui (Chang'an University); Wang, Dawei (Harbin Institute of Technology); Wang, Di (Aalto University); Wang, Hainian (Chang'an University); Wang, Haopeng (University of Nottingham); Xiao, Yue (Wuhan University; Wuhan University of Technology); Xing, Chao (Harbin Institute of Technology); Xu, Huining (Harbin Institute of Technology); Yan, Yu (Tongji University); Yang, Xu (Chang'an University); You, Lingyun (Huazhong University of Science and Technology); You, Zhanping (Michigan Technological University); Yu, Bin (Southeast University); Yu, Huayang (South China University of Technology); Yu, Huanan (Changsha University of Science and Technology); Zhang, Henglong (Hunan University); Zhang, Jizhe (Shandong University); Zhou, Changhong (Guilin University of Electronic Technology); Zhou, Changjun (Dalian University of Technology); Zhu, Xingyi (Tongji University)","","2021","Sustainable and resilient pavement infrastructure is critical for current economic and environmental challenges. In the past 10 years, the pavement infrastructure strongly supports the rapid development of the global social economy. New theories, new methods, new technologies and new materials related to pavement engineering are emerging. Deterioration of pavement infrastructure is a typical multi-physics problem. Because of actual coupled behaviors of traffic and environmental conditions, predictions of pavement service life become more and more complicated and require a deep knowledge of pavement material analysis. In order to summarize the current and determine the future research of pavement engineering, Journal of Traffic and Transportation Engineering (English Edition) has launched a review paper on the topic of “New innovations in pavement materials and engineering: A review on pavement engineering research 2021”. Based on the joint-effort of 43 scholars from 24 well-known universities in highway engineering, this review paper systematically analyzes the research status and future development direction of 5 major fields of pavement engineering in the world. The content includes asphalt binder performance and modeling, mixture performance and modeling of pavement materials, multi-scale mechanics, green and sustainable pavement, and intelligent pavement. Overall, this review paper is able to provide references and insights for researchers and engineers in the field of pavement engineering.","Asphalt binder; Asphalt mixture; Green and sustainable pavement; Intelligent pavement; Modeling of pavement materials; Multi-scale mechanics","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:679aca4d-8276-4480-ace5-4a1736cbd8fd","http://resolver.tudelft.nl/uuid:679aca4d-8276-4480-ace5-4a1736cbd8fd","Artificial intelligence powered large-scale renewable integrations in multi-energy systems for carbon neutrality transition: Challenges and future perspectives","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Sun, Ying (Concordia University); Xing, Chaojie (Hunan University); Liu, Jia (Guangzhou University; The Hong Kong Polytechnic University); He, Yingdong (Hunan University); Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Zhang, Guoqiang (Hunan University)","","2022","The vigorous expansion of renewable energy as a substitute for fossil energy is the predominant route of action to achieve worldwide carbon neutrality. However, clean energy supplies in multi-energy building districts are still at the preliminary stages for energy paradigm transitions. In particular, technologies and methodologies for large-scale renewable energy integrations are still not sufficiently sophisticated, in terms of intelligent control management. Artificial intelligent (AI) techniques powered renewable energy systems can learn from bio-inspired lessons and provide power systems with intelligence. However, there are few in-depth dissections and deliberations on the roles of AI techniques for large-scale integrations of renewable energy and decarbonisation in multi-energy systems. This study summarizes the commonly used AI-related approaches and discusses their functional advantages when being applied in various renewable energy sectors, as well as their functional contribution to optimizing the operational control modalities of renewable energy and improving the overall operational effectiveness. This study also presents practical applications of various AI techniques in large-scale renewable energy integration systems, and analyzes their effectiveness through theoretical explanations and diverse case studies. In addition, this study introduces limitations and challenges associated with the large-scale renewable energy integrations for carbon neutrality transition using relevant AI techniques, and proposes further promising research perspectives and recommendations. This comprehensive review ignites advanced AI techniques for large-scale renewable integrations and provides valuable informational instructions and guidelines to different stakeholders (e.g., engineers, designers and scientists) for carbon neutrality transition.","Artificial intelligent techniques; Carbon neutrality; Energy transition; Large-scale integration; Renewable energy","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:8e493b4c-cf75-411c-81e4-9544065b8256","http://resolver.tudelft.nl/uuid:8e493b4c-cf75-411c-81e4-9544065b8256","Biomass chemical looping gasification for high-quality syngas: A critical review and technological outlooks","Goel, Avishek (Tampere University); Mohammadzadeh Moghaddam, E. (TU Delft Complex Fluid Processing); Liu, Wen (Nanyang Technological University); He, Chao (Tampere University); Konttinen, Jukka (Tampere University)","","2022","Biomass chemical looping gasification (BCLG) offers significant advantages over the conventional biomass gasification process in terms of enhanced gasification efficiency, inherent CO2 capture, process circularity, and mitigated emissions of pollutants. This review discusses the prevailing status of research and development of BCLG in terms of production of high-quality syngas and negative carbon emissions based on the latest experimental and modelling studies. In particular, the design of the BCLG process and reactors is compared with conventional gasification. This review suggests that the BCLG process could be 10–25 % more efficient than the conventional combustion and gasification system in terms of economical H2-production cost (3.37 USD/kg H2-produced) and negative life cycle emissions of CO2 (−14.58 kg-CO2e/ kg-H2 produced). This review has extensively considered the effects of process parameters and oxygen carriers (OCs) on gasification chemistry and reaction engineering during BCLG experiments. More specifically, the properties of OCs have been holistically analysed from technological, economic, and environmental perspectives to screen appropriate and affordable OCs for BCLG. In addition, the state-of-the-art modelling studies on BCLG are compared in terms of thermodynamic equilibrium, kinetics, and integrated processes. Technological challenges and research gaps in experiments and modelling have been highlighted in order to advance the BCLG process for industrial applications. In particular, further experimental work is needed to tackle issues related to stability and deactivation of OCs, fluidisation and circulation, the mechanical strength of OCs, the optimisation of feed conversion, and the integration and management of various thermal reactors. It is also desired to enhance the accuracy of models by incorporating optimisation of integrated processes and a more detailed reaction mechanism. Overall, BCLG is a promising negative emissions technology for renewable energy production, yet more innovative efforts in experimental and modelling studies are imperative to move towards more practical applications.","Biomass; Carbon dioxide capture; Circular economy; Modelling; Negative emissions; Oxygen carrier","en","review","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:78cd8005-67dc-4545-8d05-8198efda9700","http://resolver.tudelft.nl/uuid:78cd8005-67dc-4545-8d05-8198efda9700","Controllable Shrinking Fabrication of Solid-State Nanopores","Lei, Xin (Beihang University; Tsinghua University); Zhang, Jiayan (Beihang University); Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Yuan, Zhishan (Guangdong University of Technology); Liu, Zewen (Tsinghua University)","","2022","Nanopores have attracted widespread attention in DNA sequencing and protein or biomarker detection, owning to the single-molecule-scale detection accuracy. Despite the most use of naturally biological nanopores before, solid-state nanopores are widely developed with strong robustness, controllable sizes and geometries, a wide range of materials available, as well as flexible manufacturing. Therefore, various techniques typically based on focused ion beam or electron beam have been explored to drill nanopores directly on free-standing nanofilms. To further reduce and sculpt the pore size and shape for nano or sub-nano space-time sensing precision, various controllable shrinking technologies have been employed. Correspondingly, high-energy-beam-induced contrac-tion with direct visual feedback represents the most widely used. The ability to change the pore diameter was attributed to surface tension induced original material migration into the nanopore center or new material deposition on the nanopore surface. This paper reviews typical solid-state nanopore shrinkage technologies, based on the careful summary of their principles and characteristics in particularly size and morphology changes. Furthermore, the advantages and disadvantages of different methods have also been compared completely. Finally, this review concludes with an optimistic outlook on the future of solid-state nanopores.","high energy beam; shrinking fabrication; size and shape control; solid-state nanopores","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9f1481f0-5917-481d-8aa8-c52f846492cf","http://resolver.tudelft.nl/uuid:9f1481f0-5917-481d-8aa8-c52f846492cf","Policies, applications, barriers and future trends of building information modeling technology for building sustainability and informatization in China","Xie, Mingjing (Central South University China); Qiu, Yangzi (Central South University China); Liang, Yishuang (Central South University China); Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Liu, Zhengxuan (TU Delft Design & Construction Management; Central South University China; Hunan University); Zhang, Guoqiang (Hunan University)","","2022","The application of building information modeling (BIM) technology has effectively supported the high-quality development of building sustainability and informatization in China. However, few studies comprehensively analyzed the enacted policies, prevalent applications, and existing barriers of the latest application and development of BIM technology in building industry from building sustainability and informatization perspectives to provide effective consultation and guidelines for its rational scale application in China. This paper firstly made a statistical analysis on the policies and standards of BIM technology issued from 2011 to 2021 in China. Moreover, the latest application, development and existing issues of BIM technology in building sustainability and informatization were also comprehensively discussed and analyzed. The main conclusions indicated that the application status of BIM technology for building sustainability and informatization in China was large in quantity, wide in scope, but low in level. The existing issue and limitation in terms of BIM application in China was mainly due to the lack of standards and domestic-oriented tools. Finally, the future outlook and recommendations of BIM technology for building sustainability and informatization in China were also presented as avenues for upcoming research.","Building information modeling; Building informatization; Building sustainability; Engineering management; Sustainable development","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:5c3e052f-9f99-4052-8e77-e01b42b4f1a7","http://resolver.tudelft.nl/uuid:5c3e052f-9f99-4052-8e77-e01b42b4f1a7","Mapping the knowledge domain of soft computing applications for emergency evacuation studies: A scientometric analysis and critical review","Liang, Benbu (TU Delft System Engineering; TU Delft Multi Actor Systems; Wuhan University of Technology); van der Wal, C.N. (TU Delft Multi Actor Systems; TU Delft System Engineering); Xie, Kefan (Wuhan University of Technology); Chen, Y. (TU Delft Materials and Environment; Wuhan University of Technology); Brazier, F.M. (TU Delft Multi Actor Systems; TU Delft System Engineering); Dulebenets, Maxim A. (Florida State University); Liu, Zimei (Huazhong Agricultural University)","","2022","Emergency evacuation is viewed as a common strategy adopted during the disaster preparedness stage of evacuation to ensure the safety of potentially affected populations. In emergency evacuation studies, soft computing approaches and methodologies have been widely used to support effective decision-making, providing robust and low-cost solutions. To understand the current status and trends of research on soft computing applications for emergency evacuation studies, 778 related studies published in the core database of Web of Science from 2000 to 2020 were considered in this study. A scientometric analysis and a comprehensive review were performed using a scientific mapping of the knowledge domain. This paper presents a set of analyses with the following primary objectives: (1) to explore and visualize the bibliometric characteristics and contents of the academic field concerned with the soft computing approaches for emergency evacuation; and (2) to review and analyze the knowledge, hotspots, and future outlooks related to soft computing approaches for emergency evacuation. The results provide some important insights regarding the existing soft computing methods that have been used in the emergency evacuation field over the past 20 years. Based on the conducted review, this paper proposes that future studies should concentrate on exploring the potential of innovative soft computing approaches for crowd modelling and enabling more accurate evacuation simulation and optimization.","Disaster management; Emergency evacuation; Knowledge domain; Scientometric analysis; Soft computing","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-15","","Multi Actor Systems","System Engineering","","",""
"uuid:ca6efedd-3942-4893-9468-358dceaa3374","http://resolver.tudelft.nl/uuid:ca6efedd-3942-4893-9468-358dceaa3374","A review on self-reporting mechanochromic composites: An emerging technology for structural health monitoring","Tabatabaeian, A. (TU Delft Materials and Environment; University of Glasgow); Liu, Sixin (University of Glasgow); Harrison, Philip (University of Glasgow); Schlangen, E. (TU Delft Materials and Environment); Fotouhi, M. (TU Delft Materials and Environment)","","2022","Recently emerging mechanochromic systems are becoming highly attractive for structural health monitoring (SHM) purposes in various industries, such as civil, wind, and aerospace, to improve the safety and performance of structures. These are based on self-reporting polymer composites which provide a light-weight sensor with an easy-to-read visual cue for SHM purposes. The present paper reports a critical overview of mechanochromic self-reporting approaches and discusses the outlook for future development in the field. Design principles and cutting-edge applications of the main physical- and chemical-based self-reporting mechanisms, i.e., mechanochromism based on dye-filled materials, modified polymers, structural color materials, and smart hybrid composite sensors, are presented with special attention to SHM. These emerging sensors create a new generation of user-friendly, cheap, and power-free SHM systems, guaranteeing economic and technological advantages that will open up new horizons for innovative, safer, and lighter composite products with significantly lower maintenance costs.","Hybrid composites; Mechanochromic; Self-reporting; Structural health monitoring","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:d44b7d08-eff5-40c2-b936-4c738c982724","http://resolver.tudelft.nl/uuid:d44b7d08-eff5-40c2-b936-4c738c982724","A cross-scale ‘material-component-system’ framework for transition towards zero-carbon buildings and districts with low, medium and high-temperature phase change materials","Zhou, Yuekuan (The Hong Kong University of Science and Technology; HKUST Shenzhen-Hong Kong Collaborative Innovation Research Institute); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2023","Transition towards a carbon-neutral district energy community calls for carbon elimination and offsetting strategies, and phase change materials (PCMs) with substantial potential latent energy density can contribute significantly to carbon neutrality through both carbon-positive (like PCM-based thermal control in solar PVs) and carbon-negative strategies (like waste-to-energy recovery). However, roadmap for PCMs’ application in carbon-neutral transition is ambiguous in the current academia, and a state-of-the-art overview on latent thermal storage is necessary. In this study, a comprehensive review was conducted on cutting-edge technologies for carbon-neutral transition with latent thermal storages. Both carbon-positive and carbon-negative strategies in the operational stage are reviewed. Carbon-positive solution mainly focuses on energy-efficient buildings, through a series of passive, active, and smart control strategies with artificial intelligence. Passive strategies, to enhance thermal inertia and thermal storage of building envelopes, mainly include free cooling, solar chimney, solar façade, and Trombe walls. Active strategies mainly include mechanical ventilations, active water pipe-embedded radiative cooling, and geothermal system integration. The ultimate target is to minimise building energy demands, with improved utilisation efficiency on natural heating (e.g., concentrated solar thermal energy, geothermal heating, and solar-driven ventilative heating) and cooling resources (e.g., ventilative cooling, geothermal cooling, and sky radiative cooling). As one of the most critical solutions to offset the released carbon emission, carbon-negative strategies with PCMs mainly include cleaner power production and waste heat recovery. Main functions of PCMs include energy efficiency enhancement on cleaner power production, steady steam production, steady heat flux via the latent storage capacity, and pre-heat purpose on waste heat recovery. A thermal energy interaction network with transportation is formulated with PCMs’ recovering heat from internal combustion engines and spatiotemporal energy sharing, to provide frontier research guidelines. Future studies are recommended to spotlight standard testing procedure and database, benchmarks for suitable PCMs selection, seasonal cascaded energy storage, nanofluid-based heat transfer enhancement in PCMs, anti-corrosion, compatibility, thermochemical stability, and economic feasibility of PCMs. This study provides a clear roadmap on developing PCMs for transition towards a carbon-neutral district energy community, together with applications, prospects, and challenges, paving the path for combined efforts from chemical materials synthesis and applications.","Carbon-neutral district energy community; Cleaner power production; Energy efficiency enhancement; Latent thermal storage; Low, medium and high-temperature PCMs","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:33beb9d8-a14c-4669-96ae-c1287d30b474","http://resolver.tudelft.nl/uuid:33beb9d8-a14c-4669-96ae-c1287d30b474","Emerging themes and future directions in watershed resilience research","Miralles-Wilhelm, Fernando (The Nature Conservancy; George Mason University; Alliance for Global Water Adaptation, Corvallis); Matthews, John H. (Alliance for Global Water Adaptation, Corvallis); Karres, Nathan (The Nature Conservancy); Abell, Robin (The Nature Conservancy; Conservation International, Arlington); Dalton, James (International Union for Conservation of Nature); Kang, Shi Teng (The Nature Conservancy); Liu, Junguo (Southern University of Science and Technology; University of Water Resources and Electrical Power, Chengzhou); Maendly, Romain (California Department of Water Resources, Sacramento); van Wesenbeeck, B (TU Delft Coastal Engineering)","","2023","A review of ecological, social, engineering, and integrative approaches to define and apply resilience thinking is presented and comparatively discussed in the context of watershed management. Knowledge gaps are identified through an assessment of this literature and compilation of a set of research questions through stakeholder engagement activities. We derive a proposed research agenda describing key areas of inquiry such as watershed resilience variables and their interactions; leveraging watershed natural properties, processes, and dynamics to facilitate and enable resilience; analytical methods and tools including monitoring, modeling, metrics, and scenario planning, and their applications to watersheds at different spatial and temporal scales, and infusing resilience concepts as core values in watershed adaptive management.","Adaptation; Persistence; Transformation; Watershed management","en","review","","","","","","","","","","","Coastal Engineering","","",""
"uuid:75da2cf8-4ce3-47c4-8d55-1fb275aad9d0","http://resolver.tudelft.nl/uuid:75da2cf8-4ce3-47c4-8d55-1fb275aad9d0","Interfacial Designs of MXenes for Mild Aqueous Zinc-Ion Storage","Guo, R. (TU Delft RST/Storage of Electrochemical Energy; Shaanxi Normal University); Chen, C. (TU Delft RST/Storage of Electrochemical Energy); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Wang, H. (TU Delft RST/Storage of Electrochemical Energy; Donghua University); Liu, Haozhe (Student TU Delft; Shaanxi Normal University); Yu, Minghao (Technische Universität Dresden); Sofer, Zdenek (University of Chemistry and Technology Prague); Lei, Zhibin (Shaanxi Normal University); Wang, Xuehang (Donghua University)","","2023","Limited Li resources, high cost, and safety risks of using organic electrolytes have stimulated a strong motivation to develop non-Li aqueous batteries. Aqueous Zn-ion storage (ZIS) devices offer low-cost and high-safety solutions. However, their practical applications are at the moment restricted by their short cycle life arising mainly from irreversible electrochemical side reactions and processes at the interfaces. This review sums up the capability of using 2D MXenes to increase the reversibility at the interface, assist the charge transfer process, and thereby improve the performance of ZIS. First, they discuss the ZIS mechanism and irreversibility of typical electrode materials in mild aqueous electrolytes. Then, applications of MXenes in different ZIS components are highlighted, including as electrodes for Zn2+ intercalation, protective layers of Zn anode, hosts for Zn deposition, substrates, and separators. Finally, perspectives are put forward on further optimizing MXenes to improve the ZIS performance.","2D MXenes; interfacial design; mild aqueous electrolyte; Zn-ion batteries; Zn-ion capacitors","en","review","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:4d8724f7-f7b6-4a8d-86b5-b52cd5097797","http://resolver.tudelft.nl/uuid:4d8724f7-f7b6-4a8d-86b5-b52cd5097797","Photo-oxidation of Micro-and Nanoplastics: Physical, Chemical, and Biological Effects in Environments","Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Lompe, K.M. (TU Delft Sanitary Engineering)","","2024","Micro- and nanoplastics (MNPs) are attracting increasing attention due to their persistence and potential ecological risks. This review critically summarizes the effects of photo-oxidation on the physical, chemical, and biological behaviors of MNPs in aquatic and terrestrial environments. The core of this paper explores how photo-oxidation-induced surface property changes in MNPs affect their adsorption toward contaminants, the stability and mobility of MNPs in water and porous media, as well as the transport of pollutants such as organic pollutants (OPs) and heavy metals (HMs). It then reviews the photochemical processes of MNPs with coexisting constituents, highlighting critical factors affecting the photo-oxidation of MNPs, and the contribution of MNPs to the phototransformation of other contaminants. The distinct biological effects and mechanism of aged MNPs are pointed out, in terms of the toxicity to aquatic organisms, biofilm formation, planktonic microbial growth, and soil and sediment microbial community and function. Furthermore, the research gaps and perspectives are put forward, regarding the underlying interaction mechanisms of MNPs with coexisting natural constituents and pollutants under photo-oxidation conditions, the combined effects of photo-oxidation and natural constituents on the fate of MNPs, and the microbiological effect of photoaged MNPs, especially the biotransformation of pollutants.","Microplastics; Photo-oxidation; Physical Effects; Photochemical Processes","en","review","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:16b3989a-cea5-46dd-94ea-4e1758b2b3cb","http://resolver.tudelft.nl/uuid:16b3989a-cea5-46dd-94ea-4e1758b2b3cb","Metaverse for Connected and Automated Vehicles and Intelligent Transportation Systems [From the Guest Editors]","Zhou, Pengyuan (University of Science and Technology of China); Lee, Lik Hang (The Hong Kong Polytechnic University); Liu, Zhi (University of Electro-Communication); Qiu, Hang (University of California); Braud, Tristan (The Hong Kong University of Science and Technology); Ding, Aaron Yi (TU Delft Information and Communication Technology); Tarkoma, Sasu (University of Helsinki); Hui, Pan (The Hong Kong University of Science and Technology)","","2023","The metaverse aims to blur the boundary between the physical world and digital content. To achieve this goal, the metaverse relies heavily on extended reality (XR), the Internet of Things, and communication technologies. Concurrently, connected vehicles and intelligent transportation systems (ITSs) are envisioned as the future paradigm of driving and becoming reality thanks to increasingly powerful onboard vehicular processing capacity and advanced vehicle-to-everything networking technologies.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-28","","","Information and Communication Technology","","",""
"uuid:a1317215-c660-437c-84c0-caa969b4c6f5","http://resolver.tudelft.nl/uuid:a1317215-c660-437c-84c0-caa969b4c6f5","Nanoprobes for PET/MR Imaging","Liu, Huanhuan (Zhengzhou University); Wang, R. (TU Delft RST/Applied Radiation & Isotopes); Gao, Haiyan (Zhengzhou University); Chen, Lijuan (Zhengzhou University); Li, Xiaochen (Zhengzhou University); Yu, Xuan (Zhengzhou University); Wu, Yaping (Zhengzhou University); Bai, Yan (Zhengzhou University); Wei, W. (Zhengzhou University); Wang, Meiyun (Zhengzhou University; Henan University)","","2023","The development of clinical imaging techniques significantly improves diagnostic accuracy and provides guidance for personalized treatment of individuals. However, every single imaging modality has its distinct drawbacks that cannot fully fulfil the diagnosis requirement. Thus, rational combination of different imaging modalities can achieve more comprehensive information of disease and in this way provide better personalized treatment strategy. The hybrid PET/MRI has drawn increasing attention since its first clinical application. Imaging probes play an essential role in achieving qualified figures with accurate information of diseases. The application of nanotechnology promotes the development of versatile molecular probes for PET/MRI technique. Though there is an emerging clinical requirement, only a small number of multimodal PET/MRI probes have been investigated in preclinical research. Thus, this review tries to thoroughly summarize the nano-sized PET/MRI probes on their design, preparation and biological application. By discussing the strength and limitations of these current available PET/MRI multimodal probes, this work aims to figure out the further research direction and promote the possible clinic translation of the novel PET/MRI probes.","dual-modality imaging; MRI; nanoprobes; PET; radiolabeling","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","RST/Applied Radiation & Isotopes","","",""
"uuid:36b8c71a-b2f6-44dd-8a21-44fdef6a988b","http://resolver.tudelft.nl/uuid:36b8c71a-b2f6-44dd-8a21-44fdef6a988b","Next steps of quantum transport in Majorana nanowire devices","Zhang, Hao (Tsinghua University; Beijing Academy of Quantum Information Sciences, Beijing); Liu, Dong E. (Tsinghua University); Wimmer, M.T. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QRD/Kouwenhoven Lab; TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Microsoft Quantum Lab Delft; Kavli institute of nanoscience Delft)","","2019","Majorana zero modes are localized quasiparticles that obey non-Abelian exchange statistics. Braiding Majorana zero modes forms the basis of topologically protected quantum operations which could, in principle, significantly reduce qubit decoherence and gate control errors at the device level. Therefore, searching for Majorana zero modes in various solid state systems is a major topic in condensed matter physics and quantum computer science. Since the first experimental signature observed in hybrid superconductor-semiconductor nanowire devices, this field has witnessed a dramatic expansion in material science, transport experiments and theory. While making the first topological qubit based on these Majorana nanowires is currently an ongoing effort, several related important transport experiments are still being pursued in the near term. These will not only serve as intermediate steps but also show Majorana physics in a more fundamental aspect. In this perspective, we summarize these key Majorana experiments and the potential challenges.","","en","review","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","http://resolver.tudelft.nl/uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","Recycling of Aluminosilicate-Based Solid Wastes through Alkali-Activation: Preparation, Characterization, and Challenges","Feng, Lichao (Jiangsu Ocean University); Yi, Shengjie (Jiangsu Ocean University); Zhao, Shuyuan (Harbin Institute of Technology); Zhong, Qiucheng (Jiangsu Ocean University); Ren, Feirong (Jiangsu Ocean University); Liu, C. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Wang, Wenshou (University of Jinan); Li, Zhenming (University of Sheffield)","","2024","Recycling aluminosilicate-based solid wastes is imperative to realize the sustainable development of constructions. By using alkali activation technology, aluminosilicate-based solid wastes, such as furnace slag, fly ash, red mud, and most of the bio-ashes, can be turned into alternative binder materials to Portland cement to reduce the carbon footprint of the construction and maintenance activities of concrete structures. In this paper, the chemistry involved in the formation of alkali-activated materials (AAMs) and the influential factors of their properties are briefly reviewed. The commonly used methods, including X-ray diffraction (XRD), scanning electron microscopy (SEM), thermogravimetric analysis (TG), nuclear magnetic resonance spectroscopy (NMR), and X-ray pair distribution function technology, to characterize the microstructure of AAMs are introduced. Typical characterization results of AAMs are shown and the limitations of each method are discussed. The main challenges, such as shrinkage, creep, efflorescence, carbonation, alkali–silica reaction, and chloride ingress, to conquer for a wider application of AAMs are reviewed. It is shown that several performances of AAMs under certain circumstances seem to be less satisfactory than traditional portland cement systems. Existing strategies to improve these performances are reviewed, and recommendations for future studies are given.","slag; fly ash; alkali-activation; microstructure; performance; challenges","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:b6bf233c-5087-40a3-9285-435b69a36308","http://resolver.tudelft.nl/uuid:b6bf233c-5087-40a3-9285-435b69a36308","Engineering of Transition Metal Catalysts Confined in Zeolites","Kosinov, N. (TU Delft ChemE/Inorganic Systems Engineering); Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Hensen, Emiel J.M. (Eindhoven University of Technology); Pidko, E.A. (TU Delft ChemE/Algemeen; ITMO University)","","2018","Transition metal-zeolite composites are versatile catalytic materials for a wide range of industrial and lab-scale processes. Significant advances in fabrication and characterization of well-defined metal centers confined in zeolite matrixes have greatly expanded the library of available materials and, accordingly, their catalytic utility. In this review, we summarize recent developments in the field from the perspective of materials chemistry, focusing on synthesis, postsynthesis modification, (operando) spectroscopy characterization, and computational modeling of transition metal-zeolite catalysts.","","en","review","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:b53ab4a0-203b-45fa-99b9-11aafa0e5e86","http://resolver.tudelft.nl/uuid:b53ab4a0-203b-45fa-99b9-11aafa0e5e86","Self-Healing Asphalt Review: From Idea to Practice","Xu, S. (TU Delft Materials and Environment); García, Alvaro (University of Nottingham); Su, Junfeng (Tianjin Polytechnic University); Liu, Q. (Wuhan University of Technology); Tabakovic, A. (TU Delft Materials and Environment; University College Dublin; Dublin Institute of Technology); Schlangen, E. (TU Delft Materials and Environment)","","2018","In recent decades, researchers have revealed the great healing potential of asphalt and proposed various novel methods to inspire and improve the self-healing capacity of asphalt aimed to prolong the service life of asphalt pavement. In this review, up to date research progresses in induction healing and embedded rejuvenator encapsulation are presented, respectively. Meanwhile, the trial section applications of induction healing and capsule healing are highlighted, which show promising results. Finally, some recommendations for the future development of self-healing asphalt are proposed.","asphalt; capsules; induction healing; self-healing","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-18","","","Materials and Environment","","",""
"uuid:aedfe66a-9c18-4ec1-a0ba-393ee12822b9","http://resolver.tudelft.nl/uuid:aedfe66a-9c18-4ec1-a0ba-393ee12822b9","Shape memory alloy actuators for haptic wearables: A review","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Huisman, G. (TU Delft Human Information Communication Design); Jansen, K.M.B. (TU Delft Emerging Materials)","","2023","Devices delivering sophisticated and natural haptic feedback often encompass numerous mechanical elements, leading to increased sizes and wearability challenges. Shape memory alloys (SMAs) are lightweight, compact, and have high power-to-weight ratios, and thus can easily be embedded without affecting the overall device shapes. Here, a review of SMA-based haptic wearables is provided. The article starts with an introduction of SMAs, while incorporating analyses of relevant devices documented in the literature. Haptic and SMA materials fields are correlated, with haptic perception insights aiding SMA actuator design, and distinct SMA mechanisms offering diverse haptic feedback types. A design process for SMA haptic wearables is proposed based on material-centered approach. We show SMAs hold potential for haptic devices aiding visually impaired people and promise in immersive technology and remote interpersonal haptic communication.","Force feedback; Interaction design; Shape memory alloy; Wearable haptic devices","en","review","","","","","","","","","","","Emerging Materials","","",""
"uuid:6db72bfd-c8a5-4cc3-a639-20768c8a0863","http://resolver.tudelft.nl/uuid:6db72bfd-c8a5-4cc3-a639-20768c8a0863","Review of the recent progress on GaN-based vertical power Schottky barrier diodes (SBDs)","Sun, Y. (TU Delft Electronic Components, Technology and Materials); Kang, Xuanwu (Chinese Academy of Sciences); Zheng, Yingkui (Chinese Academy of Sciences); Lu, Jiang (Chinese Academy of Sciences); Tian, Xiaoli (Chinese Academy of Sciences); Wei, Ke (Chinese Academy of Sciences); Wu, Hao (Chinese Academy of Sciences); Wang, Wenbo; Liu, Xinyu (Chinese Academy of Sciences); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2019","Gallium nitride (GaN)-based vertical power Schottky barrier diode (SBD) has demonstrated outstanding features in high-frequency and high-power applications. This paper reviews recent progress on GaN-based vertical power SBDs, including the following sections. First, the benchmark for GaN vertical SBDs with different substrates (Si, sapphire, and GaN) are presented. Then, the latest progress in the edge terminal techniques are discussed. Finally, a typical fabrication flow of vertical GaN SBDs is also illustrated briefly.","Edge termination techniques; GaN; Schottky barrier diode (SBD); Vertical power devices","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0f88f3d8-20d9-4c53-8f16-dbe0df9e9c64","http://resolver.tudelft.nl/uuid:0f88f3d8-20d9-4c53-8f16-dbe0df9e9c64","Extrinsic self-healing asphalt materials: A mini review","Wan, Pei (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Wang, Huan (Wuhan University of Technology); Gong, Xing (Wuhan University of Technology); Zhao, Zenggang (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Jiang, Jian (Shenzhen Special Economic Zone Construction & Development Group Co. Ltd.); Fan, Lulu (Shenzhen Special Economic Zone Construction & Development Group Co. Ltd.); Tu, Liangliang (Shenzhen Special Economic Zone Construction & Development Group Co. Ltd.)","","2023","Self-healing is a biological phenomenon in which living organism responds to the suffered damage in a complex way. Inspired by the self-healing phenomenon in nature, various biomimetic healing methods rooted in intrinsic or extrinsic healing mechanisms have been explored. Research on novel self-healing asphalt materials with intelligent response is at the cutting-edge of materials science and offers a potential strategy for building long-life and low-carbon asphalt concrete infrastructure. This paper describes the progress of research on extrinsic self-healing asphalt materials and makes a clear distinction between intrinsic and extrinsic self-healing. The asphalt self-healing mechanism is interpreted by capillary flow theory, phase field theory, molecular diffusion theory and surface energy theory form various perspective. The extrinsic self-healing strategies including thermal induced healing and rejuvenator induced healing are proposed to enhance the healing level of cracked asphalt materials. A brief review of the methods including fracture-healing test and fatigue-healing test for assessing the efficacy of different extrinsic healing methods is presented. The thermal induced healing method bring high crack repair efficiency for asphalt concrete and the rejuvenator induced healing strategy not only improve the healing ratio of cracked asphalt concrete but also regenerate the ageing asphalt in situ. Important lessons for prospective research on the creation of novel self-healing asphalt materials are highlighted.","Asphalt materials; Extrinsic self-healing; Mechanisms; Sustainability","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-16","","","Materials and Environment","","",""
"uuid:bf61c624-c0ad-48d0-80ee-78f56b88b7c8","http://resolver.tudelft.nl/uuid:bf61c624-c0ad-48d0-80ee-78f56b88b7c8","Incentive initiatives on energy-efficient renovation of existing buildings towards carbon–neutral blueprints in China: Advancements, challenges and prospects","Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Yu, Chenxi (Hunan University); Qian, QK (TU Delft Design & Construction Management); Huang, R. (TU Delft Design & Construction Management; Chongqing University); You, K. (TU Delft Design & Construction Management; Chongqing University); Visscher, H.J. (TU Delft Design & Construction Management); Zhang, Guoqiang (Hunan University)","","2023","Under China's national strategy of carbon neutrality by 2060, it is urgently necessary and challenging for the governments to proactively explore policy tools to facilitate energy-efficient renovation of existing buildings. Currently, a considerable number of studies have been conducted on building energy-efficient renovation and its derivative topics, however, a comprehensive overview on incentive initiatives related to existing renovation practices in China is still scarce, such as a lack of critical correlation analysis between national and local initiatives, a lack of the synthesis and critique towards the latest policies and related achievements, and inadequate generalization of the diverse and multi-layered barriers and challenges in building energy-efficient renovation practices. To address these issues, this paper adopts a diversified policy segmentation approach to deeply analyze the dynamic evolution of the incentive initiatives from both national and local level perspectives, as well as to establish the related network of policy linkages between national to local, and between different localities. In addition, this paper presents a critical analysis on representative initiatives in two batches of pilot cities, and proposes good practices and valuable experiences for building energy-efficient renovation. Finally, this paper further summarizes and discusses the barriers to building energy-efficient renovation from four perspectives: governments, householders, enterprises and research institutions, and proposes a series of targeted and feasible pathways and strategies. This study can provide theoretical guidance and targeted recommendations for the formulation of policies, standards and regulations for building energy-efficient renovation in China.","Carbon-neutral; Energy-efficient renovation; Existing buildings; Incentive initiatives; National and local policies; Sustainable development","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","Design & Construction Management","","",""
"uuid:2e3f2f6a-2f02-43f1-9ce4-87fc054709d2","http://resolver.tudelft.nl/uuid:2e3f2f6a-2f02-43f1-9ce4-87fc054709d2","Informal recyclers as stakeholders in a circular economy","Zisopoulos, Filippos K. (Erasmus Universiteit Rotterdam; Student TU Delft); Steuer, Benjamin (The Hong Kong University of Science and Technology); Abussafy, Ricardo (Economic Commission for Latin America and the Caribbean); Toboso Chavero, S.T.C.H. (TU Delft Integral Design & Management; Erasmus Universiteit Rotterdam); Liu, Z. (TU Delft Integral Design & Management); Tong, Xin (Peking University); Schraven, D.F.J. (TU Delft Integral Design & Management)","","2023","The inclusion of the informal recycling sector (IRS) in a circular economy (CE) is challenging and it is gaining increasing attention by the academic community in an exponential yet fragmented way. In this narrative review, we demarcate the direct and indirect contributions of the IRS to various domains of the CE by drawing knowledge from relevant literature. First, we capture the modi operandi of different forms of recycling value chains into a typology. We do so based on distinct foci and policy approaches towards the IRS which have been adopted across different countries. Second, we synthesize various threads of information on reported forms of collaboration, tensions, and challenges in the context of urban waste management, into a conceptual framework to facilitate transitions towards circular and inclusive wise-waste systems. Finally, we discuss important aspects related to circular business models and integration approaches towards the IRS, and we propose avenues for further research.","Narrative review; Social inclusion; Urban resilience; Waste hierarchy; Waste management; Waste pickers","en","review","","","","","","","","","","","Integral Design & Management","","",""
"uuid:229f9f45-c876-4b78-8243-226f9557f406","http://resolver.tudelft.nl/uuid:229f9f45-c876-4b78-8243-226f9557f406","Water Adsorption in MOFs: Structures and Applications","Zhang, Bo (Tianjin University); Zhu, Zerui (Nanjing Tech University); Wang, Xuerui (Nanjing Tech University); Liu, X. (TU Delft ChemE/Catalysis Engineering; Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2023","Metal–organic frameworks (MOFs) are superior sorbents for water adsorption-based applications. The unique step-like water isotherm at a MOF-specific relative pressure allows easy loading and regeneration over a small range of temperature and pressure conditions. With good hydrothermal stability and cyclic durability, it stands out over classical sorbents used in applications for humidity control, water harvesting, and adsorption-based heating and cooling. These are easily regenerated at moderate temperatures using “waste” heat or solar heating. The isotherm thermodynamics and adsorption mechanisms are described, and the presence of MOFs in the water–air system is explained. Based on six selection criteria ≈40 reported MOFs and one COF are identified for potential application. Trends and approaches in further synthesis optimization and production scale-up are highlighted. No-MOF-fits-all, each MOF has its own specific step location matching only with a certain application type. Most applications are technically feasible and demonstrated on the bench-scale or small pilot. Their maturity is benchmarked by their technology readiness level. Retrofitting existing applications with MOFs replacing classical desiccants may lead to rapid demonstration. Studies on techno-economic analysis and life cycle analysis are required for a rational evaluation of the feasibility of promising applications.","adsorption desalination; humidity control; MOF formulation; water adsorption isotherm; water harvesting","en","review","","","","","","","","","","","ChemE/Catalysis Engineering","","",""